diff --git a/.github/workflows/test_pr.yml b/.github/workflows/test_pr_docker.yml similarity index 55% rename from .github/workflows/test_pr.yml rename to .github/workflows/test_pr_docker.yml index c239124e..dc61ffae 100644 --- a/.github/workflows/test_pr.yml +++ b/.github/workflows/test_pr_docker.yml @@ -1,8 +1,8 @@ -name: Testing pipeline's core for the new PR +name: Testing new PR with docker on: pull_request: - branches: master - types: [ opened, synchronize, reopened ] + branches: [ master, dev, develop ] + types: [ ready_for_review, synchronize, reopened ] jobs: run_nextflow: @@ -23,9 +23,17 @@ jobs: run: | wget -qO- get.nextflow.io | bash sudo mv nextflow /usr/local/bin/ - - - name: Run the pipeline + + - name: Clean environment run: | sudo rm -rf /usr/local/lib/android # will release about 10 GB if you don't need Android sudo rm -rf /usr/share/dotnet # will release about 20GB if you don't need .NET - nextflow run main.nf -profile docker,quicktest --threads 2 + + - name: Build bacannot database + run: | + nextflow run main.nf -profile docker --get_dbs --output bacannot_dbs --max_cpus 2 --max_memory '6.GB' --max_time '6.h' + rm -rf bacannot_dbs/antismash_db bacannot_dbs/kofamscan_db bacannot_dbs/prokka_db/PGAP_NCBI.hmm # remove unused in quicktest to diminish size + + - name: Run the pipeline + run: | + nextflow run main.nf -profile docker,quicktest --bacannot_db bacannot_dbs diff --git a/.github/workflows/test_pr_singularity.yml b/.github/workflows/test_pr_singularity.yml new file mode 100644 index 00000000..b8bd776c --- /dev/null +++ b/.github/workflows/test_pr_singularity.yml @@ -0,0 +1,41 @@ +name: Testing new PR with singularity +on: + pull_request: + branches: [ master, dev, develop ] + types: [ ready_for_review, synchronize, reopened ] + +jobs: + run_nextflow: + name: Run pipeline for the upcoming PR + runs-on: ubuntu-latest + + steps: + + - name: Check out pipeline code + uses: actions/checkout@v2 + + - name: Install Nextflow + env: + CAPSULE_LOG: none + run: | + wget -qO- get.nextflow.io | bash + sudo mv nextflow /usr/local/bin/ + + - name: Install Singularity + uses: eWaterCycle/setup-singularity@v7 + with: + singularity-version: 3.8.3 + + - name: Clean environment + run: | + sudo rm -rf /usr/local/lib/android # will release about 10 GB if you don't need Android + sudo rm -rf /usr/share/dotnet # will release about 20GB if you don't need .NET + + - name: Build bacannot database + run: | + nextflow run main.nf -profile singularity --get_dbs --output bacannot_dbs --max_cpus 2 --max_memory '6.GB' --max_time '6.h' + rm -rf bacannot_dbs/antismash_db bacannot_dbs/kofamscan_db bacannot_dbs/prokka_db/PGAP_NCBI.hmm # remove unused in quicktest to diminish size + + - name: Run the pipeline + run: | + nextflow run main.nf -profile singularity,quicktest --bacannot_db bacannot_dbs diff --git a/.gitignore b/.gitignore index bceee492..531666c3 100644 --- a/.gitignore +++ b/.gitignore @@ -4,4 +4,3 @@ .Ruserdata TESTE docs/_html -teste diff --git a/.gitpod.yml b/.gitpod.yml new file mode 100644 index 00000000..c24a9926 --- /dev/null +++ b/.gitpod.yml @@ -0,0 +1,29 @@ +image: nfcore/gitpod:latest + +tasks: + - before: | + wget -qO- get.nextflow.io | bash + chmod 777 nextflow + sudo mv nextflow /usr/local/bin/ + pip install tiptop + pip install nf-core + mkdir -p /testing + sudo chmod 777 -R /testing + ln -rs /testing . + +vscode: + extensions: # based on nf-core.nf-core-extensionpack + - codezombiech.gitignore # Language support for .gitignore files + # - cssho.vscode-svgviewer # SVG viewer + - davidanson.vscode-markdownlint # Markdown/CommonMark linting and style checking for Visual Studio Code + - eamodio.gitlens # Quickly glimpse into whom, why, and when a line or code block was changed + - EditorConfig.EditorConfig # override user/workspace settings with settings found in .editorconfig files + - Gruntfuggly.todo-tree # Display TODO and FIXME in a tree view in the activity bar + - mechatroner.rainbow-csv # Highlight columns in csv files in different colors + # - nextflow.nextflow # Nextflow syntax highlighting + - oderwat.indent-rainbow # Highlight indentation level + - streetsidesoftware.code-spell-checker # Spelling checker for source code + +ports: + - port: 3000 + onOpen: open-preview \ No newline at end of file diff --git a/.readthedocs.yml b/.readthedocs.yml index adcd2c56..0bff36f7 100644 --- a/.readthedocs.yml +++ b/.readthedocs.yml @@ -1,23 +1,20 @@ -# .readthedocs.yml +# .readthedocs.yaml # Read the Docs configuration file # See https://docs.readthedocs.io/en/stable/config-file/v2.html for details # Required version: 2 -# Build documentation in the docs/ directory with Sphinx -sphinx: - configuration: docs/conf.py +# Set the version of Python and other tools you might need +build: + os: ubuntu-20.04 + tools: + python: "3.9" -# Build documentation with MkDocs -# mkdocs: -# configuration: mkdocs.yml +mkdocs: + configuration: mkdocs.yml -# Optionally build your docs in additional formats such as PDF and ePub -formats: all - -# Optionally set the version of Python and requirements required to build your docs +# Optionally declare the Python requirements required to build your docs python: - version: 3.7 - install: - - requirements: docs/requirements.txt + install: + - requirements: docs/requirements.txt \ No newline at end of file diff --git a/.zenodo.json b/.zenodo.json index 8a37436f..b780e6fe 100644 --- a/.zenodo.json +++ b/.zenodo.json @@ -1,8 +1,8 @@ { - "description": "

The pipeline

\n\n

bacannot, is a customisable, easy to use, pipeline that uses state-of-the-art software for comprehensively annotating prokaryotic genomes having only Docker and Nextflow as dependencies. It is able to annotate and detect virulence and resistance genes, plasmids, secondary metabolites, genomic islands, prophages, ICEs, KO, and more.

", + "description": "

The pipeline

\n\n

bacannot, is a customisable, easy to use, pipeline that uses state-of-the-art software for comprehensively annotating prokaryotic genomes having only Docker and Nextflow as dependencies. It is able to annotate and detect virulence and resistance genes, plasmids, secondary metabolites, genomic islands, prophages, ICEs, KO, and more, while providing nice an beautiful interactive documents for results exploration.

", "license": "other-open", "title": "fmalmeida/bacannot: A generic but comprehensive bacterial annotation pipeline", - "version": "v3.0", + "version": "v3.1", "upload_type": "software", "creators": [ { diff --git a/README.md b/README.md index e8cb27ec..dfc73ccc 100644 --- a/README.md +++ b/README.md @@ -7,6 +7,8 @@ [![Nextflow version](https://img.shields.io/badge/Nextflow%20>=-v20.07-important)](https://www.nextflow.io/docs/latest/getstarted.html) [![License](https://img.shields.io/badge/License-GPL%203-black)](https://github.com/fmalmeida/bacannot/blob/master/LICENSE) +[![Open in Gitpod](https://gitpod.io/button/open-in-gitpod.svg)](https://gitpod.io/github.com/fmalmeida/bacannot) +

bacannot pipeline

diff --git a/bin/addBedtoolsIntersect.R b/bin/addBedtoolsIntersect.R new file mode 100755 index 00000000..9045931a --- /dev/null +++ b/bin/addBedtoolsIntersect.R @@ -0,0 +1,121 @@ +#!/usr/bin/Rscript +# Setting Help +'usage: addBedtoolsIntersect.R [--txt= --gff= --type= --source= --out=] + +options: +-g, --gff= GFF file to merge annotation +-t, --txt= Bedtools intersect file +--type= Feature type [default: BLAST] +--source= Feature source [default: CDS] +-o, --out= Output file name [default: out.gff]' -> doc + +# Parse parameters +suppressMessages(library(docopt)) +opt <- docopt(doc) + +if (is.null(opt$gff)){ + stop("At least one argument must be supplied (gff file)\n", call.=FALSE) +} + +if (is.null(opt$txt)){ + stop("At least one argument must be supplied (intersection file)\n", call.=FALSE) +} + +# Load libraries +suppressMessages(library(ballgown)) +suppressMessages(library(DataCombine)) +suppressMessages(library(dplyr)) +suppressMessages(library(stringr)) +suppressMessages(library(tidyr)) + +# Function used to remove redundancy +reduce_row = function(i) { + d <- unlist(strsplit(i, split=",")) + paste(unique(d), collapse = ',') +} + +# Function to get Attribute Fields +getAttributeField <- function (x, field, attrsep = ";") { + s = strsplit(as.character(x), split = attrsep, fixed = TRUE) + sapply(s, function(atts) { + a = strsplit(atts, split = "=", fixed = TRUE) + m = match(field, sapply(a, "[", 1)) + if (!is.na(m)) { rv = a[[m]][2] + } + else { + rv = as.character(NA) + } + return(rv) + }) +} + +# Operator to discard patterns found +'%ni%' <- Negate('%in%') + +if (file.info(opt$txt)$size > 0) { + + # Load GFF file + gff <- gffRead(opt$gff) + + # Create a column in the intersection file with ids + gff$ID <- getAttributeField(gff$attributes, "ID", ";") + + # Load intersection file + bedtools_intersect <- read.csv(opt$txt, header = F, sep = "\t") + colnames(bedtools_intersect) <- c("seqname1", "source1", "feature1", "start1", "end1", "score1", "strand1", "frame1", "attributes1", + "seqname2", "source2", "feature2", "start2", "end2", "score2", "strand2", "frame2", "attributes2", + "len") + + # Create a column in the intersection file with ids + bedtools_intersect$ID <- getAttributeField(bedtools_intersect$attributes2, "ID", ";") + + # save ids + ids <- bedtools_intersect$ID + + # Subset based on gene IDs + ## Lines with our IDs + sub <- gff %>% + filter(ID %in% ids) %>% + select(seqname, source, feature, start, end, score, strand, frame, attributes, ID) + ## Lines without our IDs + not <- gff %>% + filter(ID %ni% ids) %>% + select(seqname, source, feature, start, end, score, strand, frame, attributes) + + # Change fields values + ## source + s <- sub$source + sn <- as.character(opt$source) + snew <- paste(s, sn, sep = ",") + sub$source <- snew + + ## feature + f <- sub$feature + fn <- as.character(opt$type) + fnew <- paste(f, fn, sep = ",") + sub$feature <- fnew + + ## attributes + sub <- merge.data.frame(sub, bedtools_intersect, by = "ID", all = TRUE) + new_ID <- paste(opt$source, "_ID=", sep = "", collapse = "") + sub$attributes1 <- gsub(pattern = "ID=", replacement = as.character(new_ID), x=sub$attributes1) + sub <- unite(sub, "attributes", c("attributes", "attributes1"), sep = ";") %>% + select(seqname, source, feature, start, end, score, strand, frame, attributes) + + # Merge files + merged_df <- merge.data.frame(sub, not, all = TRUE) + feat <- merged_df$feature + merged_df$feature <- sapply(feat, reduce_row) + source <- merged_df$source + merged_df$source <- sapply(source, reduce_row) + merged_df <- merged_df[str_order(merged_df$attributes, numeric = TRUE), ] + + # Write output + write.table(merged_df, file = opt$out, quote = FALSE, sep = "\t", col.names = FALSE, row.names = FALSE) + +} else { + # Load GFF file + gff <- gffRead(opt$gff) + # Write output + write.table(gff, file = opt$out, quote = FALSE, sep = "\t", col.names = FALSE, row.names = FALSE) +} diff --git a/docker/scripts/rscripts/addBlast2Gff.R b/bin/addBlast2Gff.R old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/rscripts/addBlast2Gff.R rename to bin/addBlast2Gff.R diff --git a/docker/scripts/rscripts/addCardDescription.R b/bin/addCardDescription.R old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/rscripts/addCardDescription.R rename to bin/addCardDescription.R diff --git a/docker/scripts/rscripts/addKO2Gff.R b/bin/addKO2Gff.R old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/rscripts/addKO2Gff.R rename to bin/addKO2Gff.R diff --git a/docker/scripts/rscripts/addNCBIamr2Gff.R b/bin/addNCBIamr2Gff.R old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/rscripts/addNCBIamr2Gff.R rename to bin/addNCBIamr2Gff.R diff --git a/docker/scripts/rscripts/addRGI2gff.R b/bin/addRGI2gff.R old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/rscripts/addRGI2gff.R rename to bin/addRGI2gff.R diff --git a/bin/build_image.sh b/bin/build_image.sh new file mode 100755 index 00000000..db860e2a --- /dev/null +++ b/bin/build_image.sh @@ -0,0 +1,3 @@ +#!/bin/bash +name=$(basename $(pwd)) +docker build -t fmalmeida/bacannot:${1}_${name} . diff --git a/bin/calculate_methylation_frequency.py b/bin/calculate_methylation_frequency.py new file mode 100755 index 00000000..b4ead1af --- /dev/null +++ b/bin/calculate_methylation_frequency.py @@ -0,0 +1,78 @@ +#! /usr/bin/env python3 + +import sys +import csv +import argparse +import gzip + +class SiteStats: + def __init__(self, g_size, g_seq): + self.num_reads = 0 + self.called_sites = 0 + self.called_sites_methylated = 0 + self.group_size = g_size + self.sequence = g_seq + +def update_call_stats(key, num_called_cpg_sites, is_methylated, sequence): + if key not in sites: + sites[key] = SiteStats(num_called_cpg_sites, sequence) + + sites[key].num_reads += 1 + sites[key].called_sites += num_called_cpg_sites + if is_methylated > 0: + sites[key].called_sites_methylated += num_called_cpg_sites + +parser = argparse.ArgumentParser( description='Calculate methylation frequency at genomic CpG sites') +parser.add_argument('-c', '--call-threshold', type=float, required=False, default=2.0) +parser.add_argument('-s', '--split-groups', action='store_true') +args, input_files = parser.parse_known_args() +assert(args.call_threshold is not None) + +sites = dict() +# iterate over input files and collect per-site stats +for f in input_files: + if f[-3:] == ".gz": + in_fh = gzip.open(f, 'rt') + else: + in_fh = open(f) + csv_reader = csv.DictReader(in_fh, delimiter='\t') + for record in csv_reader: + + num_sites = int(record['num_motifs']) + llr = float(record['log_lik_ratio']) + + # Skip ambiguous call + if abs(llr) < args.call_threshold * num_sites: + continue + sequence = record['sequence'] + + is_methylated = llr > 0 + + # if this is a multi-cpg group and split_groups is set, break up these sites + if args.split_groups and num_sites > 1: + c = str(record['chromosome']) + s = int(record['start']) + e = int(record['end']) + + # find the position of the first CG dinucleotide + sequence = record['sequence'] + cg_pos = sequence.find("CG") + first_cg_pos = cg_pos + while cg_pos != -1: + key = (c, s + cg_pos - first_cg_pos, s + cg_pos - first_cg_pos) + update_call_stats(key, 1, is_methylated, "split-group") + cg_pos = sequence.find("CG", cg_pos + 1) + else: + key = (str(record['chromosome']), int(record['start']), int(record['end'])) + update_call_stats(key, num_sites, is_methylated, sequence) + +# header +print("\t".join(["chromosome", "start", "end", "num_motifs_in_group", "called_sites", "called_sites_methylated", "methylated_frequency", "group_sequence"])) + +sorted_keys = sorted(list(sites.keys()), key = lambda x: x) + +for key in sorted_keys: + if sites[key].called_sites > 0: + (c, s, e) = key + f = float(sites[key].called_sites_methylated) / sites[key].called_sites + print("%s\t%s\t%s\t%d\t%d\t%d\t%.3f\t%s" % (c, s, e, sites[key].group_size, sites[key].called_sites, sites[key].called_sites_methylated, f, sites[key].sequence)) diff --git a/bin/config.yml b/bin/config.yml new file mode 100755 index 00000000..38cdc848 --- /dev/null +++ b/bin/config.yml @@ -0,0 +1,17 @@ +hmmer: + bin: CHANGE_HMMSEARCH + e_value_threshold: 0.00445 + pvog_path: CHANGE_PVOG +phigaro: + mean_gc: 0.46354823199323625 + penalty_black: 2.2 + penalty_white: 0.7 + threshold_max_abs: 52.96 + threshold_max_basic: 46.0 + threshold_max_without_gc: 11.42 + threshold_min_abs: 50.32 + threshold_min_basic: 45.39 + threshold_min_without_gc: 11.28 + window_len: 32 +prodigal: + bin: CHANGE_PRODIGAL \ No newline at end of file diff --git a/docker/scripts/bscripts/draw_gis.sh b/bin/draw_gis.sh old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/bscripts/draw_gis.sh rename to bin/draw_gis.sh diff --git a/bin/gbk2faa.py b/bin/gbk2faa.py new file mode 100755 index 00000000..19c54569 --- /dev/null +++ b/bin/gbk2faa.py @@ -0,0 +1,54 @@ +#!/usr/bin/env python + +# impor required libraries +from Bio import SeqIO +import sys + +# get filename from command line +filename = sys.argv[-1] +gbk_filename = filename + +# open file connection +input_handle = open(gbk_filename, "r") + +# def function for stderr print +def eprint(*args, **kwargs): + print(*args, file=sys.stderr, **kwargs) + +for seq_record in SeqIO.parse(input_handle, "genbank") : + + # all brank to check for errors later + organism = None + product = None + gene = None + + for seq_feature in seq_record.features: + + # get organism + if seq_feature.type.lower()=="source" : + organism = seq_feature.qualifiers['organism'][0].replace(" ", "_") + + # get product + if seq_feature.type.lower()=="protein" : + product = seq_feature.qualifiers['product'][0].replace(" ", "_") + + # get gene name if entry has only CDS definition + if seq_feature.type.lower()=="cds" : + gene = seq_feature.qualifiers['gene'][0] + + # get gene name if entry has only Gene definition + if seq_feature.type.lower()=="gene" : + gene = seq_feature.qualifiers['gene'][0] + + # save sequence info + seq = seq_record.seq + acc = seq_record.name + + # print + if gene==None or product==None: + eprint(f"An error has been found with entry {acc}. Either its gene (value found: {gene}) or product (Value found: {product}) was not found in the database genbank.\nPlease make sure this entry is from NCBI Protein db and it has the Gene/Protein information properly formated.") + else: + print(f">NCBI_PROTEIN~~~{gene}~~~{acc}~~~{product}~~~[{organism}]\n{seq}") + +# close file connection +input_handle.close() diff --git a/docker/scripts/rscripts/gff2sql.R b/bin/gff2sql.R old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/rscripts/gff2sql.R rename to bin/gff2sql.R diff --git a/docker/scripts/bscripts/input.fofn b/bin/input.fofn old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/bscripts/input.fofn rename to bin/input.fofn diff --git a/docker/scripts/rscripts/installPack.R b/bin/installPack.R old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/rscripts/installPack.R rename to bin/installPack.R diff --git a/docker/scripts/rscripts/reduceRepeatedValues.R b/bin/reduceRepeatedValues.R old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/rscripts/reduceRepeatedValues.R rename to bin/reduceRepeatedValues.R diff --git a/docker/scripts/pscripts/resfinder2gff.py b/bin/resfinder2gff.py old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/pscripts/resfinder2gff.py rename to bin/resfinder2gff.py diff --git a/bin/run_blasts.py b/bin/run_blasts.py new file mode 100755 index 00000000..56fcf1e1 --- /dev/null +++ b/bin/run_blasts.py @@ -0,0 +1,219 @@ +#!/usr/bin/env python3 +# coding: utf-8 + +## Def help message +""" +Script created exclusively for executing blast alignments inside Bacannot pipeline. + +--- +Copyright (C) 2020 Felipe Marques de Almeida (almeidafmarques@gmail.com) +License: Public Domain +Usage: + run_blasts.py + run_blasts.py -h|--help + run_blasts.py -v|--version + run_blasts.py blastn [--query --db --minid --mincov --culling_limit --out --threads --2way] + run_blasts.py blastp [--query --db --minid --mincov --culling_limit --out --threads --2way] + run_blasts.py blastx [--query --db --minid --mincov --culling_limit --out --threads --2way] + run_blasts.py tblastn [--query --db --minid --mincov --culling_limit --out --threads --2way] + +Options: + -h --help Show this screen. + -v --version Show version information + --2way Sets the pipeline to filter alignments by coverage in a 2way manner. + Which means an alignment must cover at least n from the query and + subject lengths. Otherwise it just needs to cover n from subject seq. + This method is good when the query are gene sequences and not genomes. + --query= Query genome or genes to be searched. + --db= Blast or Diamond database to be used. + --minid= Min. Identity percentage for gene annotation [default: 80] + --mincov= Min. Covereage for gene annotation [default: 80] + --culling_limit= Blast | Diamond culling_limit for best hit only [default: 1] + --out= File for saving blast outputs [default: out.blast] + --threads= Number of threads to be used [default: 1] +""" + +################################## +### Loading Necessary Packages ### +################################## +from docopt import docopt +import pandas as pd +import os +import sys + +########################################### +### Function for filtering python lists ### +########################################### +def filter(string, substr): + return [str for str in string if + any(sub in str for sub in substr)] + +####################### +### BLASTN function ### +####################### +def blastn(query, db, culling, minid, mincov, out, threads): + + # Outfmt + outfmt="6 qseqid qstart qend qlen sseqid sstart send slen evalue length pident gaps gapopen stitle" + + # Run blastn + os.system(f"echo \"qseqid\tqstart\tqend\tqlen\tsseqid\tsstart\tsend\tslen\tevalue\tlength\tpident\tgaps\tgapopen\tstitle\" > {out}") + + if arguments['--2way']: + os.system(f"blastn -query {query} -db {db} -outfmt \"{outfmt}\" -num_threads {threads} -culling_limit {culling} -perc_identity {minid} | \ + awk -v minid={minid} -v mincov={mincov} '{{ if ($11 >= minid && (($10 - $12) / $8 * 100) >= mincov && (($10 - $12) / $4 * 100) >= mincov) {{print $0}} }}' >> {out} ") + else: + os.system(f"blastn -query {query} -db {db} -outfmt \"{outfmt}\" -num_threads {threads} -culling_limit {culling} -perc_identity {minid} | \ + awk -v minid={minid} -v mincov={mincov} '{{ if ($11 >= minid && (($10 - $12) / $8 * 100) >= mincov) {{print $0}} }}' >> {out} ") + +######################## +### TBLASTN function ### +######################## +def tblastn(query, db, culling, minid, mincov, out, threads): + + # Outfmt + outfmt="6 sseqid sstart send slen qseqid qstart qend qlen evalue length pident gaps gapopen stitle" + + # Run blastn + os.system(f"echo \"qseqid\tqstart\tqend\tqlen\tsseqid\tsstart\tsend\tslen\tevalue\tlength\tpident\tgaps\tgapopen\tstitle\" > {out}") + + if arguments['--2way']: + os.system(f"tblastn -subject {query} -query {db} -outfmt \"{outfmt}\" -num_threads {threads} -culling_limit {culling} | \ + awk -v minid={minid} -v mincov={mincov} '{{ if ($11 >= minid && (($10 - $12) / $8 * 100) >= mincov && (($10 - $12) / $4 * 100) >= mincov) {{print $0}} }}' >> {out} ") + else: + os.system(f"tblastn -subject {query} -query {db} -outfmt \"{outfmt}\" -num_threads {threads} -culling_limit {culling} | \ + awk -v minid={minid} -v mincov={mincov} '{{ if ($11 >= minid && (($10 - $12) / $8 * 100) >= mincov) {{print $0}} }}' >> {out} ") + +####################### +### BLASTX function ### +####################### +def blastx(query, db, culling, minid, mincov, out, threads): + + # Outfmt + outfmt="6 qseqid qstart qend qlen sseqid sstart send slen evalue length pident gaps gapopen stitle" + + # Run blastn + os.system(f"echo \"qseqid\tqstart\tqend\tqlen\tsseqid\tsstart\tsend\tslen\tevalue\tlength\tpident\tgaps\tgapopen\tstitle\" > {out}") + + if arguments['--2way']: + os.system(f"diamond blastx --query {query} --db {db} --outfmt {outfmt} --max-target-seqs {culling} \ + --threads {threads} --id {minid} --subject-cover {mincov} --query-cover {mincov} >> {out} ") + else: + os.system(f"diamond blastx --query {query} --db {db} --outfmt {outfmt} --max-target-seqs {culling} \ + --threads {threads} --id {minid} --subject-cover {mincov} >> {out} ") + +####################### +### BLASTP function ### +####################### +def blastp(query, db, culling, minid, mincov, out, threads): + + # Outfmt + outfmt="6 qseqid qstart qend qlen sseqid sstart send slen evalue length pident gaps gapopen stitle" + + # Run blastn + os.system(f"echo \"qseqid\tqstart\tqend\tqlen\tsseqid\tsstart\tsend\tslen\tevalue\tlength\tpident\tgaps\tgapopen\tstitle\" > {out}") + + if arguments['--2way']: + os.system(f"diamond blastp --query {query} --db {db} --outfmt {outfmt} --max-target-seqs {culling} \ + --threads {threads} --id {minid} --subject-cover {mincov} --query-cover {mincov} >> {out} ") + else: + os.system(f"diamond blastp --query {query} --db {db} --outfmt {outfmt} --max-target-seqs {culling} \ + --threads {threads} --id {minid} --subject-cover {mincov} >> {out} ") + +######################## +### Summary function ### +######################## +def summary(output): + + # Outfmt + columns="SEQUENCE\tSTART\tEND\tSTRAND\tGENE\tCOVERAGE\tGAPS\t%COVERAGE\t%IDENTITY\tDATABASE\tACCESSION\tPRODUCT\tDESCRIPTION" + + # Summary + blast = pd.read_csv(output, sep="\t") + print(columns) + for index, line in blast.iterrows(): + # Query strand + if (line['qstart'] > line['qend']): + strand="-" + else: + strand="+" + # Subsject strand + if (line['sstart'] > line['send']): + cov_map=str(line["send"]) + '-' + str(line["sstart"]) + "/" + str(line["slen"]) + else: + cov_map=str(line["sstart"]) + '-' + str(line["send"]) + "/" + str(line["slen"]) + # Parse headers + db=line["sseqid"].split('~~~')[0] + gene=line["sseqid"].split('~~~')[1] + acc=line["sseqid"].split('~~~')[2] + prodc=line["sseqid"].split('~~~')[3] + desc=line["sseqid"].split('~~~')[4] + # Subject coverage + cov=round((100 * (line["length"] - line["gaps"]) / line["slen"]), 2) + # Identity + id=round(line["pident"], 2) + # Gaps + gaps=str(line["gapopen"]) + "/" + str(line["gaps"]) + + # Print + print(line["qseqid"], line["qstart"], line["qend"], strand, gene, + cov_map, gaps, cov, id, db, acc, prodc, desc, sep = "\t") + + + + +############ +### MAIN ### +############ + +if __name__ == '__main__': + arguments = docopt(__doc__, version='v1.0 by Felipe Marques de Almeida') + + ############## + ### BLASTN ### + ############## + if arguments['blastn'] and arguments['--query'] and arguments['--db']: + blastn(query=arguments['--query'], db=arguments['--db'], + culling=arguments['--culling_limit'], minid=arguments['--minid'], + mincov=arguments['--mincov'], out=arguments['--out'], + threads=arguments['--threads']) + summary(output=arguments['--out']) + + ############## + ### BLASTX ### + ############## + elif arguments['blastx'] and arguments['--query'] and arguments['--db']: + blastx(query=arguments['--query'], db=arguments['--db'], + culling=arguments['--culling_limit'], minid=arguments['--minid'], + mincov=arguments['--mincov'], out=arguments['--out'], + threads=arguments['--threads']) + summary(output=arguments['--out']) + + ############## + ### BLASTP ### + ############## + elif arguments['blastp'] and arguments['--query'] and arguments['--db']: + blastp(query=arguments['--query'], db=arguments['--db'], + culling=arguments['--culling_limit'], minid=arguments['--minid'], + mincov=arguments['--mincov'], out=arguments['--out'], + threads=arguments['--threads']) + summary(output=arguments['--out']) + + ############### + ### TBLASTN ### + ############### + elif arguments['tblastn'] and arguments['--query'] and arguments['--db']: + tblastn(query=arguments['--query'], db=arguments['--db'], + culling=arguments['--culling_limit'], minid=arguments['--minid'], + mincov=arguments['--mincov'], out=arguments['--out'], + threads=arguments['--threads']) + summary(output=arguments['--out']) + + ############ + ### None ### + ############ + else: + print("Missing mandatory arguments") + print("Please, check out the help message") + print("") + print(arguments) diff --git a/bin/run_jbrowse.sh b/bin/run_jbrowse.sh new file mode 100755 index 00000000..75eb3283 --- /dev/null +++ b/bin/run_jbrowse.sh @@ -0,0 +1,469 @@ +#!/bin/bash + +# Bacannot shell script for plotting the JBrowse genome browser +# in a more reproducible and readable manner +# +# Author: Felipe M. Almeida (almeidafmarques@outlook.com) + +# Help +Help() +{ + + # Display Help + echo + echo "Simple help message for the utilization of this script" + echo "It takes the jbrowse data path and all the files that shall be plotted from bacannot" + echo + echo "Syntax: run_jbrowse.sh [-h|p|g|b|s|f|r|B|P|G|m|S|R|d|A]" + echo "options:" + echo + echo "h Print this help" + echo "p Prefix for labelling the features" + echo "g Path to genome in FASTA file" + echo "b Path to GC content in bedGraph" + echo "s Path to file with chr sizes" + echo "f Path to complete GFF file (from prokka)" + echo "r Path to barrnap GFF" + echo "B Path to prophage sequences in BED format from phigaro" + echo "P Path to prophage sequences in BED format from phispy" + echo "G Path to genomic islands in BED format from IslandPath-DIMOB" + echo "m Path to Nanopolish methylation results" + echo "S Path to Nanopolish chr sizes" + echo "R Path to Resfinder custom GFF" + echo "d Path to digIS custom GFF" + echo "A Path to antismash custom GFF" + echo "" + echo +} + +# Get the options +while getopts "hp:g:b:s:f:r:B:P:G:m:S:R:d:A:" option; do + case $option in + h) # display Help + Help + exit;; +p) # get genome prefix + PREFIX="$OPTARG" + ;; +g) # get genome FASTA + GENOME="$OPTARG" + ;; +b) # get GC bedgraph + BEDGRAPH="$OPTARG" + ;; +s) # get chr sizes + CHRSIZES="$OPTARG" + ;; +f) # get prokka gff + PROKKAGFF="$OPTARG" + ;; +r) # get barrnap gff + rRNAGFF="$OPTARG" + ;; +B) # get phigaro bed + PHIGAROBED="$OPTARG" + ;; +P) # get phispy bed + PHISPYBED="$OPTARG" + ;; +G) # get GIs bed + GIBED="$OPTARG" + ;; +m) # get nanopolish methylation + NANOMETHYL="$OPTARG" + ;; +S) # get nanopolish chr sizes + NANOSIZES="$OPTARG" + ;; +R) # get resfinder GFF + RESFINDERGFF="$OPTARG" + ;; +d) # get digIS GFF + DIGISGFF="$OPTARG" + ;; +A) # get antismash GFF + ANTISMASHGFF="$OPTARG" + ;; + esac +done + +# Main + +# First STEP, index the genome fasta +prepare-refseqs.pl --fasta $GENOME --key "$PREFIX" --out "data" ; + +# Add GC content Track +bedGraphToBigWig $BEDGRAPH $CHRSIZES data/GC_content.bw ; +add-bw-track.pl --bw_url GC_content.bw --plot --label "GC Content" --key "GC Content" --category "GC Content" --pos_color darkgray ; + +# Add track with all features +flatfile-to-json.pl --gff $PROKKAGFF --key "${PREFIX} all features" --trackType CanvasFeatures \ +--trackLabel "${PREFIX} all features" --out "data" --nameAttributes "Name,ID,gene,product"; +remove-track.pl --trackLabel "${PREFIX} all features" --dir data &> /tmp/error +echo -E "{ \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} all features\", \ + \"category\" : \"Generic annotation\", \ + \"label\" : \"${PREFIX} all features\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"goldenrod\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"Name,ID,gene,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} all features/{refseq}/trackData.json\" }" | add-track-json.pl data/trackList.json + +# Add tRNA track +[ $(grep "tRNA" $PROKKAGFF | wc -l) -eq 0 ] || awk '{ if ($3 == "tRNA") print }' $PROKKAGFF > tRNAs.gff ; +[ $(grep "tRNA" $PROKKAGFF | wc -l) -eq 0 ] || flatfile-to-json.pl --gff tRNAs.gff --key "${PREFIX} tRNA sequences" --nameAttributes "Name,ID,product" \ +--trackType CanvasFeatures --trackLabel "${PREFIX} tRNA sequences" --config '{ "style": { "color": "darkgreen" }, "displayMode": "compact" }' --out "data" ; +remove-track.pl --trackLabel "${PREFIX} tRNA sequences" --dir data &> /tmp/error +[ $(grep "tRNA" $PROKKAGFF | wc -l) -eq 0 ] || echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} tRNA sequences\", \ + \"category\" : \"Generic annotation\", \ + \"label\" : \"${PREFIX} tRNA sequences\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"darkgreen\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"Name,ID,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} tRNA sequences/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json +[ $(grep "tRNA" $PROKKAGFF | wc -l) -eq 0 ] || rm -f tRNAs.gff ; + +# Add track with rRNA sequences +[ ! -s $rRNAGFF ] || flatfile-to-json.pl --gff $rRNAGFF --key "${PREFIX} rRNA Sequences" --trackType CanvasFeatures --trackLabel "${PREFIX} rRNA Sequences" \ +--config '{ "style": { "color": "blue" }, "displayMode": "compact" }' --out "data" ; +remove-track.pl --trackLabel "${PREFIX} rRNA Sequences" --dir data &> /tmp/error +[ ! -s $rRNAGFF ] || echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} rRNA Sequences\", \ + \"category\" : \"Generic annotation\", \ + \"label\" : \"${PREFIX} rRNA Sequences\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"blue\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"Name,ID,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} rRNA Sequences/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json + +# Add track without hypothetical features|proteins +[ $(grep -v "hypothetical" $PROKKAGFF | wc -l) -eq 0 ] || grep -v "hypothetical" $PROKKAGFF > no_hypothetical ; +[ $(grep -v "hypothetical" $PROKKAGFF | wc -l) -eq 0 ] || flatfile-to-json.pl --gff no_hypothetical --key "${PREFIX} not hypothetical features" \ +--trackType CanvasFeatures --trackLabel "${PREFIX} not hypothetical features" --out "data" --nameAttributes "Name,ID,product" && rm -f no_hypothetical ; +remove-track.pl --trackLabel "${PREFIX} not hypothetical features" --dir data &> /tmp/error +[ $(grep -v "hypothetical" $PROKKAGFF | wc -l) -eq 0 ] || echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} not hypothetical features\", \ + \"category\" : \"Generic annotation\", \ + \"label\" : \"${PREFIX} not hypothetical features\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"goldenrod\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"Name,ID,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} not hypothetical features/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json +[ $(grep -v "hypothetical" $PROKKAGFF | wc -l) -eq 0 ] || rm -f no_hypothetical ; + +# Add track with all hypothetical features|proteins +[ $(grep "hypothetical" $PROKKAGFF | wc -l) -eq 0 ] || grep "hypothetical" $PROKKAGFF > hypothetical ; +[ $(grep "hypothetical" $PROKKAGFF | wc -l) -eq 0 ] || flatfile-to-json.pl --gff hypothetical --key "${PREFIX} hypothetical features" \ +--trackType CanvasFeatures --trackLabel "${PREFIX} hypothetical features" --out "data" --nameAttributes "Name,ID,product" && rm -f hypothetical ; +remove-track.pl --trackLabel "${PREFIX} hypothetical features" --dir data &> /tmp/error +[ $(grep "hypothetical" $PROKKAGFF | wc -l) -eq 0 ] || echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} hypothetical features\", \ + \"category\" : \"Generic annotation\", \ + \"label\" : \"${PREFIX} hypothetical features\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"darkgray\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"Name,ID,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} hypothetical features/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json +[ $(grep "hypothetical" $PROKKAGFF | wc -l) -eq 0 ] || rm -f hypothetical ; + +# Add track with all transposases +[ $(grep "transposase" $PROKKAGFF | wc -l) -eq 0 ] || grep "transposase" $PROKKAGFF > transposase ; +[ $(grep "transposase" $PROKKAGFF | wc -l) -eq 0 ] || flatfile-to-json.pl --gff transposase --key "${PREFIX} transposases" --trackType CanvasFeatures \ +--trackLabel "${PREFIX} transposases" --out "data" --nameAttributes "Name,ID,product" && rm -f transposase ; +remove-track.pl --trackLabel "${PREFIX} transposases" --dir data &> /tmp/error +[ $(grep "transposase" $PROKKAGFF | wc -l) -eq 0 ] || echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} transposases\", \ + \"category\" : \"Generic annotation\", \ + \"label\" : \"${PREFIX} transposases\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"#e7a134\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"Name,ID,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} transposases/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json +[ $(grep "transposase" $PROKKAGFF | wc -l) -eq 0 ] || rm -f transposase ; + +# Add track with virulence features +[ $(grep -e "Virulence" -e "virulence" $PROKKAGFF | wc -l) -eq 0 ] || grep -e "Virulence" -e "virulence" $PROKKAGFF > virulence ; +[ $(grep -e "Virulence" -e "virulence" $PROKKAGFF | wc -l) -eq 0 ] || flatfile-to-json.pl --gff virulence --key "${PREFIX} all virulence features" \ +--trackType CanvasFeatures --trackLabel "${PREFIX} all virulence features" --out "data" --nameAttributes "VFDB_Target,VFDB_Product,Victors_Target,Victors_Product,Name,ID,product" +remove-track.pl --trackLabel "${PREFIX} all virulence features" --dir data &> /tmp/error +[ $(grep -e "Virulence" -e "virulence" $PROKKAGFF | wc -l) -eq 0 ] || echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} all virulence features\", \ + \"category\" : \"Virulence annotation\", \ + \"label\" : \"${PREFIX} all virulence features\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"darkred\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"VFDB_Target,VFDB_Product,Victors_Target,Victors_Product,Name,ID,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} all virulence features/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json +[ $(grep -e "Virulence" -e "virulence" $PROKKAGFF | wc -l) -eq 0 ] || rm -f virulence ; + +## VFDB +[ $(grep -e "VFDB" $PROKKAGFF | wc -l) -eq 0 ] || grep "VFDB" $PROKKAGFF > vfdb ; +[ $(grep -e "VFDB" $PROKKAGFF | wc -l) -eq 0 ] || flatfile-to-json.pl --gff vfdb --key "${PREFIX} VFDB virulence features" \ +--trackType CanvasFeatures --trackLabel "${PREFIX} VFDB virulence features" --out "data" --nameAttributes "VFDB_Target,VFDB_Product,Name,ID,product" +remove-track.pl --trackLabel "${PREFIX} VFDB virulence features" --dir data &> /tmp/error +[ $(grep -e "VFDB" $PROKKAGFF | wc -l) -eq 0 ] || echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} VFDB virulence features\", \ + \"category\" : \"Virulence annotation\", \ + \"label\" : \"${PREFIX} VFDB virulence features\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"darkred\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"VFDB_Target,VFDB_Product,Name,ID,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} VFDB virulence features/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json +[ $(grep -e "VFDB" $PROKKAGFF | wc -l) -eq 0 ] || rm -f vfdb ; + +## Victors +[ $(grep -e "Victors" $PROKKAGFF | wc -l) -eq 0 ] || grep "Victors" $PROKKAGFF > victors ; +[ $(grep -e "Victors" $PROKKAGFF | wc -l) -eq 0 ] || flatfile-to-json.pl --gff victors --key "${PREFIX} Victors virulence features" \ +--trackType CanvasFeatures --trackLabel "${PREFIX} Victors virulence features" --out "data" --nameAttributes "Victors_Target,Victors_Product,Name,ID,product" +remove-track.pl --trackLabel "${PREFIX} Victors virulence features" --dir data &> /tmp/error +[ $(grep -e "Victors" $PROKKAGFF | wc -l) -eq 0 ] || echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} Victors virulence features\", \ + \"category\" : \"Virulence annotation\", \ + \"label\" : \"${PREFIX} Victors virulence features\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"darkred\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"Victors_Target,Victors_Product,Name,ID,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} Victors virulence features/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json +[ $(grep -e "Victors" $PROKKAGFF | wc -l) -eq 0 ] || rm -f victors ; + +# Add track with resistance features +[ $(grep -e "Resistance" -e "resistance" $PROKKAGFF | wc -l) -eq 0 ] || grep -e "Resistance" -e "resistance" $PROKKAGFF> resistance ; +[ $(grep -e "Resistance" -e "resistance" $PROKKAGFF | wc -l) -eq 0 ] || flatfile-to-json.pl --gff resistance --key "${PREFIX} all resistance features" \ +--trackType CanvasFeatures --trackLabel "${PREFIX} all resistance features" --out "data" --nameAttributes "Name,ID,product" ; +remove-track.pl --trackLabel "${PREFIX} all resistance features" --dir data &> /tmp/error +[ $(grep -e "Resistance" -e "resistance" $PROKKAGFF | wc -l) -eq 0 ] || echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} all resistance features\", \ + \"category\" : \"Resistance annotation\", \ + \"label\" : \"${PREFIX} all resistance features\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"purple\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"Name,ID,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} all resistance features/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json +[ $(grep -e "Resistance" -e "resistance" $PROKKAGFF | wc -l) -eq 0 ] || rm -f resistance ; + +## AMRFinderPlus +[ $(grep "AMRFinderPlus" $PROKKAGFF | wc -l) -eq 0 ] || grep "AMRFinderPlus" $PROKKAGFF> amrfinder ; +[ $(grep "AMRFinderPlus" $PROKKAGFF | wc -l) -eq 0 ] || flatfile-to-json.pl --gff amrfinder --key "${PREFIX} AMRFinder resistance features" --trackType CanvasFeatures \ +--trackLabel "${PREFIX} AMRFinder resistance features" --out "data" --nameAttributes "NDARO_Gene_Name,NDARO_Gene_Product,Name,ID,product" ; +remove-track.pl --trackLabel "${PREFIX} AMRFinder resistance features" --dir data &> /tmp/error +[ $(grep "AMRFinderPlus" $PROKKAGFF | wc -l) -eq 0 ] || echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} AMRFinder resistance features\", \ + \"category\" : \"Resistance annotation\", \ + \"label\" : \"${PREFIX} AMRFinder resistance features\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"purple\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"NDARO_Gene_Name,NDARO_Gene_Product,Name,ID,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} AMRFinder resistance features/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json +[ $(grep "AMRFinderPlus" $PROKKAGFF | wc -l) -eq 0 ] || rm -f amrfinder ; + +## CARD-RGI +[ $(grep "CARD" $PROKKAGFF | wc -l) -eq 0 ] || grep "CARD" $PROKKAGFF> rgi ; +[ $(grep "CARD" $PROKKAGFF | wc -l) -eq 0 ] || flatfile-to-json.pl --gff rgi --key "${PREFIX} CARD-RGI resistance features" --trackType CanvasFeatures \ +--trackLabel "${PREFIX} CARD-RGI resistance features" --out "data" --nameAttributes "CARD_name,CARD_product,Targeted_drug_class,Name,ID,product" ; +remove-track.pl --trackLabel "${PREFIX} CARD-RGI resistance features" --dir data &> /tmp/error +[ $(grep "CARD" $PROKKAGFF | wc -l) -eq 0 ] || echo -E " { \"compress\" : 0, \ +\"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} CARD-RGI resistance features\", \ + \"category\" : \"Resistance annotation\", \ + \"label\" : \"${PREFIX} CARD-RGI resistance features\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"purple\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"CARD_name,CARD_product,Targeted_drug_class,Name,ID,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} CARD-RGI resistance features/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json +[ $(grep "CARD" $PROKKAGFF | wc -l) -eq 0 ] || rm -f rgi ; + +## Resfinder +[ ! -s $RESFINDERGFF ] || flatfile-to-json.pl --gff $RESFINDERGFF --key "${PREFIX} Resfinder resistance features" --trackType CanvasFeatures \ +--trackLabel "${PREFIX} Resfinder resistance features" --out "data" --nameAttributes "Resfinder_gene,ID,Resfinder_phenotype" ; +remove-track.pl --trackLabel "${PREFIX} Resfinder resistance features" --dir data &> /tmp/error +[ ! -s $RESFINDERGFF ] || echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} Resfinder resistance features\", \ + \"category\" : \"Resistance annotation\", \ + \"label\" : \"${PREFIX} Resfinder resistance features\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"purple\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"Resfinder_gene,ID,Resfinder_phenotype\", \ + \"urlTemplate\" : \"tracks/${PREFIX} Resfinder resistance features/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json + +# Add mobile genetic elements +## ICEs +[ $(grep "ICEberg" $PROKKAGFF | wc -l) -eq 0 ] || grep "ICEberg" $PROKKAGFF > ices ; +[ $(grep "ICEberg" $PROKKAGFF | wc -l) -eq 0 ] || flatfile-to-json.pl --gff ices --key "${PREFIX} ICE genes from ICEberg database" --trackType CanvasFeatures \ +--trackLabel "${PREFIX} ICE genes from ICEberg database" --out "data" --nameAttributes "ICEberg_Target,ICEberg_Product,Name,ID,product" ; +remove-track.pl --trackLabel "${PREFIX} ICE genes from ICEberg database" --dir data &> /tmp/error +[ $(grep "ICEberg" $PROKKAGFF | wc -l) -eq 0 ] || echo -E " { \"compress\" : 0, \ +\"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} ICE genes from ICEberg database\", \ + \"category\" : \"MGEs annotation\", \ + \"label\" : \"${PREFIX} ICE genes from ICEberg database\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"#6db6d9\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"ICEberg_Target,ICEberg_Product,Name,ID,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} ICE genes from ICEberg database/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json +[ $(grep "ICEberg" $PROKKAGFF | wc -l) -eq 0 ] || rm -f iceberg ices ; + +## PROPHAGES +### PHAST +[ $(grep "PHAST" $PROKKAGFF | wc -l) -eq 0 ] || grep "PHAST" $PROKKAGFF > prophage ; +[ $(grep "PHAST" $PROKKAGFF | wc -l) -eq 0 ] || flatfile-to-json.pl --gff prophage --key "${PREFIX} prophage genes from PHAST database" --trackType CanvasFeatures \ +--trackLabel "${PREFIX} prophage genes from PHAST database" --out "data" --nameAttributes "PHAST_Target,PHAST_Product,Name,ID,product" ; +remove-track.pl --trackLabel "${PREFIX} prophage genes from PHAST database" --dir data &> /tmp/error +[ $(grep "PHAST" $PROKKAGFF | wc -l) -eq 0 ] || echo -E " { \"compress\" : 0, \ +\"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} prophage genes from PHAST database\", \ + \"category\" : \"MGEs annotation\", \ + \"label\" : \"${PREFIX} prophage genes from PHAST database\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"#1eacb0\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"PHAST_Target,PHAST_Product,Name,ID,product\", \ + \"urlTemplate\" : \"tracks/${PREFIX} prophage genes from PHAST database/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json +[ $(grep "PHAST" $PROKKAGFF | wc -l) -eq 0 ] || rm -f prophage ; + +### PHIGARO +[ ! -s $PHIGAROBED ] || flatfile-to-json.pl --bed $PHIGAROBED --key "${PREFIX} putative prophages predicted by phigaro" --trackType CanvasFeatures \ +--trackLabel "${PREFIX} putative prophages predicted by phigaro" --config '{ "style": { "color": "#00ffff" }, "displayMode": "compact" }' --out "data" ; +remove-track.pl --trackLabel "${PREFIX} putative prophages predicted by phigaro" --dir data &> /tmp/error +[ ! -s $PHIGAROBED ] || echo -E " { \"compress\" : 0, \ +\"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} putative prophages predicted by phigaro\", \ + \"category\" : \"MGEs annotation\", \ + \"label\" : \"${PREFIX} putative prophages predicted by phigaro\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"#00ffff\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"urlTemplate\" : \"tracks/${PREFIX} putative prophages predicted by phigaro/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json + +### PHISPY +[ ! -s $PHISPYBED ] || tail -n +2 $PHISPYBED | cut -f 2,3,4 > phispy ; +[ ! -s $PHISPYBED ] || flatfile-to-json.pl --bed phispy --key "${PREFIX} putative prophages predicted by phispy" --trackType CanvasFeatures \ +--trackLabel "${PREFIX} putative prophages predicted by phispy" --config '{ "style": { "color": "#1eacb0" }, "displayMode": "compact" }' --out "data" ; +remove-track.pl --trackLabel "${PREFIX} putative prophages predicted by phispy" --dir data &> /tmp/error +[ ! -s $PHISPYBED ] || echo -E " { \"compress\" : 0, \ +\"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} putative prophages predicted by phispy\", \ + \"category\" : \"MGEs annotation\", \ + \"label\" : \"${PREFIX} putative prophages predicted by phispy\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"#1eacb0\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"urlTemplate\" : \"tracks/${PREFIX} putative prophages predicted by phispy/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json +[ ! -s $PHISPYBED ] || rm -f phispy ; + +## Genomic Islands +[ ! -s $GIBED ] || flatfile-to-json.pl --bed $GIBED --key "${PREFIX} putative genomic islands" --trackType CanvasFeatures \ +--trackLabel "${PREFIX} putative genomic islands" --config '{ "style": { "color": "#199db0" }, "displayMode": "compact" }' --out "data" ; +[ ! -s $GIBED ] || remove-track.pl --trackLabel "${PREFIX} putative genomic islands" --dir data &> /tmp/error +[ ! -s $GIBED ] || echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} putative genomic islands\", \ + \"category\" : \"MGEs annotation\", \ + \"label\" : \"${PREFIX} putative genomic islands\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"#199db0\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"urlTemplate\" : \"tracks/${PREFIX} putative genomic islands/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json + +## digIS transposable elements +[ ! -s $DIGISGFF ] || flatfile-to-json.pl --gff $DIGISGFF --key "${PREFIX} Insertion Sequences predicted with digIS" --trackType CanvasFeatures \ +--trackLabel "${PREFIX} Insertion Sequences predicted with digIS" --out "data" --nameAttributes "class_level,class_sim_all,class_sim_is,class_sim_orf,score,ID" ; +remove-track.pl --trackLabel "${PREFIX} Insertion Sequences predicted with digIS" --dir data &> /tmp/error +[ $(grep "digIS" $DIGISGFF | wc -l) -eq 0 ] || echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} Insertion Sequences predicted with digIS\", \ + \"category\" : \"MGEs annotation\", \ + \"label\" : \"${PREFIX} Insertion Sequences predicted with digIS\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"#71dd13\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"nameAttributes\" : \"class_level,class_sim_all,class_sim_is,class_sim_orf,score,ID\", \ + \"urlTemplate\" : \"tracks/${PREFIX} Insertion Sequences predicted with digIS/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json + +## antiSMASH secondary metabolites +[ ! -s $ANTISMASHGFF ] || flatfile-to-json.pl --gff $ANTISMASHGFF --key "${PREFIX} antismash regions" --trackType CanvasFeatures --trackLabel "${PREFIX} antismash regions" --out "data" ; +rm tmp.gff ; +remove-track.pl --trackLabel "${PREFIX} antismash regions" --dir data &> /tmp/error ; +echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${PREFIX} antismash regions\", \ + \"category\" : \"antiSMASH secondary metabolites\", \ + \"label\" : \"${PREFIX} antismash regions\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"#f6b26b\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"urlTemplate\" : \"tracks/${PREFIX} antismash regions/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json ; + +# Form -fat bedGraphs +## cpg +[ ! -s $NANOMETHYL ] || bedGraphToBigWig $NANOMETHYL $NANOSIZES data/methylation.bw ; +[ ! -s data/methylation.bw ] || add-bw-track.pl --bw_url methylation.bw --plot --label "5mC (CpG) Methylations" --key "5mC (CpG) Methylations" --category "Methylations" --pos_color "#0e469a" ; + +## add custom databases +for custom_db_file in $(ls custom_database_*.gff) ; do + custom_prefix=${custom_db_file##custom_database_} ; + custom_prefix=${custom_prefix%%.gff} ; + [ ! -s $custom_db_file ] || flatfile-to-json.pl --gff $custom_db_file --key "${custom_prefix} annotated features" --trackType CanvasFeatures --trackLabel "${custom_prefix} annotated features" --out "data" ; + remove-track.pl --trackLabel "${custom_prefix} annotated features" --dir data &> /tmp/error ; + echo -E " { \"compress\" : 0, \ + \"displayMode\" : \"compact\", \ + \"key\" : \"${custom_prefix} annotated features\", \ + \"category\" : \"Custom databases annotations\", \ + \"label\" : \"${custom_prefix} annotated features\", \ + \"storeClass\" : \"JBrowse/Store/SeqFeature/NCList\", \ + \"style\" : { \"className\" : \"feature\", \"color\": \"#323E52\" }, \ + \"trackType\" : \"CanvasFeatures\", \ + \"type\" : \"CanvasFeatures\", \ + \"urlTemplate\" : \"tracks/${custom_prefix} annotated features/{refseq}/trackData.json\" } " | add-track-json.pl data/trackList.json ; +done + +# Finally fix categories order +echo >> jbrowse.conf +echo "[trackSelector]" >> jbrowse.conf +echo "categoryOrder=Reference sequence,Generic annotation,Virulence annotation,Resistance annotation,MGEs annotation,GC Content,Methylations" >> jbrowse.conf diff --git a/bin/splitgenbank.pl b/bin/splitgenbank.pl new file mode 100755 index 00000000..747ad70b --- /dev/null +++ b/bin/splitgenbank.pl @@ -0,0 +1,47 @@ +#!/usr/bin/perl + +# splitgb.pl +# +# 160804 +# v.0.1 +# ksaitoh +# +# Split individual sequences of concatenated GenBank format DNA sequence file +# Save split sequences as their accession number plus ".gb" +# +# usage > perl splitgb.pl infile + +$infile = shift @ARGV; + +$begin = "LOCUS"; +$end = "//"; +@entry = (); +$ext = ".gbk"; + +open(IN, "<$infile") or die "Failed to open $infile\n"; + +$no = 0; + +while ($line = ) { + chomp ($line); + $line =~ s/\r//; + if ($line =~ /^$begin/) { + ++$no; + @entry = split /\s+/, $line; + $outfile = $entry[1].$ext; + open(OUT, ">$outfile") or die "Failed to open $outfile\n"; + print OUT "$line\n"; + do { + $line = or die "End of file\n"; + chomp ($line); + $line =~ s/\r//; + print OUT "$line\n"; + } while ($line ne $end); + close OUT; + @entry = (); + } +} + +print "$no seqs saved separately.\n"; + +close (IN); \ No newline at end of file diff --git a/docker/scripts/pscripts/splitgenbank.py b/bin/splitgenbank.py old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/pscripts/splitgenbank.py rename to bin/splitgenbank.py diff --git a/docker/scripts/rscripts/tolower.R b/bin/tolower.R old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/rscripts/tolower.R rename to bin/tolower.R diff --git a/bin/update_database_image.sh b/bin/update_database_image.sh old mode 100644 new mode 100755 diff --git a/docker/scripts/rscripts/write_gff.R b/bin/write_gff.R old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/rscripts/write_gff.R rename to bin/write_gff.R diff --git a/docker/scripts/rscripts/write_table_from_gff.R b/bin/write_table_from_gff.R old mode 100644 new mode 100755 similarity index 100% rename from docker/scripts/rscripts/write_table_from_gff.R rename to bin/write_table_from_gff.R diff --git a/conf/awsbatch.config b/conf/awsbatch.config index da929098..35b2bfec 100644 --- a/conf/awsbatch.config +++ b/conf/awsbatch.config @@ -1,6 +1,4 @@ process { executor = 'awsbatch' queue = 'my-batch-queue' - // cpu allocation - cpus = params.threads } diff --git a/conf/base.config b/conf/base.config new file mode 100644 index 00000000..f41df674 --- /dev/null +++ b/conf/base.config @@ -0,0 +1,41 @@ +process { + + // The defaults for all processes + cpus = { params.max_cpus } + memory = { params.max_memory } + time = { params.max_time } + + errorStrategy = { task.exitStatus in [143,137,104,134,139] ? 'retry' : 'finish' } + maxRetries = 1 + maxErrors = '-1' + + // labels + withLabel:process_ultralow { + cpus = { check_max( 1 * task.attempt, 'cpus' ) } + memory = { check_max( 2.GB * task.attempt, 'memory' ) } + time = { check_max( 1.h * task.attempt, 'time' ) } + } + withLabel:process_low { + cpus = { check_max( 2 * task.attempt, 'cpus' ) } + memory = { check_max( 4.GB * task.attempt, 'memory' ) } + time = { check_max( 1.h * task.attempt, 'time' ) } + } + withLabel:process_medium { + cpus = { check_max( 4 * task.attempt, 'cpus' ) } + memory = { check_max( 10.GB * task.attempt, 'memory' ) } + time = { check_max( 8.h * task.attempt, 'time' ) } + } + withLabel:process_high { + cpus = { check_max( 8 * task.attempt, 'cpus' ) } + memory = { check_max( 14.GB * task.attempt, 'memory' ) } + time = { check_max( 16.h * task.attempt, 'time' ) } + } + withLabel:error_ignore { + errorStrategy = 'ignore' + } + withLabel:error_retry { + errorStrategy = 'retry' + maxRetries = 2 + } + +} diff --git a/conf/defaults.config b/conf/defaults.config new file mode 100644 index 00000000..7fc4e0d7 --- /dev/null +++ b/conf/defaults.config @@ -0,0 +1,173 @@ +/* + + Required / Default Parameters. + This parameters must always be set + +*/ +params { + + /* + + DB DOWNLOAD WORKFLOW + + */ + +// Trigger database download and formatting workflow? --> will not run annotation +// Will download and format a database inside {output} parameter + get_dbs = false + force_update = false + + /* + + ANNOTATION INPUTS + + */ + +// Input data mus be given inside a well-formated samplesheet. +// We provide a well-formated example at: https://github.com/fmalmeida/test_datasets/raw/main/bacannot_testing_samplesheets/samplesheet.yaml +// +// Please read the example samplesheet so you can understand how to properly fill it. +// +// It is also documented in the main manual: https://bacannot.readthedocs.io/en/latest/samplesheet.html + input = null + +// path to directory containing databases used by bacannot +// you can download databases with: +// nextflow run fmalmeida/bacannot --get_dbs --output bacannot_dbs -profile + bacannot_db = null + + /* + + GENERAL PARAMETERS + + */ + +// Main output folder name. More than one bacannot annotation can be redirected +// to the same output parameter. It is good to keep related annotations together. +// A subdirectory with the filename will be created inside this directory. + output = 'results' + +// Number of minimum overlapping base pairs required for merging +// Negative values, such as -20, means the number of required overlapping bases for merging. +// Positive values, such as 5, means the maximum distance accepted between features for merging. +// By default (if Blank), this process is not executed. For execution the user needs to provide a value + bedtools_merge_distance = null + + /* + * Prokka optional parameters + */ +// Do not use PGAP (NCBI) database? +// PGAP is big and using it may have higher running times but better results +// To do not use it, set the following to true + prokka_skip_pgap = false + +// Annotation mode: Archaea|Bacteria|Mitochondria|Viruses (default 'Bacteria') + prokka_kingdom = null + +// Translation table code. Must be set if the above is set. +// Example: params.prokka_genetic.code = 11 + prokka_genetic_code = null + +// Use rnammer instead of Barrnap? False or True? + prokka_use_rnammer = false + + /* + * Resfinder species panel + */ + +// Species panel to be used when annotating with Resfinder. +// It sets a default for all samples in the samplesheet. +// If a sample has a different value inside the samplesheet it will overwrite the value for that sample +// If blank it will not be executed. +// It must be identical (without the *) as written in their webservice https://cge.cbs.dtu.dk/services/ResFinder/. +// E.g. 'Escherichia coli'; 'Klebsiella' ... + resfinder_species = null + + /* + * Handling the execution of processes + * + * By default, all processes are executed. These + * parameters tells wheter NOT to run a process. + * + * Which means: false will allow its execution + * while true will create a barrier and skip a process. + +*/ +// (NOT RUN?) Plasmids annotation (controls PlasmidFinder execution) + skip_plasmid_search = false + +// (NOT RUN?) General Virulence annotation (controls VFDB and Victors scan) + skip_virulence_search = false + +// (NOT RUN?) Resistance annotation (controls AMRfinder and RGI) + skip_resistance_search = false + +// (NOT RUN?) ICE annotation (controls ICEberg annotation) + skip_iceberg_search = false + +// (NOT RUN?) prophage annotation (controls PHAST and Phigaro) + skip_prophage_search = false + +// (NOT RUN?) KO (KEGG Orthology) annotation + skip_kofamscan = false + +// (NOT RUN?) antiSMASH (secondary metabolite) annotation + skip_antismash = false + + /* + * Custom databases can be used to annotate additional genes in the genome. + * It runs a BLAST alignment against the genome, therefore, the custom database + * More than one custom database can be given separated by commas. + * Gene headers must be properly formated as described in the + * documentation: https://bacannot.readthedocs.io/en/latest/custom-db.html + */ +// Custom fastas (PROT / NUCL) + custom_db = null +// Custom annotation using list of NCBI protein accs + ncbi_proteins = null + + /* + * Annotation thresholds to be used when scanning specific databases and features + * Select a combination of thresholds that is meaningful for your data. Some of + * the databases are protein-only, others are nucleotide only. We cannnot control + * that and the databases will be scanned either if blastp or blastn using these + * thresholds described here. + */ + +// Identity threshold for plasmid annotation + plasmids_minid = 90 + +// Coverage threshold for plasmid annotation + plasmids_mincov = 60 + +// Virulence genes identity threshold + blast_virulence_minid = 90 + +// Virulence genes coverage threshold + blast_virulence_mincov = 90 + +// AMR genes identity threshold + blast_resistance_minid= 90 + +// AMR genes coverage threshold + blast_resistance_mincov = 90 + +// MGEs (ICEs and Phages) identity threshold + blast_MGEs_minid = 85 + +// MGEs (ICEs and Phages) coverage threshold + blast_MGEs_mincov = 85 + +// User's custom database identity threshold + blast_custom_minid = 65 + +// User's custom database coverage threshold + blast_custom_mincov = 65 + +// Max resource options +// Defaults only, expecting to be overwritten + max_memory = '20.GB' + max_cpus = 16 + max_time = '40.h' + +} \ No newline at end of file diff --git a/conf/docker.config b/conf/docker.config index 9b85abba..3eb24fbd 100644 --- a/conf/docker.config +++ b/conf/docker.config @@ -1,8 +1,8 @@ // Container usage and permission - +singularity.enabled = false docker { enabled = true - runOptions = '--platform linux/amd64 -u $(id -u):root' + runOptions = '--platform linux/amd64 -u root:$(id -g)' fixOwnership = true } @@ -14,32 +14,60 @@ docker { // specific images process { - withLabel: 'main' { - container = 'fmalmeida/bacannot:v3.0' + + // container with various tools for general purposes + withLabel: 'db_download|db_tools|misc' { + container = 'fmalmeida/bacannot:v3.1_misc' } - withLabel: 'renv' { - container = 'fmalmeida/bacannot:v3.0_renv' + // container for perl tools + withLabel: 'perl' { + container = 'fmalmeida/bacannot:v3.1_perlenv' } - withLabel: 'jbrowse' { - container = 'fmalmeida/bacannot:jbrowse' + // container for python tools + withLabel: 'python' { + container = 'fmalmeida/bacannot:v3.1_pyenv' + } + + // container for R tools + withLabel: 'renv' { + container = 'fmalmeida/bacannot:v3.1_renv' } - withLabel: 'kofam' { - container = 'fmalmeida/bacannot:kofamscan' + // container for bacannot server + withLabel: 'server' { + container = 'fmalmeida/bacannot:server' } - withLabel: 'smash' { - container = 'fmalmeida/bacannot:antismash' + withLabel: 'jbrowse' { + container = 'fmalmeida/bacannot:jbrowse' } - withLabel: 'unicycler' { + withName: UNICYCLER { container = 'quay.io/biocontainers/unicycler:0.4.8--py38h8162308_3' } - withLabel: 'flye' { + withName: FLYE { container = 'quay.io/biocontainers/flye:2.9--py39h39abbe0_0' } + + /* + * Other (non-image) customization + */ + + // islandPath dimob container + withName: 'ISLANDPATH' { + // it generally fails without any reason on the first time + errorStrategy = 'retry' + maxRetries = 5 + } + + // kofamscan container + withName: 'KOFAMSCAN' { + // it generally fails without any reason on the first time + errorStrategy = 'retry' + maxRetries = 2 + } } diff --git a/conf/singularity.config b/conf/singularity.config new file mode 100644 index 00000000..adc0a31d --- /dev/null +++ b/conf/singularity.config @@ -0,0 +1,70 @@ +// Container usage and permission +docker.enabled = false +singularity.enabled = true +singularity.runOptions = '--writable-tmpfs' + + +/* + Configuration of Docker usage + DO NOT change any of those +*/ + +// specific images +process { + + // container with various tools for general purposes + withLabel: 'db_download|db_tools|misc' { + container = 'docker://fmalmeida/bacannot:v3.1_misc' + } + + // container for perl tools + withLabel: 'perl' { + container = 'docker://fmalmeida/bacannot:v3.1_perlenv' + } + + // container for python tools + withLabel: 'python' { + container = 'docker://fmalmeida/bacannot:v3.1_pyenv' + } + + // container for R tools + withLabel: 'renv' { + container = 'docker://fmalmeida/bacannot:v3.1_renv' + } + + // container for bacannot server + withLabel: 'server' { + container = 'docker://fmalmeida/bacannot:server' + } + + withLabel: 'jbrowse' { + container = 'docker://fmalmeida/bacannot:jbrowse' + } + + withName: UNICYCLER { + container = 'https://depot.galaxyproject.org/singularity/unicycler:0.4.8--py38h8162308_3' + } + + withName: FLYE { + container = 'https://depot.galaxyproject.org/singularity/flye:2.9--py39h39abbe0_0' + } + + /* + * Other (non-image) customization + */ + + // islandPath dimob container + withName: 'ISLANDPATH' { + // it generally fails without any reason on the first time + errorStrategy = 'retry' + maxRetries = 5 + } + + // kofamscan container + withName: 'KOFAMSCAN' { + // it generally fails without any reason on the first time + errorStrategy = 'retry' + maxRetries = 2 + } +} + diff --git a/conf/small_dataset_test_profile.config b/conf/small_dataset_test_profile.config index c18d4a90..ab9987fd 100644 --- a/conf/small_dataset_test_profile.config +++ b/conf/small_dataset_test_profile.config @@ -35,9 +35,6 @@ params { // A subdirectory with the filename will be created inside this directory. output = 'EXAMPLE_OUTPUT' -// Number of threads to be used by each software - threads = 5 - /* * Resfinder species panel */ @@ -59,28 +56,15 @@ params { */ // Custom nucleotide fastas custom_db = 'https://github.com/fmalmeida/test_datasets/raw/main/small_custom_db.fasta' +// Custom annotation based on NCBI protein IDs + ncbi_proteins = 'https://github.com/fmalmeida/test_datasets/raw/main/haemophilus_ncbi_proteins.txt' -} - -/* - Configuration of Nextflow Scopes - */ - -//Trace Report -trace { - enabled = true - file = "${params.output}" + "/annotation_pipeline_trace.txt" - fields = 'task_id,name,status,exit,realtime,cpus,%cpu,memory,%mem,rss' -} - -//Timeline Report -timeline { - enabled = true - file = "${params.output}" + "/annotation_pipeline_timeline.html" -} +// Limit resources and workflows so that this can run on GitHub Actions + max_cpus = 2 + max_memory = '6.GB' + max_time = '6.h' + skip_antismash = true + skip_kofamscan = true + prokka_skip_pgap = true -//Complete Report -report { - enabled = true - file = "${params.output}" + "/annotation_pipeline_nextflow_report.html" } diff --git a/conf/standard.config b/conf/standard.config index 31e4d109..9eaf9a93 100644 --- a/conf/standard.config +++ b/conf/standard.config @@ -2,14 +2,3 @@ process { executor = "local" } - -// QueueSize limit -if (params.parallel_jobs || params.parallel_jobs != '') { - qs = params.parallel_jobs -} -executor { - name = "local" - if (params.parallel_jobs || params.parallel_jobs != '') { - queueSize = qs - } -} diff --git a/conf/test_profile.config b/conf/test_profile.config index 97b7e739..cc55b83d 100644 --- a/conf/test_profile.config +++ b/conf/test_profile.config @@ -35,8 +35,11 @@ params { // A subdirectory with the filename will be created inside this directory. output = 'EXAMPLE_OUTPUT' -// Number of threads to be used by each software - threads = 5 +// maximum allowed threads to use + max_cpus = 6 + +// maximum allowed memory to use + max_memory = '14.GB' /* * Resfinder species panel @@ -61,26 +64,3 @@ params { custom_db = 'https://github.com/fmalmeida/test_datasets/raw/main/small_custom_db.fasta' } - -/* - Configuration of Nextflow Scopes - */ - -//Trace Report -trace { - enabled = true - file = "${params.output}" + "/annotation_pipeline_trace.txt" - fields = 'task_id,name,status,exit,realtime,cpus,%cpu,memory,%mem,rss' -} - -//Timeline Report -timeline { - enabled = true - file = "${params.output}" + "/annotation_pipeline_timeline.html" -} - -//Complete Report -report { - enabled = true - file = "${params.output}" + "/annotation_pipeline_nextflow_report.html" -} diff --git a/docker/Dockerfile_antismash b/docker/Dockerfile_antismash deleted file mode 100644 index dc642daa..00000000 --- a/docker/Dockerfile_antismash +++ /dev/null @@ -1,22 +0,0 @@ -FROM continuumio/miniconda3 -LABEL MAINTAINER Felipe Marques de Almeida -SHELL ["/bin/bash", "-c"] -WORKDIR /work - -# Install antismash -RUN conda config --add channels defaults && \ - conda config --add channels bioconda && \ - conda config --add channels conda-forge -RUN conda create -y -n antismash antismash - -# Download databases -RUN source activate antismash && \ - download-antismash-databases - -# install seqret -RUN conda install -y -n antismash -c bioconda -c conda-forge -c anaconda -c defaults emboss - -# fix matplotlib -RUN mkdir /matplotlib && \ - chmod -R 777 /matplotlib -ENV MPLCONFIGDIR=/matplotlib diff --git a/docker/Dockerfile_bacannot b/docker/Dockerfile_bacannot deleted file mode 100644 index abe78eec..00000000 --- a/docker/Dockerfile_bacannot +++ /dev/null @@ -1,155 +0,0 @@ -FROM fmalmeida/bacannot:main_tools -SHELL ["/bin/bash", "-c"] - -####################################### -### Download up-to-date databases ### -### this image will be updated each ### -### month with the Github-actions ### -####################################### - -# Update CARD-RGI database -RUN wget https://card.mcmaster.ca/latest/data && \ - tar -xvf data ./card.json && \ - rm data && \ - conda run -n PY36_env rgi load --card_json ./card.json - -# Platon DB -RUN mkdir -p /work/platon && \ - wget -O /work/platon/db.tar.gz "https://zenodo.org/record/4066768/files/db.tar.gz" - -# Resfinder databases -RUN cd /work/resfinder && \ - git clone https://git@bitbucket.org/genomicepidemiology/resfinder_db.git db_resfinder && \ - git clone https://git@bitbucket.org/genomicepidemiology/pointfinder_db.git db_pointfinder -RUN cd /work/resfinder/db_resfinder && \ - python3 INSTALL.py $(which kma_index) non_interactive && \ - cd ../db_pointfinder && \ - python3 INSTALL.py $(which kma_index) non_interactive - -# plasmidfinder database -RUN mkdir -p /opt/conda/share/plasmidfinder-2.1.1-1/database/ && \ - cd /opt/conda/share/plasmidfinder-2.1.1-1/database/ && \ - git clone https://bitbucket.org/genomicepidemiology/plasmidfinder_db.git && \ - mv plasmidfinder_db/* . && \ - rm -rf plasmidfinder_db && \ - python INSTALL.py - -# Phigaro database -RUN source activate PY36_env && \ - (echo -e "\n" ; echo -e "\n" ; echo "N" ; echo "N") | phigaro-setup --pvog /work/phigaro_pvog -c /work/phigaro_config.yml --no-updatedb && \ - chmod a+rw -R /work/phigaro_pvog - -# AMRFinderPlus database -RUN mkdir -p /opt/conda/share/amrfinderplus/data && amrfinder_update --force_update --database /opt/conda/share/amrfinderplus/data - -WORKDIR /work/dbs - -####################### -### BLAST databases ### -####################### - -## VFDB nt -RUN mkdir vfdb && \ - wget http://www.mgc.ac.cn/VFs/Down/VFDB_setA_nt.fas.gz && \ - gzip -d VFDB_setA_nt.fas.gz && \ - awk -v db=VFDB '/>/{ split($0,name," "); split($0,id," \\["); all=$0; $0=">" db "~~~" name[2] "~~~" name[1] "~~~[" id[2] " " all }1' VFDB_setA_nt.fas | \ - sed -e 's/~>/~/g' -e 's/ ~/~/g' -e 's/]~/~/g' -e 's/ >/ /' | \ - awk -F "]" ' { if ($0 ~ />/) { gsub(" ", "_", $1); print $1 "] " $2 "]"} else { print $0 }}' > vfdb/sequences && \ - makeblastdb -in vfdb/sequences -title 'vfdb' -dbtype nucl -logfile /dev/null && \ - rm VFDB_setA_nt.fas - -## ICEberg nt (ICEs) -RUN mkdir iceberg && \ - wget https://bioinfo-mml.sjtu.edu.cn/ICEberg2/download/ICE_seq_experimental.fas && \ - awk -v db=ICEberg '/>/{ split($0,a,"|"); all=$0; $0=">" db "~~~" "ICE_" a[2] "~~~" a[5] "~~~" a[3] " " all }1' ICE_seq_experimental.fas | \ - sed -e 's/ >/ /g' > iceberg/sequences && \ - rm ICE_seq_experimental.fas && \ - makeblastdb -in iceberg/sequences -title 'iceberg' -dbtype nucl -logfile /dev/null - -## ICEberg prot (genes) -RUN wget https://bioinfo-mml.sjtu.edu.cn/ICEberg2/download/ICE_aa_experimental.fas && \ - awk -v db=ICEberg \ - '/>/{ split($0,col," "); split(col[1],a,"[|]"); split(col[2],b,"[|]"); split($0,c,"[|]"); all=$0; $0=">" db "~~~" "ICE_" a[2] "~~~" b[4] "~~~" c[6] " " all }1' \ - ICE_aa_experimental.fas | sed -e 's/ >/ /g' | awk -F '\\]' \ - '{ if ($0 ~ />/) { gsub(" ","_",$1); gsub("_\\[","_",$1); gsub("~_","~",$1); print $1,$2 "]" } else { print $0 }}' > iceberg/proteins && \ - diamond makedb --in iceberg/proteins -d iceberg/diamond && \ - makeblastdb -in iceberg/proteins -title 'iceberg' -dbtype prot -logfile /dev/null && \ - rm ICE_aa_experimental.fas - -## VICTORS prot (genes) -RUN mkdir victors && \ - wget -O victors_original.fasta "http://www.phidias.us/victors/downloads/gen_downloads_protein.php" && \ - grep -v "^[^>M]" victors_original.fasta > victors_prot.fasta && \ - rm victors_original.fasta && \ - awk -v db=victors '/>/{ split($0,a,"|"); split(a[5],gene," \\["); all=$0; $0=">" db "~~~" gene[1] "~~~" a[4] "~~~" "Victors_" a[2] " " all }1' victors_prot.fasta | \ - sed -e 's/ >/ /g' -e 's/~ /~/g' | \ - awk -F "~~~" ' { if ($0 ~ />/) { gsub(" ", "_", $2); print $1 "~~~" $2 "~~~" $3 "~~~" $4 } else { print $0 }}' > victors/sequences && \ - diamond makedb --in victors/sequences -d victors/diamond && \ - makeblastdb -in victors/sequences -title 'victors' -dbtype prot -logfile /dev/null && \ - rm victors_prot.fasta - -## PHAST prot (genes) -RUN mkdir phast && \ - wget -O phast_prot.fasta http://phaster.ca/downloads/prophage_virus.db && \ - awk -v db=phast '/>/{ split($0,a,"|"); split(a[5],gene," \\["); all=$0; $0=">" db "~~~" gene[1] "~~~" a[4]"~~~" "PHAST_" a[2] " " all }1' phast_prot.fasta | \ - sed -e 's/ >/ /g' -e 's/~ /~/g' | \ - awk -F "~~~" ' { if ($0 ~ />/) { gsub(" ", "_", $2); print $1 "~~~" $2 "~~~" $3 "~~~" $4 } else { print $0 }}' | \ - awk -F "~~~" ' { if ($0 ~ />/) { gsub("-", "_", $2); print $1 "~~~" $2 "~~~" $3 "~~~" $4 } else { print $0 }}' > phast/sequences && \ - rm phast_prot.fasta && \ - diamond makedb --in phast/sequences -d phast/diamond && \ - makeblastdb -in phast/sequences -title 'phast' -dbtype prot -logfile /dev/null - -## ARGMiner prot -## argminer server has a lot of problems -COPY argminer_bkp/argminer.fasta /work/dbs/argminer.fasta -RUN mkdir ARGMiner && \ - ( wget -t 1 http://bench.cs.vt.edu/ftp/argminer/release/ARGminer-v1.1.1.A.fasta && \ - awk -v db=ARGMiner '/>/{ split($0,a,"|"); $0=">" db "~~~" a[3] "~~~" a[1] "~~~" a[2] " " a[4] }1' ARGminer-v1.1.1.A.fasta | \ - sed -e 's/~>/~/g' -e 's/gi:.*:ref://g' -e 's/gi:.*:gb://g' -e 's/gi:.*:emb://g' -e 's/:~/~/g' > ARGMiner/sequences && \ - rm ARGminer-v1.1.1.A.fasta && \ - makeblastdb -in ARGMiner/sequences -title 'argminer' -dbtype prot -logfile /dev/null && \ - diamond makedb --in ARGMiner/sequences -d ARGMiner/diamond && \ - rm -rf /work/dbs/argminer.fasta ) || ( cat argminer.fasta > ARGMiner/sequences && \ - makeblastdb -in ARGMiner/sequences -title 'argminer' -dbtype prot -logfile /dev/null && \ - diamond makedb --in ARGMiner/sequences -d ARGMiner/diamond ) - -# Return to main workdir -WORKDIR /work - -##################################################################################### -### CONDA env fixes to maintain compatibility with previous (until v2.2) versions ### -##################################################################################### -RUN ln -s /opt/conda/envs/PERL_env /opt/conda/envs/PROKKA -RUN ln -s /opt/conda/envs/PERL_env /opt/conda/envs/MLST -RUN ln -s /opt/conda/envs/PERL_env /opt/conda/envs/find_GIs -RUN ln -s /opt/conda/envs/PERL_env/bin/barrnap /opt/conda/bin/barrnap -RUN mkdir -p /miniconda/bin/ && ln -s /opt/conda/bin/python3 /miniconda/bin/python3 -RUN ln -s /opt/conda /opt/conda/envs/phispy -RUN ln -s /opt/conda /opt/conda/envs/Resfinder -RUN ln -s /opt/conda /opt/conda/envs/PLATON -RUN ln -s /opt/conda /opt/conda/envs/PLASMIDFINDER -RUN ln -s /opt/conda /opt/conda/envs/AMRFINDERPLUS -RUN ln -s /opt/conda/envs/PY36_env /opt/conda/envs/RGI -RUN ln -s /opt/conda/envs/PY36_env/bin/phigaro /opt/conda/bin/ - -########################### -### Fix RGI permissions ### -########################### -ENV MPLCONFIGDIR="/tmp/" -RUN chmod -R a+rwx /opt/conda/envs/PY36_env/lib/python3.6/site-packages/app/ - -##################################################################### -### Fix AMRFinderPlus that do not find the database automatically ### -##################################################################### -RUN mv /opt/conda/bin/amrfinder /opt/conda/bin/amrfinder_source && \ - echo "#!/bin/bash" >> /opt/conda/bin/amrfinder && \ - echo "/opt/conda/bin/amrfinder_source --database /opt/conda/share/amrfinderplus/data/latest \$@" >> /opt/conda/bin/amrfinder && \ - chmod a+rwx /opt/conda/bin/amrfinder - -##################################################################### -### Fix plasmidfinder that do not find the database automatically ### -##################################################################### -RUN mv /opt/conda/bin/plasmidfinder.py /opt/conda/bin/plasmidfinder_source.py && \ - echo "#!/bin/bash" >> /usr/local/bin/plasmidfinder.py && \ - echo "/opt/conda/bin/plasmidfinder_source.py -p /opt/conda/share/plasmidfinder*/database \$@" >> /usr/local/bin/plasmidfinder.py && \ - chmod a+rwx /usr/local/bin/plasmidfinder.py diff --git a/docker/Dockerfile_kofamscan b/docker/Dockerfile_kofamscan deleted file mode 100644 index 35bd8fc9..00000000 --- a/docker/Dockerfile_kofamscan +++ /dev/null @@ -1,103 +0,0 @@ -FROM ubuntu:20.04 - -MAINTAINER Felipe Marques - -WORKDIR /work - -# Generic dependencies -RUN apt-get update && \ - apt-get upgrade -y && \ - apt-get install -y wget make cmake - -# Install KOfam and its dependencies -## KOFAM DB -RUN mkdir -p /work/kofamscan/db && \ - cd /work/kofamscan/db && \ - wget ftp://ftp.genome.jp/pub/db/kofam/ko_list.gz && \ - wget ftp://ftp.genome.jp/pub/db/kofam/profiles.tar.gz && \ - gunzip ko_list.gz && \ - tar xvzf profiles.tar.gz && \ - rm -rf profiles.tar.gz - - # for the sake of size and fastness - # let's select only the KOs from prokaryotes -RUN cd /work/kofamscan/db/profiles && \ - for dirs in *.hmm ; do if ! grep -qxFe "$dirs" prokaryote.hal ; then rm -rf $dirs ; fi; done - -## KOFAM binaries -RUN mkdir -p /work/kofamscan/bin && \ - cd /work/kofamscan/bin && \ - wget ftp://ftp.genome.jp/pub/tools/kofam_scan/kofam_scan-1.3.0.tar.gz && \ - tar xvzf kofam_scan-1.3.0.tar.gz && \ - rm -f kofam_scan-1.3.0.tar.gz && \ - cp -r kofam_scan-1.3.0/* . && \ - rm -rf kofam_scan-1.3.0 -## KOFAM HMMER -RUN cd /work/kofamscan && \ - mkdir hmmer src && \ - cd src && \ - wget http://eddylab.org/software/hmmer/hmmer.tar.gz && \ - tar xvzf hmmer.tar.gz && \ - rm -f hmmer.tar.gz && \ - cd hmmer-3.3.2 && \ - ./configure --prefix=/work/kofamscan/hmmer && \ - make && \ - make install -## KOFAM RUBY -RUN mkdir /work/kofamscan/ruby && \ - cd /work/kofamscan/src && \ - wget https://cache.ruby-lang.org/pub/ruby/2.6/ruby-2.6.3.tar.gz && \ - tar xvzf ruby-2.6.3.tar.gz && \ - rm -f ruby-2.6.3.tar.gz && \ - cd ruby-2.6.3 && \ - ./configure --prefix=/work/kofamscan/ruby && \ - make && \ - make install -## KOFAM parallel -RUN mkdir /work/kofamscan/parallel && \ - cd /work/kofamscan/src && \ - wget https://ftp.gnu.org/gnu/parallel/parallel-latest.tar.bz2 && \ - tar xvjf parallel-latest.tar.bz2 && \ - rm -f parallel-latest.tar.bz2 && \ - cd parallel-* && \ - ./configure --prefix=/work/kofamscan/parallel && \ - make && \ - make install - -# Install NCBI shared libraries -RUN apt-get install -y ncbi-tools-bin - -# Update path -ENV PATH=/work/kofamscan/ruby/bin:$PATH -RUN ln -rs /work/kofamscan/bin/exec_annotation /usr/local/bin/kofamscan - -# Edit config.yml -RUN mv /work/kofamscan/bin/config-template.yml /work/kofamscan/bin/config.yml && \ - echo "profile: /work/kofamscan/db/profiles/prokaryote.hal" >> /work/kofamscan/bin/config.yml && \ - echo "ko_list: /work/kofamscan/db/ko_list" >> /work/kofamscan/bin/config.yml && \ - echo "hmmsearch: /work/kofamscan/hmmer/bin/hmmsearch" >> /work/kofamscan/bin/config.yml && \ - echo "parallel: /work/kofamscan/parallel/bin/parallel" >> /work/kofamscan/bin/config.yml - -## Install kegg decoder -## Re-init shell -SHELL ["/bin/bash", "-c"] -# INSTALL MINICONDA -RUN wget https://repo.continuum.io/miniconda/Miniconda3-latest-Linux-x86_64.sh -O /miniconda.sh && \ - bash /miniconda.sh -b -p /miniconda -ENV PATH="$PATH:/miniconda/bin" - -# Update do latest -RUN conda update -y -n base conda - -RUN conda create -n kegg-decoder-env python=3.7 && \ - source activate kegg-decoder-env && \ - python3.7 -m pip install KEGGDecoder - -# Clean -RUN conda clean -afy \ - && find /miniconda/ -follow -type f -name '*.a' -delete \ - && find /miniconda/ -follow -type f -name '*.pyc' -delete \ - && find /miniconda/ -follow -type f -name '*.js.map' -delete - -# Done -WORKDIR /work diff --git a/docker/Dockerfile_main_tools b/docker/Dockerfile_main_tools deleted file mode 100644 index 19bb4b70..00000000 --- a/docker/Dockerfile_main_tools +++ /dev/null @@ -1,68 +0,0 @@ -FROM continuumio/miniconda3 -LABEL MAINTAINER Felipe Marques de Almeida -SHELL ["/bin/bash", "-c"] -WORKDIR /work - -######################### -### tools in base env ### -######################### -RUN conda install -y -c anaconda -c bioconda -c conda-forge -c defaults \ - pip samtools "platon>=1.6.0" emboss nomkl seqkit \ - plasmidfinder phispy "blast>=2.11.0" "diamond>=2" "biopython>=1.71" prodigal hmmer \ - minimap2 bedtools bc ncbi-amrfinderplus kma && \ - conda clean -afy -RUN apt-get update && \ - apt-get install -y procps build-essential libhdf5-dev && \ - apt-get update && apt-get install -y && \ - git clone --recursive https://github.com/jts/nanopolish.git && \ - cd nanopolish && \ - make all && \ - rm /work/nanopolish/hdf5-1.8.14.tar.gz /work/nanopolish/eigen-3.3.7.tar.bz2 -ENV PATH="$PATH:/work/nanopolish" -RUN python3 -m pip install docopt pandas tabulate numpy bcbio-gff cgecore gitpython setuptools python-dateutil && \ - git clone https://github.com/fmalmeida/gff-toolbox.git && \ - cd gff-toolbox && \ - python3 -m pip install setuptools && \ - python3 setup.py install && \ - gff-toolbox -h && \ - cd .. && \ - git clone -b master https://git@bitbucket.org/genomicepidemiology/resfinder.git - -############################### -### tools that rely on PERL ### -############################### -RUN conda create -n PERL_env -c bioconda -c conda-forge -c defaults -y "prokka>=1.14" mlst nomkl islandpath && \ - wget https://ftp.ncbi.nlm.nih.gov/hmm/TIGRFAMs/release_15.0/TIGRFAMs_15.0_HMM.LIB.gz && \ - gzip -d TIGRFAMs_15.0_HMM.LIB.gz && \ - mv TIGRFAMs_15.0_HMM.LIB TIGRFAMs_15.hmm && \ - mv TIGRFAMs_15.hmm /opt/conda/envs/PERL_env/db/hmm/ && \ - conda run -n PERL_env prokka --setupdb && \ - git clone https://github.com/brinkmanlab/islandpath.git ./islandpath && \ - ln -rs ./islandpath/Dimob.pl /usr/local/bin && \ - conda run -n PERL_env python -m pip install biopython && \ - conda clean -afy - -###################################### -### tools that rely on PYTHON 3.6 ### -###################################### -RUN conda create -y -c bioconda -c conda-forge -c defaults -n PY36_env "python>=3.6" "phigaro>=2.3.0" "rgi>=5.2.0" refseq_masher nomkl && \ - conda clean -afy - -############################################################### -### tool that require specific version of a specific module ### -############################################################### -RUN conda create -y -n digIS -c bioconda 'hmmer==3.1b2' 'biopython==1.77' nomkl && \ - conda clean -afy && \ - git clone https://github.com/janka2012/digIS.git - -######################### -### my custom scripts ### -######################### -COPY scripts/bscripts /work/bscripts -COPY scripts/pscripts/splitgenbank.py /usr/local/bin/splitgenbank.py -COPY scripts/pscripts/resfinder2gff.py /usr/local/bin/resfinder2gff.py -COPY scripts/pscripts/run_blasts.py /usr/local/bin/run_blasts.py -RUN chmod a+x /usr/local/bin/run_blasts.py && \ - chmod a+rwx /work/bscripts/* && \ - chmod a+x /usr/local/bin/splitgenbank.py && \ - chmod a+x /usr/local/bin/resfinder2gff.py diff --git a/docker/Dockerfile_jbrowse b/docker/jbrowse/Dockerfile similarity index 61% rename from docker/Dockerfile_jbrowse rename to docker/jbrowse/Dockerfile index 83d2a554..dab7d3a5 100644 --- a/docker/Dockerfile_jbrowse +++ b/docker/jbrowse/Dockerfile @@ -1,15 +1,15 @@ -FROM ubuntu:18.04 +FROM ubuntu:20.04 LABEL MAINTAINER Felipe Marques de Almeida WORKDIR /work +RUN chmod 777 -R /work RUN apt-get update && apt-get upgrade -y RUN apt-get -qq update --fix-missing -RUN apt-get --no-install-recommends -y install git build-essential zlib1g-dev libxml2-dev libexpat-dev postgresql-client libpq-dev -RUN apt-get install -y libpng-dev build-essential zlib1g-dev curl wget unzip rsync +RUN export DEBIAN_FRONTEND="noninteractive" ; apt-get --no-install-recommends -y install git build-essential zlib1g-dev libxml2-dev libexpat-dev postgresql-client libpq-dev libpng-dev curl wget unzip rsync -RUN wget https://github.com/GMOD/jbrowse/releases/download/1.16.9-release/JBrowse-1.16.9.zip && \ +RUN wget --no-check-certificate https://github.com/GMOD/jbrowse/releases/download/1.16.9-release/JBrowse-1.16.9.zip && \ unzip JBrowse-1.16.9.zip && \ mv JBrowse-1.16.9 jbrowse && \ apt-get install -y libnet-ssleay-perl samtools && \ @@ -27,10 +27,12 @@ RUN cd /work/jbrowse && \ ./bin/cpanm --force Bio::GFF3::LowLevel Bio::GFF3::LowLevel::Parser DBD::SQLite \ Digest::Crc32 File::Copy::Recursive JSON::XS Parse::RecDescent local::lib -# Change Permissions -RUN mkdir /work/data && chmod -R g+rwx /work/data && chmod -R a+rwx /work/data && chmod -R g+rwx /work/jbrowse && chmod -R a+rwx /work/jbrowse +# for backup reasons to work with previous versions +RUN mkdir -p /work/bscripts && \ + wget -O /work/bscripts/run_jbrowse.sh https://github.com/fmalmeida/bacannot/raw/305956e6ae2b42a8a5f69bedccbacccadaf2a30e/docker/scripts/bscripts/run_jbrowse.sh && \ + chmod a+x /work/bscripts/run_jbrowse.sh -# Get runner -ADD scripts/bscripts /work/bscripts +# Change Permissions +RUN chmod 777 -R /work WORKDIR /work/data diff --git a/docker/jbrowse/build.sh b/docker/jbrowse/build.sh new file mode 100644 index 00000000..51153a5c --- /dev/null +++ b/docker/jbrowse/build.sh @@ -0,0 +1 @@ +../../bin/build_image.sh $1 diff --git a/docker/misc/Dockerfile b/docker/misc/Dockerfile new file mode 100644 index 00000000..4f323ebb --- /dev/null +++ b/docker/misc/Dockerfile @@ -0,0 +1,58 @@ +FROM nfcore/base +LABEL authors="Felipe Almeida" \ + description="Docker image containing any-based bacannot tools" + +# Install the conda environment +RUN conda install -c bioconda -c defaults -c conda-forge -c anaconda -c falmeida --force-reinstall --update-deps --no-channel-priority \ + curl \ + git \ + 'python=3.7' \ + 'blast=2.12.0' \ + 'diamond=2.0.13' \ + 'bedtools=2.30' \ + 'samtools=1.14' \ + 'kma' \ + 'kofamscan' \ + 'ncbi-amrfinderplus' \ + 'nanopolish' \ + 'biopython==1.78' \ + gff-toolbox \ + seqkit && \ + conda clean -afy + +# Create env for digIS +RUN conda create -y -n digIS -c bioconda -c defaults -c conda-forge -c anaconda -c falmeida --no-channel-priority 'hmmer==3.1b2' 'biopython==1.77' nomkl && \ + conda clean -afy + +# Create env for antismash +RUN conda create -y -n antismash -c bioconda -c defaults -c conda-forge -c anaconda -c falmeida --no-channel-priority 'antismash>=6' 'anaconda::jinja2' 'anaconda::markupsafe' emboss nomkl && \ + rm -r /opt/conda/envs/antismash/lib/*/site-packages/antismash/databases && \ + conda clean -afy + +# Install pip packages +RUN pip install docopt pandas tabulate numpy bcbio-gff cgecore gitpython setuptools python-dateutil 'biopython==1.78' + +# Install KEGGDecoder +RUN conda create -n KEGGDecoder python=3.6 && \ + conda run -n KEGGDecoder python3 -m pip install KEGGDecoder + +# set CONDA_PREFIX +ENV CONDA_PREFIX=/opt/conda + +# get a copy of argminer database +WORKDIR /work +COPY argminer_bkp/argminer.fasta /work/argminer.fasta + +# get a copy of resfinder +RUN git clone -b master https://git@bitbucket.org/genomicepidemiology/resfinder.git && \ + chmod a+rwx -R resfinder +ENV PATH=/work/resfinder:$PATH + +# get a copy of digis +RUN git clone -b master https://github.com/janka2012/digIS.git +COPY custom_fix_grange_digis.py /work/digIS/src/common/grange.py +ENV PATH=/work/digIS:$PATH + +# fix permissions +RUN chmod 777 -R /work +RUN chmod 777 -R /opt/conda/envs/antismash/lib/*/site-packages/antismash \ No newline at end of file diff --git a/docker/argminer_bkp/argminer.fasta b/docker/misc/argminer_bkp/argminer.fasta similarity index 90% rename from docker/argminer_bkp/argminer.fasta rename to docker/misc/argminer_bkp/argminer.fasta index c5a382e2..257ae1f5 100644 --- a/docker/argminer_bkp/argminer.fasta +++ b/docker/misc/argminer_bkp/argminer.fasta @@ -1,29744 +1,29744 @@ ->ARGMiner~~~mdtP~~~BAE78082.1~~~multidrug unknown +>ARGMiner~~~mdtP~~~BAE78082.1~~~multidrug~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~BlaB~~~WP_024565805.1~~~beta_lactam unknown +>ARGMiner~~~BlaB~~~WP_024565805.1~~~beta_lactam~~~unknown MKKIKISLILALGLTSLKAFGQENPDVKIEKLKDNLYVYTTYNTFNGTKYAANAVYLVTDKGVVVIDCPWGEDKFKSFTDEIYKKHGKKVIMNIATHSHDDRAGGLEYFGKIGAKTYSTKMTDSILAKENKPRAQYTFDNNKSFKVGKSEFQVYYPGKGHTADNVVVWFPKEKVLVGGCIIKSADSKDLGYIGEAYVNDWTQSVHNIQQKFSGAQYVVAGHDDWKDQRSIQHTLDLINEYQQKQKASN ->ARGMiner~~~AdeC~~~ALX99516.1~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~AdeC~~~ALX99516.1~~~multidrug~~~Multi-drug efflux pumps MSKSTIVSRGLILSTLSIALVACVNMQAPQPAITSHIPQNFSQNHSGKMIAEKSYKEFISDPKLLQVIEISLNNNRDLRTATLNIERVQQEYQITKNSQLPTIGVTGNAVRQVSPSINPNNPVSTFQVGLGMTAYELDFWGRVQNLKDAALNNYLATQSAKEAVQIGLISNITQVWLNYAFAQANLNLAEQTLKAQVDAYNLNKKRFDVGIDSEVPLKQAQISVETARNDVATYKTQIQQAKNLLDLLAGHPVPQNLLPDHAIQNITFEKNFAAGLPSDLLNHRPDLKAAEYELRVAGANIGAAKARMFPTISLTGSTGYASSELKDLFKTGNFAWSIGPNIDLPIFDWGTRKTNIKIAETDQKIALAKYEKAIQSAFREVNDALATHAHIGERLDAQRRLVSATAATYKLSMARYKAGVDSYFTVLDAQRSAYAAQQGLLALEQIKLNNQIEIYKVLGGGISKV ->ARGMiner~~~sav1866~~~YP_186749.1~~~multidrug unknown +>ARGMiner~~~sav1866~~~YP_186749.1~~~multidrug~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~mtrE~~~CAA64891.1~~~multidrug unknown +>ARGMiner~~~mtrE~~~CAA64891.1~~~multidrug~~~unknown MNTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFQNDTSVSSIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANGSRQGSLSGGNVSSSYNVGLGAASYELDLFGRVRSSSEAALQGYFASVANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYNAVRIAVQGRRDFRRRPAPAEALIESAKADYAHAARSREQARNALATLINRPIPEDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGSVGTGSVELGGLFKSGTGVWAFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYESAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTGK ->ARGMiner~~~emea~~~BAC11911.1~~~multidrug multi-drug efflux pumps +>ARGMiner~~~emea~~~BAC11911.1~~~multidrug~~~multi-drug efflux pumps MTKKNSMMYLAISNLFLVFLGVGLVIPVIPQLKEEMHFSGTTMGMMISIFAIAQLITSPIAGVLSDKIGRKKMIATGMLVFSISELLFGLAQAKSGFYISRGLGGIAAALLMPSVTAFVADMTTISERPKAMGLVSAAISGGFXXXXXXXXXXXXXXXXXXXXXXXXRAPFFAAAFLAFIGFILTLTVLKEPEKRILAAVEAKKGSFMDILRNPMFTSLFVIILISSFGLQAFESIYSIMATINFGFTTSEIAIVITVSGILALICQLFFFDAIVQKIGEMGLIQLTFFASAIFIAVIAFTKNNLVVVFSTFIVFLAFDLFRPAVTTYLSKHAGDQQGTINGLNSTFTSFGNILGPMAAGALFDINHFFPYYVSAVILLGTGFLSLFLNRNKM ->ARGMiner~~~mecC~~~WP_000725529.1~~~beta_lactam unknown +>ARGMiner~~~mecC~~~WP_000725529.1~~~beta_lactam~~~unknown MKKIYISVLVLLLIMIIITWLFKDDDIEKTISSIEKGNYNEVYKNSSEKSKLAYGEEEIVDRNKKIYKDLSVNNLKITNHEIKKTGKDKKQVDVKYNIYTKYGTIRRNTQLNFIYEDKHWKLDWRPDVIVPGLKNGQKINIETLKSERGKIKDRNGIELAKTGNTYEIGIVPNKTPKEKYDDIARDLQIDTKAITNKVNQKWVQPDSFVPIKKINKQDEYIDKLIKSYNLQINTIKSRVYPLNEATVHLLGYVGPINSDELKSKQFRNYSKNTVIGKKGLERLYDKQLQNTDGFKVSIANTYDNKPLDTLLEKKAENGKDLHLTIDARVQESIYKHMKNDDGSGTALQPKTGEILALVSTPSYDVYPFMNGLSNNDYRKLTNNKKEPLLNKFQITTSPGSTQKILTSIIALKENKLDKNTNFDIYGKGWQKDASWGNYNITRFKVVDGNIDLKQAIESSDNIFFARIALALGAKKFEQGMQDLGIGENIPSDYPFYKAQISNSNLKNEILLADSGYGQGEILVNPIQILSIYSALENNGNIQNPHVLRKTKSQIWKKDIIPKKDIDILTNGMERVVNKTHRDDIYKNYARIIGKSGTAELKMNQGETGRQIGWFVSYNKNNPNMLMAINVKDVQNKGMASYNATISGKVYDDLYDNGKTQFDIDQ ->ARGMiner~~~mdtD~~~AAC75138.1~~~multidrug unknown +>ARGMiner~~~mdtD~~~AAC75138.1~~~multidrug~~~unknown MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLLLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLTIAGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSGTTQTVFMYTWLSMALIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~rphA~~~AIA08936.1~~~rifampin unknown +>ARGMiner~~~rphA~~~AIA08936.1~~~rifampin~~~unknown MSGRLVVDLQDVDAAGLAEVGGKGAHLGELSRIDGVRVPSGFCVTTHAFRRIMAEAPESGELLDRLSRVDEGDQEAVRSLAARLRQVVGATPLPDEVAAAVTGALARHGERSAYAVRSSATAEDLPTASFAGQQDTYLNVVGTEEILRHVSRCWASLFTERAVTYRGRQGVDHRTVHMGVVVQRMVVPRASGILFTADPVTGDRRTATVDAGFGLGEALVSGLVDPDVLTVRHGEVVARTIAAKRRALHAVQGGGTRETPIEERRQREPVLTDDQAVELVALGRRIEAHFGSPQDIEWCLDDDGFHIVQSRPITTLFPVPERDDDVFRVYLSVGHQQMMTDAMKPLGLSMWRLTALAPMYEAGGRLFVDATARLAVPGSRATLLDVVGRGDPLTRDALETVLENGEFEPTPAETDGGAPPAGDGAEPDEADPSIVTELIERSRRSLAELEREIGTKSGPALFAFLREAFEEHKRVVGDPLNIRAIMAGMEATWWLNDRLEEWLGEKNAADTLTLSAPDNVTSEMGLELLDVADVVRTHPEVVAFLEGVEDDGFLDELPKVPGGAEARDAFEAYLDRYGMRCVGEIDITXPPVRERPSALVPVVLDHVRAFGPGAAARRFEDGRRRALAKEREVLERLRDLPDGERRADAARRMIRQVRAFAGYREYPKYAIVSRSFVYRQALLREADELVRAGVLADREDVHYLTFDEFEEAVRVRRVDERLVRRRKDAFRSYQALTPPRVLTSEGVALSGAYRRDDVPEGALAGLAVSAGTVEGRARVVLDMAEADLEAGDILVTRFTDPSWSPLFVGIAGLVTEVGGLMTHGAVIAREYGLAAVVGVERATRLIRDGQRIRVHGTEGYIELLS ->ARGMiner~~~mtrC~~~NP_274719.1~~~multidrug unknown +>ARGMiner~~~mtrC~~~NP_274719.1~~~multidrug~~~unknown MAFYAFKAMRAAALAAAVALVLSSCGKGGDAAQGGQPAGREAPAPVVGVVTVHPQTVALTVELPGRLESLRTADVRAQVGGIIQKRLFQEGSYVRAGQPLYQIDSSTYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLVAAEAVSRQEYDAAVTAKRSAEAGXXXXXXXXXXXXISLNRSRITAPISGFIGQSKVSEGTLLNAGDATVLATIRQTNPMYVNVTQSASEVMKLRRQIAEGKLLAADGVIAVGIKFDDGTVYPEKGRLLFADPAVNESTGQITLRAAVPNDQNILMPGLYVRVLMDQVAVDNAFVVPQQAVTRGAKDTVMIVNAQGGMEPREVTVAQQQGTNWIVTSGLKDGDKVVVEGISIAGITGAKKVTPKEWASSENQAAAPQSGVQTASEAKPASEAK ->ARGMiner~~~abeM~~~BAD89844.2~~~multidrug unknown +>ARGMiner~~~abeM~~~BAD89844.2~~~multidrug~~~unknown MSNVTSFRSELKQLFHLMLPILITQFAQAGFGLIDTIMAGHLSAADLAAIAVGVGLWIPVMLLFSGIMIATTPLVAEAKGARNTEQIPVIVRQSLWVAVILGVLAMLILQLMPFFLHVFGVPESLQPKASLFLHAIGLGMPAVTMYAALRGYSEALGHPRPVTVISLLALVVLIPLNMIFMYGLGPIPALGSAGCGFATSILQWLMLITLAGYIYKASAYRNTSIFSRFDKISLTWVKRILQLGLPIGLAVFFEVSIFSTGALVLSPLGEVFIAAHQVAISVTSVLFMIPLSLAIALTIRVGTYYGEKNWASMYQVQKIGLSTAVFFALLTMSFIALGREQIVSVYTQDINVVPVAMYLLWFAMAYQLMDALQVSAAGCLRGMQDTQAPMWITLMAYWVIAFPIGLYLARYTDWGVAGVWLGLIIGLSIACVLLLSRLYLNTKRLSQT ->ARGMiner~~~mdtN~~~BAE78084.1~~~multidrug unknown +>ARGMiner~~~mdtN~~~BAE78084.1~~~multidrug~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mtrA~~~CCP46065.1~~~multidrug unknown +>ARGMiner~~~mtrA~~~CCP46065.1~~~multidrug~~~unknown MDTMRQRILVVDDDASLAEMLTIVLRGEGFDTAVIGDGTQALTAVRELRPDLVLLDLMLPGMNGIDVCRVLRADSGVPIVMLTAKTDTVDVVLGLESGADDYIMKPFKPKELVARVRARLRRNDDEPAEMLSIADVEIDVPAHKVTRNGEQISLTPLEFDLLVALARKPRQVFTRDVLLEQVWGYRHPADTRLVNVHVQRLRAKVEKDPENPTVVLTVRGVGYKAGPP ->ARGMiner~~~mtrD~~~NP_274718.1~~~multidrug unknown +>ARGMiner~~~mtrD~~~NP_274718.1~~~multidrug~~~unknown MAKFFIDRPIFAWVISIFIIAAGIFGIKSLPVSQYPSVAAPTITLRATYPGASAQVMEDSVLSVIERNMNGVEGLDYMSTSADSSGSGSVSLTFTPDTDENLAQVEVQNKLSEVLSTLPATVQQYGVTVSKARSNFLMIVMLSSDVQSTEEMNDYAQRNIVPELQRIEGVGQVRLFGAQRAMRIWVDPKKLQNYNLSFADVGSALSAQNVQISAGSIGSLPAVRGQTVTATVTAQGQLGTAEEFGNVILRANTDGSNVYLKDVARVGLGMEDYSSSTRLNGVNTTGMAVMLSNSGNAMATAKAVKERMATLEKYFPQGMSWKTPYDTSKFVEISIEKVIHTLIEAMVLVFVVMYLFLQNIRYTLIPTIVVPISLLGGFAFISYMGMSINVLTMFAMVLVIGIVVDDAIVVVENVERIMAGEGLPPKEATKKAMGQISGAVIGITAVLISVFVPLAMFSGATGNIYKQFALTMASSIAFSAFLALTLTPALCATMLKTIPKGHHEEKKGFFGWFNKKFNSWTHGYEGRVAKVLRKTFRMMVVYIGLAVVGVFLFMRLPTSFLPTEDQGFVMVSVQLPAGATQERTNATLAQVTQLAKSIPEIENIITVSGFSFSGSGQNMAMGFAILKDWNERTAPGSDAVAIAGKLTGMMMGTLKDGFGIAVVPPPILELGNGSGLSINLQDRNNTGHTALLAKRNELIQKMRASGLFDPSTVRAGGLEDSPQLKIDINRAAAAAQGISFADIRTALASALSSSYVSDFPNQGRLQRVMVQADEDARMQPADILNLTVPNKSGVAVPLSTIATVSWENGTEQSVRFNGYPSMKLSASPATGVSTGQAMAAVQKMVDELGGGYSLEWGGQSREEAKGGSQTLILYGLAVAAVFLVLAALYESWSIPLAVILVIPLGLIGAAAGVTGRNLFEGLLGSVPSFANDIYFQVGFVTVMGLSAKNAILIIEFAKDLQAQGKSAVEAALEAARLRFRPIIMTSFAFILGVVPLYIAGGASSASQRAIGTTVFWGMLIGTLLSVFLVPLFYVVVRKFFKETAHEHEMAVKHAAEAGITGSDDSQH ->ARGMiner~~~mdtO~~~BAE78083.1~~~multidrug unknown +>ARGMiner~~~mdtO~~~BAE78083.1~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~TEM-196~~~AFE48832.1~~~beta_lactam unknown +>ARGMiner~~~TEM-196~~~AFE48832.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKIFESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~AAC(6')-Ib8~~~YP_009062819.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib8~~~YP_009062819.1~~~aminoglycoside~~~unknown MSLKPGPKRIAESTGQPDQRQRDNKKTPGNTDKLGITKYSIVTNSTDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~sgm~~~WP_063978071.1~~~aminoglycoside unknown +>ARGMiner~~~sgm~~~WP_063978071.1~~~aminoglycoside~~~unknown MTAPAADDRIDEIERAITKSRRYQTVAPATVRRLARAALVAARGDVPDAVKRTKRGLHEIYGAFLPPSPPNYAALLRHLDSAVDAGDDEAVRAALLRAMSVHISTRERLPHLDEFYRELFRHLPRPNTLRDLACGLNPLAAPWMGLPAETVYIASDIDARLVGFVDEALTRLNVPHRTNVADLLEDRLDEPADVTLLLKTLPCLETQQRGSGWEVIDIVNSPNIVVTFPTKSLGQRSKGMFQNYSQSFESQARERSCRIQRLEIGNELIYVIQK ->ARGMiner~~~patA~~~NP_417544.5~~~quinolone unknown +>ARGMiner~~~patA~~~NP_417544.5~~~quinolone~~~unknown MNRLPSSASALACSAHALNLIEKRTLDHEEMKALNREVIEYFKEHVNPGFLEYRKSVTAGGDYGAVEWQAGSLNTLVDTQGQEFIDCLGGFGIFNVGHRNPVVVSAVQNQLAKQPLHSQELLDPLRAMLAKTLAALTPGKLKYSFFCNSGTESVEAALKLAKAYQSPRGKFTFIATSGAFHGKSLGALSATAKSTFRKPFMPLLPGFRHVPFGNIEAMRTALNECKKTGDDVAAVILEPIQGEGGVILPPPGYLTAVRKLCDEFGALMILDEVQTGMGRTGKMFACEHENVQPDILCLAKALGGGVMPIGATIATEEVFSVLFDNPFLHTTTFGGNPLACAAALATINVLLEQNLPAQAEQKGDMLLDGFRQLAREYPDLVQEARGKGMLMAIEFVDNEIGYNFASEMFRQRVLVAGTLNNAKTIRIEPPLTLTIEQCELVIKAARKALAAMRVSVEEA ->ARGMiner~~~SHV-3~~~WP_063864673.1~~~beta_lactam unknown +>ARGMiner~~~SHV-3~~~WP_063864673.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQLQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CARB-20~~~WP_020835015.1~~~beta_lactam unknown +>ARGMiner~~~CARB-20~~~WP_020835015.1~~~beta_lactam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATARIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~patB~~~NP_358969.1~~~quinolone unknown +>ARGMiner~~~patB~~~NP_358969.1~~~quinolone~~~unknown MGKYDFTSLPNRLGHHTYKWKETETDSEVLPAWIADMDFVVLPEIHQAVQTYADQLVYGYTYASEDLIKEVQKWEATQYGYNFDKEALVFIEGVVPAISTAIQTFTKEGEAVLINTPVYPPFARSVKLNNRRLITNSLVEKDSLFEIDFDQLEKDLVEEEVKLYVLCNPHNPGGRVWEKEVLEKIGQLCQKHGVLLVSDEIHQDLTLFGHKHQSFNTINPAFKNFAIVLSSATKTFNIAGTKNSYAVIENPKLRLAFQKRLLANNQHEISGLGYLATEAAYRYGKDWLEELKQVFEDHINYVVDLFGKETKIKVMKPQGTYLIWLDFSAYDLTDETLQELLRNEAKVILNRGLDFGEEGSLHARINIAMPKSLLQEVCQRIVATFAKC ->ARGMiner~~~APH(3')-IIa~~~WP_000572405.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIa~~~WP_000572405.1~~~aminoglycoside~~~unknown MIEQDGLHAGSPAAWVERLFGYDWAQQTIGCSDAAVFRLSAQGRPVLFVKTDLSGALNELQDEAARLSWLATTGVPCAAVLDVVTEAGRDWLLLGEVPGQDLLSSHLAPAEKVSIMADAMRRLHTLDPATCPFDHQAKHRIERARTRMEAGLVDQDDLDEEHQGLAPAELFARLKARMPDGEDLVVTHGDACLPNIMVENGRFSGFIDCGRLGVADRYQDIALATRDIAEELGGEWADRFLVLYGIAAPDSQRIAFYRLLDEFF ->ARGMiner~~~TEM-4~~~WP_063864911.1~~~beta_lactam unknown +>ARGMiner~~~TEM-4~~~WP_063864911.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~LRA-10~~~ACH58999.1~~~beta_lactam unknown +>ARGMiner~~~LRA-10~~~ACH58999.1~~~beta_lactam~~~unknown MAIAILSSCFAPLASRAADDSARIRAIVDQAIRPVMAEHDVPGMAVAVTVDGQPFVFNYGVASRESNTPVSDATLFELGSISKTFAATLASYAQVTGKLSLDDHPGKYMPQLKGSAIDKATLLNLGTYTAGGLPLQFPDDVSDQQMASYFQHWKPKAAPGVQRTYSNPSLGLFGHLTSLALKSRFTDALETNVLTQMGMKSTYVHVPQSAMANYAWGYDQANKPGRMNPGVLADGIYGIRSTAADMIRYVQANIAPGKLETPLRRAVEGTHVGYFKVGGMVQGLGWEQYPYPVSLQQLQAGNSTTMAWEANPAQKLTPPSVPSGATLFNKTGSTSRFGAYVAFVPEKKIGIVILANKNIPGPDRIKAAHAILEQL ->ARGMiner~~~LRA-7~~~ACH58998.1~~~beta_lactam unknown +>ARGMiner~~~LRA-7~~~ACH58998.1~~~beta_lactam~~~unknown MRSKFLLATALVLSTAFTADAADAPKRLPVNITNQDWLKPFPGFKIVGNMYYVGTYDLGCYLIDTGAGLILVNTGIMGSYPLMKASIESLGFKTSDIKIITATHGHSDHVADMASFKKDAPSAVVYMSERDVESLESGGNFDYRRPAPEGRGGLVYDPIHVDVKTKPGDHIKLGNVDMTVLQAYGHTPGATSFQFQQTDAGKTYNVMIVNMNGINAGVKLLGSPKYPTIVEDFKNTIDMQATYKPDIWVSSHAGQFNLHQVYKPGDAYNPARFGDQAAYQQKIATAKANYEKQLAEERAAAK ->ARGMiner~~~vgaC~~~AMP35312.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~vgaC~~~AMP35312.1~~~macrolide-lincosamide-streptogramin~~~unknown MRTVSIFKNGNNRAIRLPRDLDFDGVSELEIVREGDSIILRPVRPTWGSFAQLDRADPDFMAEREDVVSDEGRFEP ->ARGMiner~~~opcm~~~AAC43969.1~~~multidrug multi-drug efflux pumps +>ARGMiner~~~opcm~~~AAC43969.1~~~multidrug~~~multi-drug efflux pumps MDNMHNTNGLMRFAKVAAASTLLATLLAACAVGPDYQRPDAVVPAAFKEAPTLAAGEQAGTWKTAEPSDGRTPRRMVKVFGDPVLDSLETQALAANQNLKAAAARVEEARAATRSARSQWFPQVGAGFGPTREGLSSASQFQPQGTGPTNATLWRAQGTVSYEADLFGRVGRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYHRTVELREQALKLVQRRFNEGDISELDVSRAKNELASAQADAVDVARRRAASEHALAILLGKAPADFAFKETPIVPVAVKIPPGLPSALLERRPDVSAAERAMAAANARIGLAKSAYFRSSISPGRSAISVDARQPVPVVEPYFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQGANYRQQVLVAFREVEDNLADLRLLDDQIRAQEAAVNASRRAATLSRSEYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGNAPAPTAVGDAASGKADVAAR ->ARGMiner~~~CMY-36~~~ABY58049.1~~~beta_lactam unknown +>ARGMiner~~~CMY-36~~~ABY58049.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDCIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPENEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~ACT-33~~~AIT76087.1~~~beta_lactam unknown +>ARGMiner~~~ACT-33~~~AIT76087.1~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILDALQ ->ARGMiner~~~NDM-14~~~AJP18054.1~~~beta_lactam unknown +>ARGMiner~~~NDM-14~~~AJP18054.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMGALHAAGIATYANALSNQLAPQEGMVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~pmrA~~~NP_358469.1~~~quinolone Multidrug resistance efflux pump +>ARGMiner~~~pmrA~~~NP_358469.1~~~quinolone~~~Multidrug resistance efflux pump MTEINWKDNLRIAWFGNFLTGASISLVVPFMPIFVENLGVGSQQVAFYAGLAISVSAISAALFSPIWGILADKYGRKPMMIRAGLAMTITMGGLAFVPNIYWLIFLRLLNGVFAGFVPNATALIASQVPKEKSGSALGTLSTGVVAGTLTGPFIGGFIAELFGIRTVFLLVGSFLFLAAILTICFIKEDFQPVAKEKAIPTKELFTSVKYPYLLLNLFLTSFVIQFSAQSIGPILALYVRDLGQTENLLFVSGLIVSSMGFSSMMSAGVMGKLGDKVGNHRLLVVAQFYSVIIYLLCANASSPLQLGLYRFLFGLGTGALIPGVNALLSKMTPKAGISRVFAFNQVFFYLGGVVGPMAGSAVAGQFGYHAVFYATSLCVAFSCLFNLIQFRTLLKVKEI ->ARGMiner~~~mprF~~~CAX52582.1~~~peptide unknown +>ARGMiner~~~mprF~~~CAX52582.1~~~peptide~~~unknown MLIKKNALSILKIVFPIAVLLFVIYQSKKELTNLSFKRTLMVINGLERTDLFMLVLIGLLAVAAMSLYDYVLKYSLRLSITNGKVFRVSWIANSFNNVLGFGGLAGVGLRMMFYKEHTKDHKALVKGIAWLTSSMLLGLSVFSIFVAARVLPVDEVIHEKPWLWAVVIGFALILPLSLAVSKIKDRKAGDEENADKVKNPIFAYIGASVVEWLMAGTVIYFALFAMGIHADIRYVFGVFVIAAIGGMISLVPGGFGSFDLLFLLGMEQLGYHQEAIVTSIVLYRLAYSFIPFILGLFFAAGDLTENTMKRLETNPRIAPAIETTNVLLVVQRAVLVRILQGSLSLIVFVAGLIVLASVSLPIDRLTVIPHIPRPALLLFNGLSLSSALILLILPIELYKRTKRSYTMAITALVGGFVFSFLKGLNISAIFVLPMIIVLLVLLKKQFVREQASYTLGQLIFAVALFTVALFNYNLIAGFIWDRMKKVLRHEYFVHSTSHITHATIMAIIIVPLFFLIFTVVYHKRTKPIGEKADPERLAAFLNEKGGNALSHLGFLGDKRFYFSSDGNALLLFGKIARRLVVLGDPSGQRESFPLVLEEFLNEAHQKGFSVLFYQIEREDMALYHDFGYNFFKLGEEAYVDLNTFTLTGKKKAGLRAINNRFEREEYTFHVDHPPFSDAFLEELKQISDEWLGSKKEKGFSLGFFDPSYLQKAPIAYMKNAEGEIVAFANVMPMYQEGEISVDLMRYRGDAPNGIMDALFIRMFLWAKEEGCTSFNMGMAPLANVGTAFTSFWSERFAAVIFNNVRYMYSFSGLRAFKEKYKPEWRGKYLAYRKNRSLSVTMFLVTRLIGKSKKDSV ->ARGMiner~~~olec~~~AAA26793~~~multidrug antibiotic efflux +>ARGMiner~~~olec~~~AAA26793~~~multidrug~~~antibiotic efflux MTVRGLVKHYGETKALDGVDLDVREGTVMGVLGPNGAGKTTLVRILSTLITPDSGQATVAGYDVVRQPRQLRRVIGLTGQYASVDEKLPGWENLYLIGRLLDLSRKEARARADELLERFSLTEAARRPAGTYSGGMRRRLDLAASMIGRPAVLYLDEPTTGLDPRTRNEVWDEVKAMVGDGVTVLLTTQYMEEAEQLASELTVVDRGRVIAKGGIEELKARVGGRTLRVRPVDPLQLRPLAGMLDELGITGLASTTVDTETGALLVPILSDEQLTAVVGAVTARGITLSSITTELPSLDEVFLSLTGHRASAPQDAEPARQEVAV ->ARGMiner~~~oleR~~~AAC12650.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~oleR~~~AAC12650.1~~~macrolide-lincosamide-streptogramin~~~unknown MTLEEKLSFVHWSYHTSDESAKVYLPGVPRLGIPEMRATDGPAGITIHRPSLALPAPVALASTFDDGLARSYGAVIGREGRAFGQDVVFAPMVNSIRVPYAGRNFETFSEDPLVTSRMAAAEIKGIQSQGLIAATKHYAANNQEKNRFSVNVNVDEQTLRERELPGFESAVAAGTGSVMCAYNKVNGQPACGSDELLNKVLKEQWKFRGWVTSDWLATQSTDALTKGLDQELGIELDHEPAPGEPIPGGKFFGDPLKTAIREGRIPESALDEAVTRIVSQMARFRLLDEDPPARPARDLAGGLKVARQVAEDGAVLLRNEGATLPLTTETAADIAVIGPTAKVPKVTGLGSSYIVPDGASAPLDTIRERAGAGSTVRYSTGEETVGVPVPQSAPLXXXXPSGEVFPAGGGGVLYDGTITVPVTGSYRIAARAQGGNAYVELDGQEPFGRRPWVYGDVSSRPMRLAAGTHKLRITGAALAKSPMTFELTWVTPQAAQEAIDRAVSIARTARTAVVFAYDDGSEDGDRTSLSLPGRQDDLISAVAAVNPRTVVVLNTGSSLTMPWLRKTAAVLTMWYPGQAGAEATTALLFGDADPGGRLTQTFPADEGQTPFAGDARRYPGVDDQLDYSEGIYSGYRWYEQQGVQPLFSFGHGLCYTSFDYRDLKVTATADGGLDVCFTLRNTGTRTGKEVPQVYVGPSPHVRVAQAKRALAAYGKVELRPGESRRLTLHVERRALQNWDSGAHTWVTGPGRQVMVGPSLGRLPLSATAP ->ARGMiner~~~desR~~~AAC68679.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~desR~~~AAC68679.1~~~macrolide-lincosamide-streptogramin~~~unknown MTGKTRIPRVRRGRTTPRAFTLAVVGTLLAGTTVAAAAPGAADTANVQYTSRAAELVAQMTLDEKISFVHWALDPDRQNVGYLPGVPRLGIPELRAADGPNGIRLVGQTATALPAPVALASTFDDTMADSYGKVMGRDGRALNQDMVLGPMMNNIRVPHGGRNYETFSEDPLVSSRTAVAQIKGIQGAGLMTTAKHFAANNQENNRFSVNANVDEQTLREIEFPAFEASSKAGAASFMCAYNGLNGKPSCGNDELLNNVLRTQWGFQGWVMSDWLATPGTDAITKGLDQEMGVELPGDVPKGEPSPPAKFFGEALKTAVLNGTVPEAAVTRSAERIVGQMEKFGLLLATPAPRPERDKAGAQAVSRKVAENGAVLLRNEGQALPLAGDAGKSIAVIGPTAVDPKVTGLGSAHVVPDSAAAPLDTIKARAGAGATVTYETGEETFGTQIPAGNLSPAFNQGHQLEPGKAGALYDGTLTVPADGEYRIAVRATGGYATVQLGSHTIEAGQVYGKVSSPLLKLTKGTHKLTISGFAMSATPLSLELGWVTPAAADATIAKAVESARKARTAVVFAYDDGTEGVDRPNLSLPGTQDKLISAVADANPNTIVVLNTGSSVLMPWLSKTRAVLDMWYPGQAGAEATAALLYGDVNPSGKLTQSFPAAENQHAVAGDPTSYPGVDNQQTYREGIHVGYRWFDKENVKPLFPFGHGLSYTSFTQSAPTVVRTSTGGLKVTVTVRNSGKRAGQEVVQAYLGASPNVTAPQAKKKLVGYTKVSLAAGEAKTVTVNVDRRQLQTGSSSADLRGSATVNVW ->ARGMiner~~~murA~~~CCE36834~~~fosfomycin unknown +>ARGMiner~~~murA~~~CCE36834~~~fosfomycin~~~unknown MAERFVVTGGNRLSGEVAVGGAKNSVLKLMAATLLAEGTSTITNCPDILDVPLMAEVLRGLGATVELDGDVARITAPDEPKYDADFAAVRQFRASVCVLGPLVGRCKRARVALPGGDAIGSRPLDMHQAGLRQLGAHCNIEHGCVVARAETLRGAEIQLEFPSVGATENILMAAVVAEGVTTIHNAAREPDVVDLCTMLNQMGAQVEGAGSPTMTITGVPRLHPTEHRVIGDRIVAATWGIAAAMTRGDISVAGVDPAHLQLVLHKLHDAGATVTQTDASFRVTQYERPKAVNVATLPFPGFPTDLQPMAIALASIADGTSMITENVFEARFRFVEEMIRLGADARTDGHHAVVRGLPQLSSAPVWCSDIRAGAGLVLAGLVADGDTEVHDVFHIDRGYPLFVENLVSLGAEIERVCC ->ARGMiner~~~cdeA~~~CAE00499.1~~~multidrug unknown +>ARGMiner~~~cdeA~~~CAE00499.1~~~multidrug~~~unknown MENLFTRKFTTFEFLKFVSPAIISMIFISLYTIIDGIFVSTLVGSDALASINIVLPIINLVCGFGIMMATGGGAIVSIRMGENRQDEANSTFSFIVLFSLIVGILFTVISYFFIKEISILLGATDKLLPYCITYGKVMILCTPFYILKFIFEYFARTDGNSKFSLFLSVIGGVTNIILDYVFIKYFGMGLLGAAVATAIGIILTCVLGIIYFLSNKSTLKLRKPKTDFRLIRDTMINGSSEMVTELSTGITTFLFNVVALKLAGENGLAALTIVLYAHFLMTSVYLGFAAGVSPLISYNFGAENSDKLKETFKHSLKFIFISSLLVFIIALVFAPFIVRVFVNPDNTVFKLALQGLKIFAFAFLFVGINIFASGFFTAFHNGKISAIISFSRAFVFIIIGIIILPPMLNMTGLWLTVPFAEVITIFISILFIKKYKGRYKY ->ARGMiner~~~Rm3~~~AGU01679.2~~~beta_lactam unknown +>ARGMiner~~~Rm3~~~AGU01679.2~~~beta_lactam~~~unknown MSLTPPRALVLALLLASPGTQAQTPAPATPPTPGCEVCATWNADQAPFRLFGNTYYVGMKGLSSVLVTSPQGHVLIDGGLPESAPKIIANIGALGFRIEDVKLILNSHGHIDHAGGLAELQRRSNALVAASPSAALDLASGEVGPDDPQYHALPKYPPVKDMRLARDGGQFNVGPVYLTAHATPGHTPGGLSWTWQSCDGPRCLNMVYADSINAVSRPGFKFSASSEYPNALADLRHSFETLEKLPCDVLISAHPEASQLWQRLEASATGGSDAFVDPQACRAYVAAARTLLDSRLDQEKQQ ->ARGMiner~~~ANT(4')-Ib~~~YP_006938491.1~~~aminoglycoside Aminoglycoside O-nucleotidyltransferases +>ARGMiner~~~ANT(4')-Ib~~~YP_006938491.1~~~aminoglycoside~~~Aminoglycoside O-nucleotidyltransferases MNGPIIMTREERMKIVHEIKERILDKYGDDVKAIGVYGSLGRQTDGPYSDIEMMCVMSTEEAEFSHEWTTGEWKVEVNFDSEEILLDYASQVESDWPLTHGQFFSILPIYDSGGYLEKVYQTAKSVEAQTFHDAICALIVEELFEYAGKWRNIRVQGPTTFLPSLTVQVAMAGAMLIGLHHRICYTTSASVLTEAVKQSDLPSGYDHLCQFVMSGQLSDSEKLLESLENFWNGIQEWTERHGYIVDVSKRIPF ->ARGMiner~~~Erm(47)~~~ANZ79476.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~Erm(47)~~~ANZ79476.1~~~macrolide-lincosamide-streptogramin~~~unknown MNRKSVRFGQNFVTSINDINKICKKIDVNSNDVYFEIGPGKGHFTQYFVERAKEVIAIEIDSELIPILNNKFSDLDNIKIINHDFMSYELPSTFKYKVFGNIPFNLSTSIIRKLSLEKYADEIYLIVELGFAKRLEDLNRKMGLMLAPFYEISILYNIPKRYFHPIPSVEVVLIKLKRTSYNMSMKEYIKYEDFIEKWVKKDYNVLFTKNQLKQAIRYGNIDNLRILKVDQILSIFESYKLFNGLK ->ARGMiner~~~aac(3)-iv~~~ABB43029.1~~~aminoglycoside aminoglycoside n-acetyltransferases +>ARGMiner~~~aac(3)-iv~~~ABB43029.1~~~aminoglycoside~~~aminoglycoside n-acetyltransferases MQYEWRKAELIGQLLNLGVTPGGVLLVHSSFRSVRPLEDGPLGLIEALRAALGPGGTLVMPSWSGLDDEPFDPATSPVTPDLGVVSDTFWRLPNVKRSAHPFAFAAAGPQAEQIISDPLPLPPHSPASPVARVHELDGQVLLLGVGHDANTTLHLAELMAKVPYGVPRHCTILQDGKLVRVDYLENDHCCERFALADRWLKEKSLQKEGPVGHAFARLIRSRDIVATALGQLGRDPLIFLHPPEAGCEECDAARQSIG ->ARGMiner~~~OXA-368~~~AMB19637.1~~~beta_lactam unknown +>ARGMiner~~~OXA-368~~~AMB19637.1~~~beta_lactam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPVSTFKIPSAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGDIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~oqxA~~~YP_001693237.1~~~quinolone unknown +>ARGMiner~~~oqxA~~~YP_001693237.1~~~quinolone~~~unknown MSLQKTWGNIHLTALGAMMLSFLLVGCDDSVAQNAAPPAPTVSAAKVLVKSISQWDSFNGRIEAVESVQLRPRVSGYIDKVNYTDGQEVKKGQVLFTIDDRTYRAALEQAQAALARAKTQASLAQSEANRTDKLVHTNLVSREEWEQRRSAAVQAQADIRAAQAAVDAAQLNLDFTKVTAPIDGRASRALITSGNLVTAGDTASVLTTLVSQKTVYVYFDVDESTYLHYQNLARRGQGASSDNQALPVEIGLVGEEGYPHQGKVDFLDNQLTPSTGTIRMRALLDNSQRLFTPGLFARVRLPGSAEFKATLIDDKAVLTDQDRKYVYIVDKDGKAQRRDITPGRLADGLRIVQKGLNPGDSVIVDGLQKVFMPGMPVNAKTVAMTSSATLN ->ARGMiner~~~oqxB~~~YP_001693238~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~oqxB~~~YP_001693238~~~multidrug~~~Multi-drug efflux pumps MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPGGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~apmA~~~CBL58181.1~~~aminoglycoside unknown +>ARGMiner~~~apmA~~~CBL58181.1~~~aminoglycoside~~~unknown MKTRLEQVLERYLNGREVAVWGVPTRRLLRALKPFKFHTADRVDPQYHYVVAVTDDDLTDFLSDEQSKSFQYANDYLTFDDEGGELPFERMCFNVPVGRQTYFGDGVVGACENGYIKSIGQFTSINGTAEIHANHQLNMTFVSDDIQNFFNEESMAVFQEKLRKDPKHPYAYSKEPMTIGSDVYXXXXXXXXXXXXXXXXXXXXXXXXXXXLENVPPFAVVVGVPARIKRYRFSKEMIETLLRVKWWDWSIEEINENVDALISPELFMKKYGSL ->ARGMiner~~~abcA~~~XP_753111.1~~~multidrug unknown +>ARGMiner~~~abcA~~~XP_753111.1~~~multidrug~~~unknown MNESHEAGKNSSTNVEEREEEVLRLARQFTEQSSYSTAGQTPFAAEAGSALDPNGERFNARAWCKAMLQMHIGDKEAHPLRTLGVAFSNLNVHGFGSDTDYQKSVGNVWLKTLSLARIAFGQKQRKVDILQNLEGLVEAGEMLVVLGPPGSGCSTFLKTIAGETYGFHVDKNSNINFQGIAKQMAHEFRGEAIYTAEVDVHFPKLTVGDTLYFAARARTPRHIPGGVNATQYAGHMRDVIMAMFGISHTKNTIVGNDFIRGVSGGERKRVSIAEACLSNAPLQCWDNSTRGLDSANAIEFCKTLRMQADINGTTACVSLYQAPQAAYDYFDKVLVLYEGREIYFGPTSMAKHYFLQMGFVCPDRQTDADFLTSMTSHLERVVQPGYEDRVPRTPDEFAARWKASPQRAQLMQHIKSYNAKFALDGEYLDKFKQSRRAQQAKAQRVSSPYTLSYVQQVKLCLWRGYQRLKADPSVTISSLFGNTIISLVIASIFYNLKADTSTFFQRGALLFFAVLMNALGCGLEMLTLYAQRGIIEKHSRYALYHPSAEAFSSMIMDLPYKILNAITSNIVLYFMTNLRREPGAFFFFVFTSFILTLTMSMFFRSMASLSRSLVQVLPFSAVLLLGLSMYTGFAIPTGYMLGWARWIAYINPISYGFESLMINEFHNRDFPCMDYVPSGPGYTDVGLNNRVCSTVRSVPGQAFVNGNAYIESAYSYTASHKWRNIGVIFAYMFLLGAVYLVATDFITEKKPKGEILVFPRGHKALKKGKSDEDLEGGGGRSATVEKIGSDGLAMIERQTAIFQWKDVCFDIKIGKENCRILDHVDGWVKPGILTALMGVSGAGKTTLLDVLATRTTMGIISGEMLVDGQPRDESFQRKTGYAQQQDLHLSTATVREALEFSALLRQSAHVPRQEKIDYVTEVIKLLDMTEYADAVIGVPGEGLNVEQRKRLTIGVELAARPQLLLFLDEPTSGLDSQTSWAILDLLDKLKKNGQAILCTIHQPSAMLFQRFDRLLFLQAGGRTVYFGEIGQNSQILIDYFVRNGAPPCPPDANPAEWMLDVIGAAPGSHTSINWFETWRRSPEYARVQEHLAELKHERRHQTNLFRTTSGQKREDKDSYREFAAPFWAQLYQVQVRVFQQIWRSPTYIYSKTALCVLSALFVGFSLFHTPNTIQGLQNQMFGIFMLLTLFGQLIQQIMPHFVAQRALYEVRDRPAKTYSWKAFLIANIVVELPWNSLMSVLMFLCWYYPIGLYRNAEPTDAVHLRGTQMWLMIWTFLLFSSTFAHFMIAAFDAAENAXXXXXXXXXLCLLFCGVLATPDQLPRFWIFMYRVSPFTYLVSGMLSVGISNTNVTCADNEYLRFDPVNGTCGEYMGSYMSNLGGYLADEMATANCSFCPIKETNVFLGRVSSSYSDIWRNFGLMWVFIVFNIFAACSLYWWVRVPRDKKPVAKAE ->ARGMiner~~~pgpB~~~BAG33043.1~~~polymyxin unknown +>ARGMiner~~~pgpB~~~BAG33043.1~~~polymyxin~~~unknown MEYILEVERNLFLTLNGVQHPLLDGFFYLISAKWTWVIMSIAFLFFLFYKKPTKEALFIVGAVLLSVLICDQLSSSFFKPFFARFRPSHHPDFIDYVKTVYGYRGGKYGFISGHTTNYISLALFTSRIFRNKFYTWTIWSVVALVIYSRIYIGVHFITDIIPGIAVGLIVGHFVYKVYLYARSRWLGASCPAHPSAVYAGDSIRLWTLSLIGFVFAMLCMSRQLTEILQYYVFLLF ->ARGMiner~~~cipA~~~WP_015735625.1~~~multidrug unknown +>ARGMiner~~~cipA~~~WP_015735625.1~~~multidrug~~~unknown MKYLSKYEKIRKILSALNQPNYRYSQITEAIFKNKIGNFEAMNNLPKPVRNELIKELGNNVLSITPKMEQKSNQVSKILFAIPGDEYIESVRLSYQTGWESYCISSQCGCGFGCTFCATGTLGLKRNLTTDEITDQLLYFTLNNHPLDSVSFMGMGEALANPYVFDALHLLTDPKLFGLGHRRITVSTIGLLPGVKKLTKEFPQINLTFSLHSPFHDQRSELMPINNHFPLEEVMTVLDEHIQQTKRKVYIAYILLRGINDSTKHAKAVADLLRERGSWEHLYHVNLIPYNSTDATSQSFVESDQNSINMFLRILKSKGIHVTVRTQFGSDINAACGQLYGSNGNI ->ARGMiner~~~efrA~~~CDO61513.1~~~multidrug unknown +>ARGMiner~~~efrA~~~CDO61513.1~~~multidrug~~~unknown NILLGYFGARITTNIVRDIRDDLFEKIQTFSHSEYESIGVSSLITRTTNDAYQIMLFMGNILRLGFMTPVMFIASLYMVMRTSPSLGMYVLGALPFLLLAVVGIARLSEPLSKKQQKNLDGINGILRENLSGLRVIRAFVNEKFEESRFNKVNETYTKSSKSLFRLMAAAQPGFFFLFNIVMVLIIWSGTVQISHGDLEVGNLIAFIEYIFHALFSFMLFASVLMMYPRAAVSASRIQEALDMEPAIREEEGVTETATKGYLEFKNVTFAYPGHAESPVIRNVSFKASPGETVAFIGSTGSGKSTLIQLIPRFYDVSEGEILIDGVNVKEYKLSALRNKIGYIPQKALLFTGTIADNLRYGKEDATLEEMERAIDIAQATEFVSQKPQGYDEPLSEGGTNFSGGQKQRLAIARAIIRNPEIYIFDDSFSALDYQTDANLRARLKKETTESTVLIVAQRVGTIMHADRIVCFKRRRRGRKFGHQPF ->ARGMiner~~~efrB~~~CDO61516.1~~~multidrug unknown +>ARGMiner~~~efrB~~~CDO61516.1~~~multidrug~~~unknown LDTCRIFRRNAKSPVNIAKHAKFIRRLKWLCSENMTGFSVLKLYVRKKKPLKGFKQVNHRFKWFGFKASFISGLMLPLVQMTAYGTYIGVAVLGSYYVVAGVIVVGQLQAFIQYIWQISQPMGNITQLSAALQSASASTMRIFEILDEPEEELNEQDVPLPEPILGSVEFENVSFSYDPEKPLIRNLNFKVDAGQMVAIVGPTGAGKTTLINLLMRFYDVTEGAIKIDGIDTKKMNRSDVRSVFGMVLQDAWLYKGTIADNIRFGKLDATDYEVVDAAKTANVDHFIRTMPDGYEMEINSEGDNVSLGQKQLLTIARAVISDPKILILDEATSSVDTRLEALIQKAMDRVMEGRTSFVIAHR ->ARGMiner~~~msbA~~~NP_415434.1~~~multidrug antibiotic efflux +>ARGMiner~~~msbA~~~NP_415434.1~~~multidrug~~~antibiotic efflux MHNDKDLSTWQTFRRLWPTIAPFKAGLIVAGVALILNAASDTFMLSLLKPLLDDGFGKTDRSVLVWMPLVVIGLMILRGITSYVSSYCISWVSGKVVMTMRRRLFGHMMGMPVSFFDKQSTGTLLSRITYDSEQVASSSSGALITVVREGASIIGLFIMMFYYSWQLSIILIVLAPIVSIAIRXXXXXXXXXXXXXXXTMGQVTTSAEQMLKGHKEVLIFGGQEVETKRFDKVSNRMRLQGMKMVSASSISDPIIQLIASLALAFVLYAASFPSVMDSLTAGTITVVFSSMIALMRPLKSLTNVNAQFQRGMAACQTLFTILDSEQEKDEGKRVIERATGDVEFRNVTFTYPGRDVPALRNINLKIPAGKTVALVGRSGSGKSTIASLITRFYDIDEGEILMDGHDLREYTLASLRNQVALVSQNVHLFNDTVANNIAYARTEQYSREQIEEAARMAYAMDFINKMDNGLDTVIGENGVLLSGGQRQRIAIARALLRDSPILILDEATSALDTESERAIQAALDELQKNRTSLVIAHRLSTIEKADEIVVVEDGVIVERGTHNDLLEHRGVYAQLHKMQFGQ ->ARGMiner~~~YojI~~~NP_416715.1~~~peptide unknown +>ARGMiner~~~YojI~~~NP_416715.1~~~peptide~~~unknown MELLVLVWRQYRWPFISVMALSLASAALGIGLIAFINQRLIETADTSLLVLPEFLGLLLLLMAVTLGSQLALTTLGHHFVYRLRSEFIKRILDTHVERIEQLGSASLLAGLTSDVRNITIAFVRLPELVQGIILTIGSAAYLWMLSGKMLLVTAIWMAITIWGGFVLVARVYKHMATLRETEDKLYTDFQTVLEGRKELTLNRERAEYVFNNLYIPDAQEYRHHIIRADTFHLSAVNWSNIMMLGAIGLVFWMANSLGWADTNVAATYSLTLLFLRTPLLSAVGALPTLLTAQVAFNKLNKFALAPFKAEFPRPQAFPNWQTLELRNVTFAYQDNAFSVGPINLTIKRGELLFLIGGNGSGKSTLAMLLTGLYQPQSGEILLDGKPVSGEQPEDYRKLFSAVFTDVWLFDQLLGPEGKPANPQLVEKWLAQLKMAHKLELSNGRIVNLKLSKGQKKRVALLLALAEERDIILLDEWAADQDPHFRREFYQVLLPLMQEMGKTIFAISHDDHYFIHADRLLEMRNGQLSELTGEERDAASRDAVARTA ->ARGMiner~~~hmrM~~~WP_014550864.1~~~multidrug unknown +>ARGMiner~~~hmrM~~~WP_014550864.1~~~multidrug~~~unknown MNFRLLSQYHTDIKKLIKISLPILLAQIAQNSMGLADTIMAGRVSSTDMAAISIGASIWMPLMFFGQGLLLALPPTISYLNGSGQHHRIAHQVRQGIWLVLGVSIPLGLLIYFCEIPLQYMQMESKMSDLARNYLHAMLWGLPAYLMLINFRCLNDGIEKTKPAMVITFLGLLINIPLNYIFIYGKFGMPAFGAVGCGIATAIVNWAMCLMMIFYSYTNTQERSLKVFSQLIEMPNPKTLKKLLRLGLPIAIAICCEVALYALTSLMLSPLGATIVASHQITLNTSSFIFMFPMSIGMATTILVGQALGAGSPQNAKKIGYAALLLGLTVTIVTALITIFFRYEIASIFVTDEIVIAMAANLLLFAALYQFSDTIQMVVGGILRGYKDTKVILYITLFSYWVIGVPLGYTLGRTDWLVPHIDAKGFWIAFVVSLTFAAFLLSLRMKKMQAMNDNAILQRLEKLK ->ARGMiner~~~efmA~~~BAG75524.1~~~multidrug unknown +>ARGMiner~~~efmA~~~BAG75524.1~~~multidrug~~~unknown MENEQSVVLTNWKRNYLFFLSGQFLSGITSMVVQYAIIWYLTRETGSATILSFATLLGMIPMVLLSPFVGPLVDRWDKKALLIVTDIIVAIFALILAVVGTISESFPIWLVFVSLFMRSVAQTFQMPTIQSIMPTIVPSSHITRTNGQLGMVQSANFIIAPALGAALFSVVPVNYLILLDVLGAVFGVGLLIFVKIPKVSPEILEVPLTIFKDAKFGLQQLMDNKGLWYITINGAFVMLLFMPAISLYPLMTLDYFGGSVGQAGAVEVVYAVGMLLGGALISFIGTWKDRMKPIIIAYIIMGLTIGASGLVPNDSQGFLYFLILNAGAGCATPYFNTLLMAMIQQSYESNVLGRVLGNFNSLMNLAGPIGLLFAGPLADRLGVEKMFLFSGIGILLCGIVLFLTSAARNYDKELQKKLVKEHHEQKDE ->ARGMiner~~~efpA~~~NP_217362.1~~~multidrug unknown +>ARGMiner~~~efpA~~~NP_217362.1~~~multidrug~~~unknown MTALNDTERAVRNWTAGRPHRPAPMRPPRSEETASERPSRYYPTWLPSRSFIAAVIAIGGMQLLATMDSTVAIVALPKIQNELSLSDAGRSWVITAYVLTFGGLMLLGGRLGDTIGRKRTFIVGVALFTISSVLCAVAWDEATLVIARLSQGVGSAIASPTGLALVATTFPKGPARNAATAVFAAMTAIGSVMGLVVGGALTEVSWRWAFLVNVPIGLVMIYLARTALRETNKERMKLDATGAILATLACTAAVFAFSIGPEKGWMSGITIGSGLVALAAAVAFVIVERTAENPVVPFHLFRDRNRLVTFSAILLAGGVMFSLTVCIGLYVQDILGYSALRAGVGFIPFVIAMGIGLGVSSQLVSRFSPRVLTIGGGYLLFGAMLYGSFFMHRGVPYFPNLVMPIVVGGIGIGMAVVPLTLSAIAGVGFDQIGPVSAIALMLQSLGGPLVLAVIQAVITSRTLYLGGTTGPVKFMNDVQLAALDHAYTYGLLWVAGAAIIVGGMALFIGYTPQQVAHAQEVKEAIDAGEL ->ARGMiner~~~farA~~~NP_273367.1~~~multidrug unknown +>ARGMiner~~~farA~~~NP_273367.1~~~multidrug~~~unknown MDTHTDETKLQNTQAKRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDAYVAGRVVQVTPQKGGTVRKVLHDDTDAVKKGDVLAVLDDDNDVLAYERAKNELVQAVRQNRRQNAATSQAGAQVALRRADLARAQDDLRRRSALAESGAVSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAALGGQVSLREQPAVQTAIGRLKDAWLNLQRTQIRAPADGQVAKRSVQVGQQVAAGAPLMAVVPLSDVWVDANFKETQLRHMKIGQPAELVSDLYGKQIVYRGRVAGFSAGTGSAFSLIPAQNATGNWIKVVQRVPVRIVLNREDVDRHPLRIGLSMTVKVDTSAAGAPVSKTPGAALPEMESTDWSEVDRTVDEILGQSAP ->ARGMiner~~~farB~~~NP_273368.1~~~multidrug unknown +>ARGMiner~~~farB~~~NP_273368.1~~~multidrug~~~unknown MDYPPLKGAALAWVTLSLGLAVFMEVLDTTIANVAVPVIAGNLGAATTQGTWVITSFSVANAVSVPLTGFLAKRIGEVKLFTAAAVGFVITSWLCGIAPNLQSLVVFRILQGFIAGPLIPLSQSLLMASYPPAKRTLALALWAMTVVVAPVLGPILGGWISGNWHWGWIFFINIPIGIISAWITWKHLKYRETETVKMPTDYVGLTLMVVGIGALQMMLDRGKELDWFASGEIITLGVVALVCLSYFIVWELGEKYPIVDLSLFKDRNFTVGVIATSLGFMVYMGTLTLLPLVLQTNLGYTSTWAGLAAAPVGILPVFLSPLIGRFGNKIDMRLFVTASFLTFAFTFYWRTDFYADMDIGNVIWPQFWQGVGVAMFFLPLTTITLSHMKGGQIAAAGSLSNFLRVLMGGVGVSVVSTLWERREALHHTRFAEHITPYSATLHETAAHLSQHGVSDIQTLGIINNTITQQGFIIGSNEIFMAGSLLFIIMIPVIWLAKPPFHNGGGGGH ->ARGMiner~~~hp1181~~~NP_207972.1~~~multidrug unknown +>ARGMiner~~~hp1181~~~NP_207972.1~~~multidrug~~~unknown MFKKIFPLALVSSLRFLGLFIVLPVISLYADSFHSSSPLLVGLAVGGAYLTQIVFQTPMGILSDKIGRKVVVMVCLLLFLAGSLVCFIANDIVWLVIGRFIQGMGALGGVISAMVADEVKEEERTKAMAIMGAFIFISFTISMAIGPGVVAFLGGAKWLFLLTAILTLLSLLMLLKVKDAPKISYQIKNIKAYQPNSKALYLLYLSSFFEKAFMTLIFVLIPLALVNEFHKDESFLILVYVPGALLGVLSMGIASVMAEKYNKPKGVMLSGVLLFIVSYLCLFLADSSFLGKYLWLFIVGVAFFFIGFATLEPIMQSLASKFAKVHEKGKVLGQFTTFGYLGSFVGGVSGGLSYHHLGVSNTSLIVVALGLIWGLSLFLLNNPSKQKNVYFPLDAYNEEQFETLEDKIIEWYVNISEEIIIVKYNSDHISEEEIIHLAQNFRK ->ARGMiner~~~hp1184~~~NP_207975.1~~~multidrug unknown +>ARGMiner~~~hp1184~~~NP_207975.1~~~multidrug~~~unknown MLIKKIDLHKDPIRKLFFYYFIPLAFSMISLSTYSMIDGMFVGKKLGKEAIAAVNIAWPIFPGLIAYELLFGFGAASIVGYFLGQNKTHRARLVFSSVFYFVAISAFILSMALLPFSETIARFFGSNDALLSMSKRYIEIILMGAVFMVLHPLADVFVVNDKRPILAMVAMLIGSLANIFFNYLFIFVLKVGVQGSAIATVIGHAIGVLVLMQHFWRKKGQLYFIKRFSLSSVISSAKSGVPQSTAEFSASVMILLFNTAIMHTAGERFVSMYGIVMYNAIIFFTTLFAISQGIQPIASFSYGARNLERVKEVFVFGLKAAFCIGIVFYGAYYFLDEFLIKLYLQPSEQDPLFMQETKRAMNIYYVGYIFLGMTLLCAVFFQSIQRTKSSFIITLSHTLGFIVILLPILSHFYGINGVWVTYPIAQFLAFLVALGVTYYEIKKGVFTTYKEKNPIALKT ->ARGMiner~~~lrfA~~~AAC43550.1~~~quinolone unknown +>ARGMiner~~~lrfA~~~AAC43550.1~~~quinolone~~~unknown MSTCIEGTPSTTRTPTRAWVALAVLALPVLLIAIDNTVLAFALPLIAEDFRPSATTQLWIVDVYSLVLAALLVAMGSLGDRLGRRRVLLIGGAGFAVVSALAAFAPSTELLVGARALLGVFGAMLMPSTLSLIRNIFTDASARRLAIAIWASCFTAGSALGPIVGGALLEHFHWGAVFLVAVPILLPLLVLGPRLVPESRDPNPGPFDPVSIVLSFTTMLPIVWAVKTAAHDGLSAAAAAAFAVGIVSGALFVRRQNRSATPMLDIGLFKVMPFTSSILANFLSIIGLIGFIFFISQHLQLVLGLSPLTAGLVTLPGAVVSMIAGLAVVKAAKRFAPDTLMVTGLVFVAVGFLMILLFRHNLTVAAIIASFVVLELGVGVSQTVSNDTIVASVPAAKSGAASAVSETAYELGAVVGTATLGTIFTAFYRSNVDVPAGLTPEQTGAAAESIGGAAAVAADLPAATATQLLDSARAAFDSGIAPTAVIAAMLVLAAAAVVGVAFRR ->ARGMiner~~~lmrP~~~ABF33001.1~~~multidrug unknown +>ARGMiner~~~lmrP~~~ABF33001.1~~~multidrug~~~unknown MQEFLNLPKQIQLRQLVRFVTITLGSSIFPFMAMYYTTYFGTFWTGLLMMITSLMGFVGTLYGGHLSDALGRKKVIMIGSVGTTLGWFLTILANLPNAAIPWLTFAGILLVEIASSFYGPAYEAMLIDLTDESNRRFVYTINYWFINIAVMFGAGLSGLFYDHHFLALLVALLLVNVLCFGVAYYYFDETRPETHAFDHGKGLLASFQNYRQVFQDRAFVLFTLGAIFSGSIWMQMDNYVPVHLKLYFQPTAVLGFQVTSSKMLSLMVLTNTLLIVLFMTVVNKLTEKWKLLPQLVVGSLLFTLGMLLAFTFTQFYAIWLSVVLLTFGEMINVPASQVLRADMMDHSQIGSYTGFVSMAQPLGAILASLLVSVSHFTGPLGVQCLFVVIALLGIYFTVVSAKMKKV ->ARGMiner~~~erm(44)~~~CUU67654.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~erm(44)~~~CUU67654.1~~~macrolide-lincosamide-streptogramin~~~unknown MINKEQNIMDNRNSKNSQNFITSRKYINDILKETSIGADDNIIEIGTGKGHFTKQLSNIARFVTGVEIDKSLYRNLKKDIELSNNVELVNKDILKYQFPKCKKYKVFGNIPYNISTEIVKKILYEGNAEYNYLIVEYGFAKRIMDKRRALGLLLLTKLDVEILKVIPNTYFHPKPRVESALILLKQHKSLIQKRDEKLYQFFVYKWVNKEYKQLFTKNQFNKALKNAKVKNINEMTNEQFISIFHSYKLFN ->ARGMiner~~~tetA(48)~~~APB03214.1~~~tetracycline Efflux pump +>ARGMiner~~~tetA(48)~~~APB03214.1~~~tetracycline~~~Efflux pump MEHAYKKKIEPKPGDLAVEAYGLIKTFGDNRAVDGVDLNVRTGTIYGVLGPNGAGKTTTIRMLATLLRPDAGSARIFGHDVQAESQIVRQLIGVTGQYASVDESLSATENLIIFSRLLGLGRKEARRKAEELLEEFGLSEAAKRPLKNFSGGMRRRLDLAASLIAQPPLIFLDEPTTGLDPRTRSQMWDTIRRLVNTGSTVLLTTQYLEEADQLADRIAVIDYGRVVAEGTADELKMSVGTSSLHLTVEDSVDMDRACHTVEQVLGVHANVSPEAGKITAPMANAGLVTDLLIELRTAGIGLSEMSVQKPTLDEVFLTITGHGVKEDAAQESYESNDREVARV ->ARGMiner~~~LlmA~~~APB03216.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~LlmA~~~APB03216.1~~~macrolide-lincosamide-streptogramin~~~unknown MYVAQDWQDYEVIDTGGGEKLERWGDVILRRPDPQIIWPIEREDGQWRSVHGHYHRSSSGGGQWDMKKQLPDRWTISYDQLKFHIRPTNFKHTGLFPEQAANWRWMMDKIASAGRPVSVLNLFAYTGGATVAAASAGAQVVHVDAAKGMVQWAKENAALSGLADRPIRYITDDVFKFVQREQRRGNKYDAIIMDPPSYGRGPSGETWKLEQSLYPFLESCMSIVSDNPLFMLINSYTTGISPTVLNNMLTMTMKPKYGGTISAGEIGLPITRSGMNLPCGILGRWES ->ARGMiner~~~CatU~~~APB03217.1~~~chloramphenicol unknown +>ARGMiner~~~CatU~~~APB03217.1~~~chloramphenicol~~~unknown MKFHIINVEEWTRKPYYEHYLRSNKCTFSITVDIDITRLLYSLKANGFKLYPAFIYMVTRVVNDRVEFKTSFSPEGELGYWDRMTPSYTFFHNDDHTFSCLWTAFSNDFYRFHDHYEQDMEQYRDTKGLFVKENPPPNTFPISMIPWTSFSGFNLNIVNEADYLLPIITGGKYTEQGGRVLLPVSLQVHHAVCDGYHASMFFKELQSLADSFEDWLT ->ARGMiner~~~BahA~~~APB03218.1~~~bacitracin unknown +>ARGMiner~~~BahA~~~APB03218.1~~~bacitracin~~~unknown MEIHKEKEKEKGVTFFRRIMANRKRRGPLSPGWKGASLGLGATGFILILIQANYLLTGHGVGKFIVGTFLFLFAAALISGLASMLLHGVKKLPSRYIWMLLYSLIMFLFCFIVQPGVSAVFIVSLAIVLSLFGALAYKFAAGSYKQVSKTRKIGAMACLSLITIAIGAGSFWLIRAGDDAAPDITLKQLKTSTRYEDSTMNNPAEQGTYPVQSLLYGSPDNYRTEFNQSGSLTTQTVDASRFVEKWSSLRTKSLGFGPEAMPLNGRVWYPEGEGTFPLVLIVHGNHLMNDYSDPGYEYLGRLLASKGYIFVSVDENFLNFSPYEDLFLISPLLSENPARGLLLLEHLQTWKDWNSDPDNPFYQKVDMERIALIGHSRGGEAVAIAAAYNKLGRHPDHGDIKFDYNFSIRSLISIAGTDGQYKPQGKPLPLQDVNYLSLHGAHDMDVNSLDGAKQYHRIRYTKGTNYMKSLVYIYGANHGQFNGGWGRGDGAGLGNQLFNLRQIMPRDEQETIAKVFISSFLDATLKDQRQYREVFKDLGYAKEWIPDNLYVGNYYDSQTTLIADFHEDIDLQSTTLPGGSLRGENLQQWKEEKVKTKWGEADYSAVRLGWNSNGSSEPASYTVTLPDNGVETGRDSSIVFSLADARKTEESGDPKELINFTITVEDRKGHQASLPLSHISKLPPVIEGKLLKWPFSNAGNTSEPVFQSYDFQLDDYYKMNPDFNPQQLSKIRIEFNLTKRGSILLRDVGIRS ->ARGMiner~~~TaeA~~~APB03219.1~~~pleuromutilin unknown +>ARGMiner~~~TaeA~~~APB03219.1~~~pleuromutilin~~~unknown MNILTVEQVTKSYGDKILFQDASFGMEDQDKIGIIGVNGTGKSTFLRVIAGLEPPDSGKISMGNRIRVRYLAQNPEFDPDKTVLQQVFEGDLPEMKAVREYTETMELXXXXXXXXXXXXXXXXXXXXXXXLQAWQLESDAKTILSKLGIRNYEAKMGTLSGGQRKRVALAAALIQPSDLLILDEPTNHIDNESVAWLEQYLQKRRGALLMITHDRYFLDRVANVMLELDHGRLFRYEANYSRFLELKAEREEREAASEQKRQNLLRSELAWIRRGAKARTTKQKARIERFEKLKDQEMVHSSGELDVSVASTRLGRKILEIEGLRMKIDDKTLIQDLSYIAVPEDRIGIVGPNGSGKSTLLNLIAGRIQPGGGEVVLGPTVKLGYFTQEHQEMDGSQRVIEYIKDEAEVVRTADGSAITAAQMLERFLFPPALQWTPIAKLSGGEKRRLYLLRVLMSAPNVLLLDEPTNDLDIQTLSVLEQYLDEFPGVCIVVSHDRFFLDRTVDKIMAFEGDGQIRVHVGSYSEYAEWMQRHGGEASGSKAEASIAKSASGSSDGTDSDSAKEAPRERLKFSYKEQREFDQIDGLIEDTESKLASIQSEMETAGSDAARLQELMKAQEETERELEHLMERWTYLNELAEKIEQSKKS ->ARGMiner~~~VatI~~~APB03220.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~VatI~~~APB03220.1~~~macrolide-lincosamide-streptogramin~~~unknown MTGPNPNERYPIPGDNNLQFIKNTITKPNIIAGDYSYYYALNGESFEDQVLYHYEFIGTKLMIGKFCSIASEVRFMMDGGNHRMDGSTFPFNIFGNGWEKFTPSLDQLPIKGDTIIGNDVWIGRRATIMSGVRIGDGAIIAAEAVVVKDVEPYTIVGGNPAKDIRKRYSTEVIQELLDIKWWDCDIEVINQYIGAIVSGDMDLLRKMRQN ->ARGMiner~~~AAC(2')-IIb~~~APB03221.1~~~kasugamycin unknown +>ARGMiner~~~AAC(2')-IIb~~~APB03221.1~~~kasugamycin~~~unknown MNHRKGNEPTAAALMELHVLAMFTHDGNMQIRTINEPWPGEELAPRFFMGRTIDGSSICRFRHDVPEGIAGQLRALVEDEPIVTEEVLTRPKHFAAYMNLLRAEHYTSGPCYRIPDQTTQAKQTVRITPGNIREYSLTGFEWLTTEIDYDQPCVALIHENRVVSVCRSVRITERAHEAGLETSEEFRGRGYAAAVVAGWAIEVQKMGALALYSTLWGNSSSRRVANKLGLSYYGVNFTIS ->ARGMiner~~~AAC(6')-34~~~APB03223.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-34~~~APB03223.1~~~aminoglycoside~~~unknown MRIGDLIREDGGIAMLVYEAGPITVRELEEKDEISLASWLSNPDVLQYYEGRDNPHDVERVREHFYVDDDETRCIIKYEGKPIGYIQFYLLDEETLGEYGYNESDADCRTFATDQFIGEPDYWNRGIGTKLMTSMVEYLVFQRQADRIVMDPQAWNERAIACYEKCGFRKVRLLEKHEQHEGELRDCWIMEYRAERK ->ARGMiner~~~VgbC~~~APB03225.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~VgbC~~~APB03225.1~~~macrolide-lincosamide-streptogramin~~~unknown MQIAAQEYKTANRESGPYGITARQDRTIWFTEQKGNRIGRLTKDGDMRTFEVPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXECDQIGRFTIQY ->ARGMiner~~~mphI~~~APB03226.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~mphI~~~APB03226.1~~~macrolide-lincosamide-streptogramin~~~unknown MTIAKPNDDYTQVIQEMLEIAGKHGVNLIPEGIEMNESGMDFLVGFAEEAGTGARWILRKPRRPDVLDRADNEARVLKLIQSHLSVDVPDWRIYTPELIAYPLLSGQPAASVSMEGYAWNMDHENPGDGFIRSLAEALVALHGVDHDAARAAGLRVKSPQEVRDETARNMEDIKSRLGVSDALWERWQKWLEEDSYWPTHSALIHGDLHPPHILIDERVQVTGLLDWTESEVASPAKDFVLYYAIYGEHNLRVLLDRYEQAGGKVWPRMFDHIVEQHAAYPVLIAQFALLTGQEEYMTMARNALGLTE ->ARGMiner~~~rphB~~~APB03222.1~~~rifampin unknown +>ARGMiner~~~rphB~~~APB03222.1~~~rifampin~~~unknown MRSLVLDFQEMDKKQLGLVGGKGLHLGELSKIEGIRVPEGFCVTTIGYQRAIEQNETYQAMLNRLTMLSAEDRDQMVEISRKIRQTIMEVEIPSDVVTAVTRYLSRFGEEQAYAVRSSATAEDLPHASFAGQQDTYLNIIGVDAILQHISKCWASLFTDRAVIYRMQNGFDHRQVYLSVVVQRMVFPEASGILFTADPMTSNRKLLSIDAGFGLGEALVSGLVSADGYKVREGEIIEKRIAAKTLAIYGRKEGGTETKQIDPDQQKSQTLTDEQILQLARIGRQIEAHFGQPQDIEWCLAQDTFYIVQSRPITTLYPIPEANDQENHVYVSVGHQQMMTDPMKPLGLSFFLLTTPAPMRTAGGRLFVDTTAMLASPVSRENVLNTLGQSDPLIKDALMTILERGDFIKSLPIAENEQTPGKNNISSAGYQTPIDHDPTIVSDLMERTQSSIEVLKQNIQTKSGSDLFDFILEDIQELKKNLFDPQSSAVIMAAMNASTWINEKMNEWLGEKNAADTLSQSVPGNVTSEMGLALLDVADVIRPYPEIIDYLEQAKDDHFLDKLLTFDGGQQAQDAILDFLDKYGMRCAGEIDLTRTRWSEKPIALVPLILGNIKNFEPNASHRKFEQGRQEALKKEQELLDRLKQLPDGEGKAQETKRMIDLIRNFMGYREYPKYGMVNRYFIYKQALLKEAERLVQAGVIHHKEDIYYLTFEELDEVVRTNKLDNPGSMVEQQAKLAIRQMISKRKEEYNVFEKLTPPRVITSDGEIIAGEYKRENIPAEAIVGLPVSSGTVEGRARVILNMKDADLEEGDILVTAFTDPSWTPLFVSIKGLVTEVGGLMTHGAVIAREYGLPAVVGVENATKRIKDGQRIRVHGSEGYIEILS ->ARGMiner~~~cpaA~~~APB03224.1~~~aminoglycoside unknown +>ARGMiner~~~cpaA~~~APB03224.1~~~aminoglycoside~~~unknown MPLRITAMTETYADQIMQWSYEPPYDFYNSEPDEEFRKELLECSYYAILDKEGQLFGFCCTGSSAQIPIAIPLGAYDEDLLDFGLGMKPESTGQCRGKEFLSFVLASIAEFHKRQSFRLTVAKFNERAIRLYTQLGFSEVATFDYGGTTFITMIKKPGSGL ->ARGMiner~~~tetB(48)~~~APB03215.1~~~tetracycline unknown +>ARGMiner~~~tetB(48)~~~APB03215.1~~~tetracycline~~~unknown MSSSMIQPGTERQLKNRTSLGQTVRNSLTMAYRGLLKLRRTPEQLFDVTFQPIIFTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQLREDMDKGVFDRFKSLPIARIAPLAGALLADTIRYTIATVLTFTMGYIMGYRPEGGLGYVALAGLVVILCSWAISWIFAFCGVIARSASGVQGISMIVLFPLTFLSNAFVPVDTMPGWLQWFVNMNPISHLVTAVRDLTNAGTVGWDLTISLVGAAVIVAIFAPITVRAYMRRT ->ARGMiner~~~MuxA~~~NP_251218.1~~~multidrug unknown +>ARGMiner~~~MuxA~~~NP_251218.1~~~multidrug~~~unknown MTPTTGKSKFRTLRPWLITALAFAAVIGLVMWLAAPASAPSSDGRPGRGGKPGAALPKANALTVGVARVEQGDLALHFNALGTVTAFNTVNVKPRVNGELVKVLFQEGQEVKAGDLLAVVDPRTYKAALAQAEGTLMQNQAQLKNAEIDLQRYKGLYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTFTEVRAPISGRLGLRQVDIGNLVTSGDTTPLVVITQVKPISVVFSLPQQQIGTVVEQMNGPGKLTVTALDRNQDKVLAEGTLTTLDNQIDTTTGTVKLKARFENADGKLFPNQFVNVRLLAQTLKGVLTIPANAVQRGTNGIYVYVVGADNKVSQRSVAIGTSENERVVVESGLKAGEQVVVEGTDRLRDGMEVRVAEASPQVLEGEPQKPQTGRPSGLQGDSVGSGSAE ->ARGMiner~~~mphD~~~ANP63073.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~mphD~~~ANP63073.1~~~macrolide-lincosamide-streptogramin~~~unknown MTIQDIQSLAEAHGLLLTDKMNFNEMGIDFKVVFALDTKGQQWLLRIPRRDGMREQIKKEKRILELVKKHLSVEVPDWRISSTELVAYPILKDNPVLNLDAETYEIIWNMDKDSPKYITSLAKTLFEIHSIPEKEVRENDLKIMKPSDLRPEIANNLQLVKSEIGISEQLETRYRKWLDNDVLWADFTQFIHGDLYAGHVLASKDGAVSGVIDWSTAHIDDPAIDFAGHVTLFGEESLKTLIIEYEKLGGKVWNKLYEQTLERAAASPLMYGLFALETQNESLIVGAKAQLGVI ->ARGMiner~~~cpxr~~~SIP52035.1~~~multidrug mdr regulator +>ARGMiner~~~cpxr~~~SIP52035.1~~~multidrug~~~mdr regulator MSELLLIDDDRELCELLGTWLVQEGFSVRASHDGAQARRALAEQTPDAVVLDVMLPDGSGLELLKQLRGDHPDLPVLMLSARGEPLDRILGLELGADDYLAKPCDPRELTARLRAVLRRTHPAQPSAQMQLGDLSLNLTRGVAQIDGQEISLTLSESRILEALLRQPGEPLDKQALAQLALGRKLTLYDRSLDMHVSNLRKKLGSHPDGSPRILALRGRGYYYSH ->ARGMiner~~~tetB(60)~~~ANZ79241.1~~~tetracycline unknown +>ARGMiner~~~tetB(60)~~~ANZ79241.1~~~tetracycline~~~unknown MRTMKRLLSYLRYEKKGVLIGLFCLLLSTGATLTGPLVAKHIIDNVITPMGQAHDFKAGGLLLWVGIYVTVNLVGVAGAYLNRVYMKTLSNRIAKRIRDEVFEHVQTLPVSYFDHLPAGKVVSRITSDTESVRANFYVSGISTLFSTIVMLVGVYITIFLLNATLGLVLLFLVPVMILWQRTVATKQKKYYSENRELYSQLSGQLNESIQGAGIVQAFQQEEKIVAEYDATATSWVEVGRKELILESYFSWSLVGMLRNITHFGVIYYFSMQFIGGTLGISAGLLYAFIDYINRIYEPIQTFMNVVSGFQQSMAAGDRVFELMDTPSEESGEELFTFDEGCIEFKDVSFEYTAGVPVLKHLNFTVEPGQTVAFVGHTGSGKSSIMNLLFRFYDPTSGAIFIDGKNTRDFNRRSVRSEMGIVLQDPYLFTGTIASNVGLNNESIEPETIKEAIIKVGGGHLLTKSDKGLDYEVKEKGMDFSSGERQLISFARAIVFDPKILILDEATSHIDTETEEIIQNAINVVKEGRTTFMIAHRLSTIAHADQIFVLDKGEIVERGTHDELLQLQGQYAEMVALQKG ->ARGMiner~~~tetA(46)~~~AET10444.1~~~tetracycline unknown +>ARGMiner~~~tetA(46)~~~AET10444.1~~~tetracycline~~~unknown MIRAIWEYIRERKWRYVKIAMVLILYDYTLLIPTQVIQRLVDHLSQQTLTQSNFVWDMVLLVGSAILNYLTAFYWQLRLFQSSVHFKATLQGQAFRKLVAMRRPFFEKFRSGDLLTRFTTDVDGMADMAGYGMMVLLFGGGLFAFIIPTMFFISWQLTLISFIPMIFLVVSTYFLSRKQEEYVEQNREAVAQLNDEVLESIEGIRVMRAYSRRDQQVKQFQKKTASLSKTGDKIASIQYSFGPLALLFIGFSTVLLLLFGGQSLASGQLSLGKLLALQLYLVFLIEPMWMMTDLILVYQTGQMSYKKLKEVIDETDDLEPDGTHYLEQIDSVEFKDYSFSYPGAERKSLSGIDWTIQRGQTVGIVGRTGAGKTTLVRQFLRQYPVGEGEFLVNQQPIVDYNRHSIEEKIGYVSQEHILFSKSIRENIALGKKGASQEDLMEAVAQAAFADDLERMSHGMDTLIGEKGVSVSGGQKQRISLARAFLRDADLLLLDDSLSAVDAKTEQAIIDTIQKERKDKTTIIVSHRLSAVHQADWIIVLDQGQIVEEGRASDLLAQEGWYYEQYQRQQKQEGE ->ARGMiner~~~tetB(46)~~~AET10445.1~~~tetracycline unknown +>ARGMiner~~~tetB(46)~~~AET10445.1~~~tetracycline~~~unknown MKVLKRLLSRITLYPTVFLAGFICLLLATIFSELSPFLLQKMIDGPLTALTHGGGQGDLLQMGGFYLLVLSLGQLISYMGNRILLHGSNQVTASLRDQAFQVMQGLPISYFDDKPAGKIATRIVNDTETLRTQFYNSCMILVIYLVRFLFILGILFYLSPMMGLLLCLVFPIFYGIQYLYKVMTDQPMKDFFDARSEVNTQVNELLHGASMIQLYHQEPGVVEEFEATTQKMLGANDRILLADSIASWTLTELLKFLVIAGILTIAGISFLQGNIGVTAGFLFININYVINLFDLMANLSRQFPNIRRSLETGSRVLAFLDQPLEADGALELKIEKAEVVFDDVQFAYEEGKPVLKDISIQAHPGQTLALVGHTGSGKSSIMNLLYRFYDPQEGEIRIDGQNIRHFSRESLRSHMGIVLQDPYLFTGTIASNVAMSQEHIDRNAVKDALKKVGAWPFVERLEKGIDHPVVEKGSAFSSGERQLISFARTLYMNPQILILDEATSHIDTETEEIIQKAMAVLQKGRTTFIIAHRLSTIQDADQILVLSEGRIVERGQHADLVAHGGIYAQMQAIQQTVE ->ARGMiner~~~tetA(60)~~~ANZ79240.1~~~tetracycline unknown +>ARGMiner~~~tetA(60)~~~ANZ79240.1~~~tetracycline~~~unknown MNDLLKVIINFIKKHPMRYLVSFILMIGSSIAAVYPARIIGQVVDKIVASELNAEWLGTQLVILVGIILVAYITESIWTYFIFIGYYEIQKELRVKLLRNNLRKKIPFYAHFRTGEIITRSSEDVTTIGDMMGFGMFALMNSTLLMSVSIYMMVTTISLPLTIAAILPLPILSYLVYKWGFDLEEEYNKAQNAVSQLNNEVLEMIDGTYVIRAYGQEDAMMDEFRAKTKKAMKQNIIVTEIESRFIPLAQLFMMISFTIALFYGGYLVSTGAILVGDVIAFQVYMGAIMWPMFMIGDIITNYKRGKVATERINEVLKHDDEIERGGTKTLETIESIEFKDFHFMYPGEEAPLLKEINLTLRKGETLGIVGKTGSGKTTLLMQLLHQFPYRGEKLLINGEPLIDYDTQSVAGHLAYVPQEHTLFSRTIRENMLFGKEDATDDEIWEALTLASFEGDVKRMPDELDTMVGEKGVSLSGGQKQRLSIARAFLRNRECLILDDALSAVDAKTEREIISHLQQERGGCMNIISAHRLSAIRHADEIIVMNEGRISERGTHEELLEQRGWYYEQYLTQEMEEEIE ->ARGMiner~~~OpmB~~~NP_251215.1~~~multidrug unknown +>ARGMiner~~~OpmB~~~NP_251215.1~~~multidrug~~~unknown MKHTPSLLALALVAALGGCAIGPDYQRPDLAVPAEFKEAEGWRRAEPRDVFQRGAWWELYGDQTLNDLQMHLERSNQTLAQSVAQFRQAEALVRGARAAFFPSITGNVGKTRSGQGGGDSTVLLPXXXXXXXXXXXXXXXXXXXXXXXXXEVDLWGKLRRQLEANQASLHASAADLAAVRLSQQSQLAQNYLQLRVMDEQIRLLNDTVTAYERSLKVAENKYRAGIVTRADVAQARTQLKSTQAQAIDLKYQRAQLEHAIAVLVGLPPAQFNLPPVASVPKLPDLPAVVPSQLLERRPDIASAERKVISANAQIGVAKAAYFPDLTLSAAGGYRSGSLSNWISTPNRFWSIGPQFAMTLFDGGLIGSQVDQAEATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTLLGSRLTASVQLIAAMGGGWDSADIERTDERLGRVEEGLPPSP ->ARGMiner~~~adeL~~~ALH22601.1~~~multidrug unknown +>ARGMiner~~~adeL~~~ALH22601.1~~~multidrug~~~unknown MRVFNKVVETNSFSLAADSLGLPRASVTTTIQALEKHLQVRLLNRTTRKISLTPDGAVYYDRTARILADVADIESSFHDAERGPRGQLRIDVPVSIGRLILIPRLRDFHARYPDIDLVIGLNDRPVDLVGEAVDCAIRVGELKDSSLIARRIGTFQCATAASPIYLEKYGEPTSIEDLQKNHKAIHFFSSRTGRNFDWDFVVDDLIKSVSVRGRVSVNDGDAYIDLALQGFGIIQGPRYMLTNHLESGLLKEVLPQWTPAPMPISAVYLQNRHLSLKVKVFVDWVAELFAGCPLLGGTALPFDQKCEFACDKETGHEYTIRTLVEQHNIAEAYTLKT ->ARGMiner~~~EmrE~~~NP_253677.1~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~EmrE~~~NP_253677.1~~~multidrug~~~Multi-drug efflux pumps MTNYLYLAIAIAAEVVATTSLKAVAGFSKPLPLLLVVGGYVLAFSMLVLVMRTLPVGVVYAIWSGLGIVLVSLVAMFVYGQRLDPAALLGIGLIIAGVLVIQLFSRASGH ->ARGMiner~~~AcrA~~~CAC41008.1~~~multidrug unknown +>ARGMiner~~~AcrA~~~CAC41008.1~~~multidrug~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKPAQQGAQHMPEVGIVTLKSAPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFVEGSDIQAGVFLYQIDPATYQGSYDSAKGDLAKAQAAANMDQLTVKRYQKLLGTQYISQQDYDPAVATAQQNNAAVVAAKTAVETARINLAYTQKSPLRSAAGSGKSPVTEGALVQNGQTTALATVQQVDPIYVDVTQSSNDFLRLKQELADARLKQENGKAKVELVTNDGLKYPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGINPDALLVPQQGVTRTPRGDASVMVVGEGDKVEVRQVTASQAIGDKWLVTDGLKSGDRVIVTGLQKIKPGVQVKAQEVASDDKQQAAGNAPSEQTKS ->ARGMiner~~~ArmR~~~NP_252408.1~~~multidrug unknown +>ARGMiner~~~ArmR~~~NP_252408.1~~~multidrug~~~unknown MSLNTPRNKPSRTETEAVAASSGRSAVGRRDYTEQLRRAARRNAWDLYGEHFY ->ARGMiner~~~EdeQ~~~AHH86051.1~~~peptide unknown +>ARGMiner~~~EdeQ~~~AHH86051.1~~~peptide~~~unknown MSVTLREVTLENWEECIELEPTPEQSEFVAPNLYSIAESKFQTTFVPLAIYHDDTMVGFVMYGLDPDDGNYWIYRLLIDAKYQRLGYGRTAISQVIEILKAKEDCQKIVIGYAPANVAAENLYASLGFQKNGMVLFGETIAELNF ->ARGMiner~~~bleomycin~~~YP_007652797.1~~~bleomycin unknown +>ARGMiner~~~bleomycin~~~YP_007652797.1~~~bleomycin~~~unknown MADHVTPNLPSRDFDVTEAFYAKLGFATSWKDRGWMILQRGGLQLEFFPYPDLDPATSSFGCCLRLDDLDAMVALVNAAGAEEKSTGWPRFKAPQLEASGLRIGYLIDPDCTLVRLIQNPD ->ARGMiner~~~murA~~~AAN28945~~~fosfomycin unknown +>ARGMiner~~~murA~~~AAN28945~~~fosfomycin~~~unknown MPGIKVFGETVLRGSVRVSGAKNATTKLLVASLLSDQRTILKNVPNIEDVRQTVDLCRVLGAIVEWDQQAQVIEIHTPRILLSKVPPQFSCVNRIPILLLGALLRRCPYGIFVPILGGDAIGPRTLHFHLEGWKKLGAEIVISDEGYWASAPNGLVGAHITLPYPSVGATENLILASVGAQGRTIIKNAALEVEIIDLIVFLQKAGVEITTDNDKTIEIFGCQDFYSVEHFIIPDKIEAASFGMAAVVSQGRIFVEQARHEHMIPFLKVLRSIGGGFSVHENGIEFFYDKPLKGGVLLETDVHPGFITDWQQPFAVLLSQSEGCSVIHETVHENRLGYLKGLVKMGAHCDLFHECLSAKSCRYSTGNHPHSAVIHGPTPLQATDLVIPDLRAGFAYVMAALIAEGGASWIENTEMLDRGYTDWRGKLERLGAKVLARDAVSVYV ->ARGMiner~~~MuxB~~~NP_251217.1~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~MuxB~~~NP_251217.1~~~multidrug~~~Multi-drug efflux pumps MNPSRPFILRPVATTLLMVAILLSGLIAYRFLPISALPEVDYPTIQVVTLYPGASPEIMTSSITAPLENQLGQIPGLNEMSSSSSGGASVITLQFSLQSNLDVAEQEVQAAINAAQSLLPNDLPNQPVFSKVNPADAPILTLAVMSDGMPLPQIQDLVDTRLAQKISQISGVGLVSISGGQRPAVRVRANPTALAAAGLSLEDLRSTVTSNNLNGPKGSFDGPTRASTLDANDQLRSADAYRDLIIAYKNGSPLRIRDVASVEDDAENVRLAAWANNLPAVVLNIQRQPGANVIEVVDRIKALLPQLQSTLPGNLDVQVLTDRTTTIRASVKDVQFELALAVALVVMVTFLFLRNVYATLIPSFAVPLSLIGTFGVMYLSGFSINNLTLMALTIATGFVVDDAIVMVENIARYLEQGDSPLEAALKGSKQIGFTIISLTFSLIAVLIPLLFMGDVAGRLFREFAITLAVAILISGFVSLTLTPMLSAKLLRHIDEDQQGRFARAAGRVIDGLIAQYAKALRVVLRHQPLTLLVAIATLALTALLYLAMPKGFFPVQDTGVIQGVAEAPQSISFQAMSERQRALAEVVLKDPAVASLSSYIGVDGSNPTLNTGRLLINLKPHSERDVTASEVIQRLQPELDHLPGIKLYMQPVQDLTIEDRVARTQYQFTLQDADPDVLAEWVPKLVARLQELPQLADVASDWQDKGLQAYLNIDRDTASRLGVKLSDIDSVLYNAFGQRLISTIFTQATQYRVVLEVAPQFQLGPQALEQLYVPSSDGTQVRLSSLAKVEERHTLLAINHIAQFPSATLSFNLAKGYSLGEAVEAIRGVEASLELPLSMQGSFRGAALAFEASLSNTLLLILASVVTMYIVLGILYESFIHPVTILSTLPSAGVGALLALMLAGQEIGIVAIIXXXXXXXXVKKNAIMMIDFALDAERNEGKPPHEAIYQACLLRFRPILMTTMAALLGALPLMLAGGAGAELRQPLGITMVGGLLLSQVLTLFTTPVIYLYFDRLARRWAAWRKQRGLDLNTEAGFDGDAGR ->ARGMiner~~~MuxC~~~NP_251216.1~~~multidrug unknown +>ARGMiner~~~MuxC~~~NP_251216.1~~~multidrug~~~unknown MSLSTPFIRRPVATTLLTLALLLAGTLSFGLLPVAPLPNVDFPAIVVSASLPGASPETMASSVATPLERSLGRIAGISEMTSSSSLGSTTVVLVFDLEKDIDGAAREVQAAINGAMSLLPSGMPNNPSYRKANPSDMPIMVLTLTSETQSRGEMYDLASTVLAPKLSQVQGVGQVSIGGSSLPAVRVDLNPDAMSQYGLSLDSVRTAIAAANSNGPKGAVEKDDKHWQVDANDQLRKAREYEPLVIHYNADNGAAVRLGDVAKVSDSVEDVRNAGFSDDLPAVLLIVTRQPGANIIEATDAIHAQLPVLQELLGPQVKLNVMDDRSPSIRASLEEAELTLLISVALVILVVFLFLRNGRATLIPSLAVPVSLIGTFAVMYLCDFSLNNLSLMALIIATGFVVDDAIVVVENIARRIEEGDPPIQAAITGARQVGFTVLSMTLSLVAVFIPLLLMGGLTGRLFREFAVTLSAAILVSLVVSLTLTPMLCARLLRPLKRPEGASLARRSDRFFAAFMLRYRASLGWALEHSRLMVVIMLACIAMNLWLFVVVPKGFLPQQDSGRLRGYAVADQSISFQSLSAKMGEYRKILSSDPAVENVVGFIGGGRWQSSNTGSFFVTLKPIGERDPVEKVLTRLRERIAKVPGAALYLNAGQDVRLGGRDSNAQYEFTLRSDDLTLLREWAPKVEAAMRKLPQLVDVNSDSQDKGVQTRLVIDRDRAATLGINVEMVDAVLNDSFGQRQVSTIFNPLNQYRVVMEVDQQYQQSPEILRQVQVIGNDGQRVPLSAFSHYEPSRAPLEVNHQGQFAATTLSFNLAPGAQIGPTREAIMQALEPLHIPVDVQTSFEGNAGAVQDTQNQMPWLILLALLAVYIVLGILYESYVHPLTILSTLPSAGVGALLALILCRSELSLIALIGIILLIGIVKKNAIMMIDFALEAERNHGLSPREAILEACMMRFRPIMMTTLAALLGALPLIFGIGGDAALRRPLGITIVGGLIGSQLLTLYTTPVVYLYLDRLRHWVNQKRGVRTDGALETPL ->ARGMiner~~~PmpM~~~NP_250052.1~~~multidrug unknown +>ARGMiner~~~PmpM~~~NP_250052.1~~~multidrug~~~unknown MNSPALPLSRGLRIRAELKELLTLAAPIMIAQLATTAMGFVDAVMAGRASPHDLAAVALGNSIWIPMFLLMTGTLLATTAKVAQRHGAGDQPGTGPLVRQALWLALLIGPLSGAVLWWLSEPILGLMKVRPELIGPSLLYLKGIALGFPAAALYHVLRCYTNGLGRTRPSMVLGIGGLLLNIPINYALIYGHFGMPKMGGPGCGWATGSVMWFMFLGMLFWVNKASIYRASQLFSRWEWPDRATIGPLVAVGLPIGIAVFAESSIFSVIALLIGGLDENVVAGHQIALNFSALVFMIPYSLGMAVTVRVGHNLGAGLPRDARFAAGVGMAAALGYACVSASLMLLLREQIAAMYSPDPAVIAIAASLIVFSALFQFSDALQVTAAGALRGYQDTRVTMIMTLFAYWGIGLPVGYSLGLTDWFQEPTGPRGLWQGLVVGLTGAAIMLCIRLARSARRFIRQHERLQREDAEAASVLGR ->ARGMiner~~~APH(3')-VIII~~~EPF73263~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-VIII~~~EPF73263~~~aminoglycoside~~~unknown MKLPQKIRNFIGNNRLIVNKVGQSPSDVYCFERNRETFFLKVSSVQYATTTYSVAREAQMMLWLADKINVPELVFSEIDQNFEYMLSKSIDAQPISDLSLAQSELIMLYQDVLSQLRSVPVQNCPFNSDINSRLQESQYFMEIGLLNQVDDENIDIELWGEHQSYLELWTELNNHRVKENLVFTHGDITDSNIFVDQSNKIYFLDLGRAGLADEFVDIAFVERCLREDGSEESAQKFLKQLSFDDLSKRQYFLKLDELN ->ARGMiner~~~APH(3')-IX~~~ENV34035~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IX~~~ENV34035~~~aminoglycoside~~~unknown MINDMKISLPQSLKSFIGNQPLQKDKVGQSPSDVYSFTKNNEKYYLKTTELIYAQTTYSIIREAKILDWLDGKLNVPELVLMDTDHENEYMISKAVPAKPLQDFTGKSDQFFIDIYTDALAQLQSISIKNCPFISNKKFRLAEAEFFIENGLLDELDDDEKDLKLWSSYQNFAEFLDDLKQQNFQEEYVFSHGDLTDSNVFLSHDAQIYFLDVGRAGIADRFVDIAFIERSLREDCSEDAALQFLNHLAEDDSFKRNYFLKLDELN ->ARGMiner~~~HMB-1~~~AMY61250.1~~~beta_lactam unknown +>ARGMiner~~~HMB-1~~~AMY61250.1~~~beta_lactam~~~unknown MKIHLWISLGLLLLTNIVLAEEPLPELEVKKIDEGVYLHTSYENYPSWGLVASHGLVFVDGKDAYIIDTPATVKDTEVLVQWINDQGFKPKASISTHFHDDSTIGIAYLNSKSIPTYASEQTNELLNKEVAAQATHSFKKNPYWLLKNKIEAFYPGAGHTPDNLVVWLPKQKILFGGCFVKPEGLGNLSHAVVSEWPASAEKLINRYSDAKIVVPGHGTMGDASLLEKTKQRALEAVAKKK ->ARGMiner~~~ANT(3'')-IIb~~~ENU91137~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-IIb~~~ENU91137~~~aminoglycoside~~~unknown MSEQFQLQQLQEYLHALFAESLFAIYLYGSAVDGGLGPESDLDLLVVVTQPLTHVQRQQLAQALLTLSHPIGGLQRALEVTILLKEEVISGRYPLNYELQFGEWLREELVDGGELSAQNDPDISILLKKAHMHHRTLFGPDLTSWLDEIPDQQLWQAMADLYPSIVAHWDEDGDERNQILALCRIYFSLSLGEIVSKSHAAQWVIAQLEEKDQPVLQRMVQEYKGEMTKQDWPSQHQVLQPIVNFLSQHIETFFDKKGLKIKQ ->ARGMiner~~~ANT(3'')-IIc~~~ENU37733~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-IIc~~~ENU37733~~~aminoglycoside~~~unknown MSETLQLEQLTGYLQQLLGESLFAIYLYGSAVDGGLGPESDLDILVVVSQALTLPQRQQLAETLLQISHPIGAAQRALEVTIVRKDHILSGSYPLSYELQFGEWLRDELSQGDMLSEHADPDLSILLKKAQLHHRSLFGPSLTQWSVEIPDQQLWQAMADTYPSIVAHWDEDADERNQILALCRIYFSLVTNEIAPKDQAAQWVIAQLQPQHQPVLQRMVQEYKGEIEKQNWQQQHHALQPVVDFLSSKIDERFKQKKV ->ARGMiner~~~NDM-17~~~AOT73359.1~~~beta_lactam unknown +>ARGMiner~~~NDM-17~~~AOT73359.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLLVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGLVAAQHSLTFAANGWVKPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~AmrB~~~YP_001119417~~~multidrug unknown +>ARGMiner~~~AmrB~~~YP_001119417~~~multidrug~~~unknown MARFFIDRPVFAWVIALFILLGGGFAIRALPVAQYPDIAPPVVSIYASYPGASAQVVEESVTALIEREMNGAPGLLYTSASSSAGSASLYLTFKQGVNADLAAVEVQNRLKTVDARLPEPVRRAGIQVEKAADNIQLVVSLTSDDGRMTDVQLGEYASANVVQALRRVDGVGRVQFWGAEYAMRIWPDPDKLAGHGVTASDIASAVRAHNARVTIGDIGRSAVPDSAPIAATVFADAPLKTPADFGAIALRTQPDGSALYLRDVARVEFGGNDYNYPSYVNGKVATGMGIKLAPGSNAVATERRVRAAMDELSAYFPPGVKYQIPYETSSFVRVSMNKVVTTLIEAGVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGVMQALGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEERLEPYEATVKAMQQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFALALAVSIAFSAFLALSLTPALCATLLKPVDGGHHDKRGFFGAFNRFVARATQRYATRVGTMLARPLRWLVVYGALTAAAVLMLTQLPSAFLPDEDQGNFMVMVIRPQGTPLAETMRSVREVDAYLRREEPAAYTFALGGFNLYGEGPNGGMIFVSLKDWRARKAARDHVQAIVARINARFAGTPNTTVFAMNAPALPYLGSTSGFDFRLQNRGGLDYAAFSAAREQLLAAAGRDPALTDVMFAGMQDAPQLKLDVDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVIVQADGQHRVDPDDVKKLRVRNARGEMVPLAAFTTLHWTLGPPQLTRYNGFPSFTINGSAAPGHSSGEAMAALERLAATLPAGIGHAWSGQSFEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVLGVTLRAMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMPLIDAAREAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQMAIGTGVLGGVITATVLAVFLVPLFFVMVGRVFDVGPRRRGASQPTTMEGSH ->ARGMiner~~~penp ~~~A0A024P8A8~~~beta_lactam class a betalactamases +>ARGMiner~~~penp ~~~A0A024P8A8~~~beta_lactam ~~~class a betalactamases MKKIYESKFSMRQVKMFMSLLVLITLAACFDSTNSTNVSSKEGNEVGKTTNASEKFSQLENEYNARLGVYAIDTGSNQTIGYRPEERFAFTSTYKALAAAIVLQQSTMKELKEVITYTEDDLVSYSPITEKHVDTGMTLLKLAEAAVRSSDNTAGNLLFKGLGGPDGFEQALRQIGDNTTQSERYEPDLNEFTPGDNRDTSTPKALTTDLQAFAVGDLLSDDERERFTNWLQGNATGDTLIRAGAPEGWKVGDKSGAGSYGTRNDIAVVWPPNREPIIISIMSRHYTEEAKYDDALIAQAAKVALNALK ->ARGMiner~~~CRP~~~BAE77933.1~~~multidrug unknown +>ARGMiner~~~CRP~~~BAE77933.1~~~multidrug~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSKLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSAQMARRLQVTSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~macb~~~A0A0D0JYK8~~~macrolide Macrolide resistance efflux pumps +>ARGMiner~~~macb~~~A0A0D0JYK8~~~macrolide~~~Macrolide resistance efflux pumps MAEALLQLSGITRSFTAGDREFLALKNIDLTIHAGEMVAIIGASGSGKSTLMNILGCLDYATAGSYKINGRETRDLDSEALAELRRDYFGFIFQRYHLLPHLSAMHNVEIPAIYAGTPELQRHARARELLARLGLERHLTHRPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDTTSGKEVMHILQELHAAGHTVILVTHDPKVAANAERIIEVSDGEILSDRRNVPDSDASANDDRLAPHATAARRLVASLGLFKEAFNMAWVALISHRMRTLLTMLGIVIGITSVVSISAIGEGAKRYVLKDIQAIGSNTIDIYSGTSFGDSRSAAIETLVPADVAALNQLYYVDSATPVVGRNLLLRYRNIDVDAQVNGVSDLYFQVRGIKMAAGIHFSESDARRQAQVVVIDHKTRQRLFGEQVDPLGQVILIGNLPCTVIGVAAENKNLFAASKSLNVWVPYETAAGRLLGQRYLDSISVRIKDGQPSKVVEDNVNKLMLQRHGTKDFFTNNLDSIMQTVQKTSRSLALLLSLIAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARQSDIRQQFLVEAVMVCLIGGAIGISLSYAIGHLFSLFIKEWEMVFSMASVMTAVFCSTLIGVVFGFVPARNASRLDPIEALARD ->ARGMiner~~~tetO~~~ZP_03730066~~~tetracycline unknown +>ARGMiner~~~tetO~~~ZP_03730066~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIKISEKEKIKITEMCVPTNGELCSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRTFIENPLPMLQTTIAAKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGKVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPATGKLICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~catB7~~~1XAT~~~chloramphenicol unknown +>ARGMiner~~~catB7~~~1XAT~~~chloramphenicol~~~unknown MGNYFESPFRGKLLSEQVSNPNIRVGRYSYYSGYYHGHSFDDCARYLMPDRDDVDKLVIGSFCSIGSGAAFIMAGNQGHRAEWASTFPFHFMHEEPAFAGAVNGYQPAGDTLIGHEVWIGTEAMFMPGVRVGHGAIIGSRALVTGDVEPYAIVGGNPARTIRKRFSDGDIQNLLEMAWWDWPLADIEAAMPLLCTGDIPALYQHWKQRQATA ->ARGMiner~~~arnA~~~A0A109KXU6~~~polymyxin Lipid A modification +>ARGMiner~~~arnA~~~A0A109KXU6~~~polymyxin~~~Lipid A modification MSSKAVVFAYHDIGCAGIEALLSAGYDIAAVFTHADDPKENNFYGSVAQLCARNGIPVHAPEDANHPLWIERIAKLNPEYIFSFYYRNLLSEPLLATARKGAFNLHGSLLPKYRGRAPANWVLVNGETETGVTLHRMVKRADAGAILAQQKVTIERSYTGLSLHAKLREAATALLRDALPQLAQGKLSETAQDESKATYFGRRTAADGKLDWKKPAEQLFNLVRAVTQPYPGAFCAVGEHKLIVWQADVVKGNEGLAPGRVISVNPLRIACGEDSLVVKFGQRNENGLYLAGPSLADELGLVDGSVLRGAESGRKPRRTRVLILGVNGFIGNHLSERLLRDDRYEVYGLDIGSDAIERLRSHPNFHYVEGDISIHTEWIEYHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKLVRYCVKYNKRVIFPSTSEVYGMCQDQYFDEDTSNLVVGPVNKQRWIYSVSKQLLDRVIWAYGDKGLKFTLFRPFNWMGPRLDRLDSARIGSSRAITQLILNLVEGTPIRLFDGGEQKRCFTDIADGIEALARIIDNDNGVCDGQIINIGNPENEASIRQLGEELLHQFEAHPLRHNFPPFAGFRDVESKAFYGTGYQDVAHRKPSIENAKRLLNWEPSVQMSETIGNTLDFFLREAMLEIADKK ->ARGMiner~~~FosB~~~A0RD31~~~fosfomycin unknown +>ARGMiner~~~FosB~~~A0RD31~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVRGRKLAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQERLNYYREDKPHMTFY ->ARGMiner~~~SRT-2~~~P18539~~~beta_lactam unknown +>ARGMiner~~~SRT-2~~~P18539~~~beta_lactam~~~unknown MTKMNRCAALIAALILPTAHAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQSKLSFKDPASHYLPDVRGSAFDGVSLLNLATHTSGLPLFVPDDVTNNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVASVARRWPRRTSVITSAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVEMLANKWFPNDDRVEAAYHIIQALEKR ->ARGMiner~~~Qac~~~AAB47993~~~qa_compound unknown +>ARGMiner~~~Qac~~~AAB47993~~~qa_compound~~~unknown MPYIYLLIAIITEIIGTSFLKSAEGFTKLWPTLGTLISFGICFYFLSTAMKYLPLNISYATWAGLGLVLTTLVSVIIFKENINLISIFSITLIIIGVVLLNVFGSGH ->ARGMiner~~~QnrB62~~~AFY16910.1~~~quinolone unknown +>ARGMiner~~~QnrB62~~~AFY16910.1~~~quinolone~~~unknown MTPLLYKNTGIDMTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~DIM-1~~~AGC92784.1~~~beta_lactam unknown +>ARGMiner~~~DIM-1~~~AGC92784.1~~~beta_lactam~~~unknown MRTHFTALLLLFSLSSLANDEVPELRIEKVKENIFLHTSYSRVNGFGLVSSNGLVVIDKGNAFIVDTPWSDRDTETLVHWIRKNGYELLGSVSTHWHEDRTAGIKWLNDQSISTYATTSTNHLLKENKKEPAKYTLKGNESTLVDGLIEVFYPGGGHTIDNVVVWLPKSKILFGGCFVRSLDSEGLGYTGEAHIDQWSRSAQNALSRYSEAQIVIPGHGKIGDIALLKHTKSLAETASNKSIQPNANASAD ->ARGMiner~~~bacA~~~A0A0X1TKP7~~~bacitracin antibiotic target alteration +>ARGMiner~~~bacA~~~A0A0X1TKP7~~~bacitracin~~~antibiotic target alteration MDFIEILKVIFLGIVEGITEWLPVSSTGHMILVDEFIKLNMTPEFMEMFFVVIQLGAIMAVVCLFWDKLFPFEFKGGFKVKNDTMNLWFKIVVACLPAAVIGLLFDDWLDEHFYNYQTVALMLIIYGVLFIIIENYNKGRRSVINDLSQITYKFALMVGVFQLLALIPGTSRSGATIIGAILIGASRYVASEFTFFLAIPVMLGASLLKILKFGFVFTSAEAIILGTGTLVAFFVSILAIKFLMGYIKKHDFKVFGWYRIVLGIIVLAYFWFMAA ->ARGMiner~~~SHV-35~~~AAL68926.1~~~beta_lactam unknown +>ARGMiner~~~SHV-35~~~AAL68926.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDKQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGGRGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~dfrB6~~~ADO00942.1~~~trimethoprim unknown +>ARGMiner~~~dfrB6~~~ADO00942.1~~~trimethoprim~~~unknown MDQGSNEVINPVAGQFASPSNATFGMGDRVRKKSGAAWQGQIVGWYSTKLTPEGYAVESEAHPGSVQIYPVAALERVN ->ARGMiner~~~BacA~~~Q1LSL8~~~bacitracin unknown +>ARGMiner~~~BacA~~~Q1LSL8~~~bacitracin~~~unknown MVDIYGLVVALILGIVEGLTEFLPVSSTGHMILVSYMLGFNNDKTKIFEVLIQLGSILAVIIICKQRWFLLFGLNLKKWEIYQHNINHGSRLHLYHIILGLIPSSILGLMFYEQIKSFFEPKYVMYSLILGSLLLLISQLIHDKKPRATCIDDISYLQAFLIGCFQCFALLPGFSRSGATISGGMLVGVSSDAAFEFSFLLAVPMIFGATILDLYRHLPVLSLDDIPMFIIGFITAFLVALITIKLFWRIIKGMSFIPFVLYRFLLVIVFYLILI ->ARGMiner~~~SHV-70~~~AAY42633.1~~~beta_lactam unknown +>ARGMiner~~~SHV-70~~~AAY42633.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGVTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-379~~~AHL30279.1~~~beta_lactam unknown +>ARGMiner~~~OXA-379~~~AHL30279.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSTSKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRIGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWEWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~bacA~~~J7Y9N9~~~bacitracin Undecaprenyl pyrophosphate phosphatase +>ARGMiner~~~bacA~~~J7Y9N9~~~bacitracin~~~Undecaprenyl pyrophosphate phosphatase MADWLIGLIMGAVEGLTEFLPVSSTGHMILMGHLIGFEDDRAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGILGVLFHSAIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSAADIPLFATGFITAFVVAMLAIVSFLKLLGRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~cmlA5~~~YP_001338812~~~chloramphenicol unknown +>ARGMiner~~~cmlA5~~~YP_001338812~~~chloramphenicol~~~unknown MLYGSAAKSFVQGDGFVRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWASQSVLGFIAPMWLVGIGVATAVSVSPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRVKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~tetY~~~AAC72341.1~~~tetracycline unknown +>ARGMiner~~~tetY~~~AAC72341.1~~~tetracycline~~~unknown MSKSLITALIVVALDAIGLGLIMPVVPALLNEFVPAEQTAFHYGVFLSLYAFMQVFCAPVLGRLSDRYGRRIILLVSFLGATIDYSIMAAAPVLWVLYIGRIISGVTGATGAIAASIIADTTKQEERARWFGFMGACFGAGMIAGPAIGGVLGDISVHAPFVAGALLNAIAFCLVAFLLPKTPSQPPEGQPAKINLFEGFRFNFAVQGLASFFALFFLMQLIGQAPAALWVIYGEQRLNWDIGTAGVSLAVFGAAHTFVQAVLTGTLSKRLGDRGVLLLGMGADMCGFLLLAFITQSWMVLPAIFMLATGGIGMPALQAIISGLVCDEKQGALQGTLTGLTNITSIIGPVGFTTLYGLTAGQWDGWVWLVAASLYLIAIPLLRQSASLLRS ->ARGMiner~~~IMP-5~~~AAK27847.1~~~beta_lactam unknown +>ARGMiner~~~IMP-5~~~AAK27847.1~~~beta_lactam~~~unknown MSKLFVFFMFLFCSITAAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNTEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFSGASYWLVKKKIEVFYPGPGHTPDNVVVWLPENRVLFGGCFVKPYGLGNLGDANVEAWPKSAKLLMSKYGKAKLVVPSHSEVGDASLLKRTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~LsaE~~~AFU35065.1~~~macrolide-lincosamide-streptogramin Streptogramin resistance ATP-binding cassette ABC efflux pumps +>ARGMiner~~~LsaE~~~AFU35065.1~~~macrolide-lincosamide-streptogramin~~~Streptogramin resistance ATP-binding cassette ABC efflux pumps MSLINVSNLTFSYEGSYDNIFENVSFQIDTDWKLGFIGRNGRGKTTFLNLLLGKYAYSGNISSTVKFEYFPYDVEDKSLYTIEVMKSICTECMDWEIFREISLLDVQEDALYRPFNTLSNGEQTKVLLAALFLTASCFLLIDEPTNHLDIDARNVVQNYLKRKKGFILVSHDRSLLDQCVDHILSINKTNIEIQKGNFTSWWENKTLQDNFELAENKKLLKEIGRLSYAAKRSSNWSNKVEKSKYGTTNSGSKLDKGYVGHKAAKAMKRAKNIESRHQEAVLQKSELLHNIEQYDDLKISPLEFHKECLIEANDLSLSYGDKEVCSNLNFRVNIGDRVAIIGKNGSGKSSILKLINGDDIKFTGNFMLASGLKISYISQDTSYLKGNLSEFAYNNKIDETLFKTILRKLDFNREQFDKNMVDFSAGQKKKVLIAKSLCESAHLYIWDEPLNYIDIFSRIQIEKMILEYCPTLLFVEHDDAFCNNICTKNINLGL ->ARGMiner~~~AAC(6')-Ib10~~~YP_002791392~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib10~~~YP_002791392~~~aminoglycoside~~~unknown MLRSNDVTQQGSRPKTKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~tolc~~~YP_002638786~~~multidrug multidrug efflux complexes in gram negative bacteria +>ARGMiner~~~tolc~~~YP_002638786~~~multidrug~~~multidrug efflux complexes in gram negative bacteria MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~BacA~~~YP_001155044~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001155044~~~bacitracin~~~unknown MVNLDQFCQIFFISSYTYKICPMELILLIKAVILGVVEGLTEFLPISSTGHLILVGDLLDFNDERGKAFEVIIQFGAILAVCWEFREKLLKVACSLTSNANSRRFFLNLLIASAPAMGLGFVFGKHIKAILFSPVPVATAFIVGALIIFWAERRQEKIGTTSTHIHTVDDLSYLDALKVGIAQCAALIPGTSRSGATIIGGMLFGLPRVVATEFSFFLAIPVIGGATAYELLKLWKAPVTISGDYGLAIGVGFVAAFISAFICVRWLIHYVAHHNFIPFAWYRIVFGLLVLVTSYSGLIAWSH ->ARGMiner~~~MdfA~~~YP_407239~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_407239~~~multidrug~~~unknown MPGLDVRRYFSLSVWCFTNFQPISADMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIITCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGQFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~QnrA4~~~AAZ04783.1~~~quinolone unknown +>ARGMiner~~~QnrA4~~~AAZ04783.1~~~quinolone~~~unknown MDIIDKVFQQEDFSRQDLSDSRFRRCRFYQCDFSHCQLRDASFEDCSFIESGAVEGCHFSYADLRDASFKACRLSLANFSGANCFGIEFRECDLKGANFSRARFYNQISHKMYFCSAYISGCNLAYANLNGQCLEKCELFENNWSNANLSGASLMGSDLSRGTFSRDCWQQVNLRGCDLTFADLDGLDPRRVNLEGVKICAWQQEQLLEPLGVIVLPD ->ARGMiner~~~aadA6/aadA10~~~CAJ32491.1~~~aminoglycoside unknown +>ARGMiner~~~aadA6/aadA10~~~CAJ32491.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAARLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKVRQHSLALAGSAAEDFFNPVPEGDLFKALSDTLKLWNSQPDWEGDERNVVLTLSRIWYSAATGKIAPKDIVANWAMERLPDQHKPVLLEARQAYLGQGEDCLASRADQLAAFVHFVKHEATKLLSAMPVMSKTKLDALST ->ARGMiner~~~EmrD~~~YP_001451632~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_001451632~~~multidrug~~~unknown MKRQRSVNLLLMLVLLVAVGQMAQTIYIPAIADMARELNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMLATLVAITTHSLTVLIAASALQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTVWNWRACYVFLLVLCAGVTFSMARWMPETRPAGAPRTRLIASYKTLFGNGAFTCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFATLMWQSVFSCLLAGVMMWVPGLFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMLPQTGQGSLGFLMTLMGLLILLCWLPLASRVPHQGQTI ->ARGMiner~~~class_c~~~A0A0T7GFM3~~~beta_lactam antibiotic inactivation +>ARGMiner~~~class_c~~~A0A0T7GFM3~~~beta_lactam~~~antibiotic inactivation MRFSGSANRTVAAGHAIALALVAAFNPGGARADETERRIATAVDKAMKPLMSENDIPGMAVGVTINGRSFVWNYGLADREKAVPVGDDTLFEIGSISKTFTATLGAYAQAEGKLSFFDKGSDHMSALRGSALDRVNLLDLGTYTAGGLPLQFPDEVADNRDITRFYRGWRPSYEPGTHRVYSNPSIGLFGYLAAESLGKPFLSLMEGKLLPALGLRKTYLNVPAAEIQSYAFGYNKAGKPIRVTPGALDAQAYGIKTTAADLLRFLEVNIDPSRLEPSLQKAMESMQIGYFRVGDMYQSLGWELYAWPTTLDTVLEGNSSDMALKPQPAVRLNPPEKPGDEILLNKTGSTGGFGAYAAFVPERRIGVVLLANRNFPIPARIKAAFTILKAVETIQEH ->ARGMiner~~~SHV-128~~~ADE58494.1~~~beta_lactam unknown +>ARGMiner~~~SHV-128~~~ADE58494.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELRAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGTGAAPIEHWQR ->ARGMiner~~~QnrS2~~~ABF47470.1~~~quinolone unknown +>ARGMiner~~~QnrS2~~~ABF47470.1~~~quinolone~~~unknown METYRHTYRHHSFSHQDLSDITFTACTFIRCDFRRANLRDATFINCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIELRECDLKGANFSRANFANQVSNRMYFCSAFITGCNLSYANMERVCLEKCELFENRWIGTHLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIASWQQEQLLEALGIVVFPD ->ARGMiner~~~mexL~~~NP_252368.1~~~multidrug unknown +>ARGMiner~~~mexL~~~NP_252368.1~~~multidrug~~~unknown MSESTSSVGPGRPKDPAKREAILEAAKRLFLCNGYDGSSMEAIASEAGVSKLTVYSHFTDKETLFSEAVKAKCAEQLPALYFQLAEGAPLEKVLLNIARGFHRLINSHEAIALTRLMAAQAGQNPKLSELFFEAGPKQVIDEMERLLEQARRSGKLAFPDARHAAEHFFMLVKGCANYRLLIGCAEPLDEAEGERHVEEVVALFLRAFAAGG ->ARGMiner~~~OXA-89~~~ABE03012.1~~~beta_lactam unknown +>ARGMiner~~~OXA-89~~~ABE03012.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSHYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFTYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~fusB~~~AAL12234.1~~~fusidic_acid unknown +>ARGMiner~~~fusB~~~AAL12234.1~~~fusidic_acid~~~unknown MKTMIYPHQYNYIRSVILRLKNVYKTVNDKETVKVIQSETYNDINEIFGHIDDDIEESLKVLMNIRLSNKEIEAILNKFLEYVVPFELPSPQKLQKVFKKVKKIKIPQFEEYDLKVSSFVGWNELASNRKYIIYYDEKKQLKGLYGEISNQVVKGFCTICNKESNVSLFMKKSKTNSDGQYVKKGDYICRDSIHCNKQLTDINQFYNFIDKLD ->ARGMiner~~~OXY-2-5~~~CAG34107.1~~~beta_lactam unknown +>ARGMiner~~~OXY-2-5~~~CAG34107.1~~~beta_lactam~~~unknown MIKSSWRKIAMLAAAVPLLLASGALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTESTLNTAIPGDERDTSTPLAMAESLRKLTLGDALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGSGDYGTTNDIAVIWPEDHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~CTX-M-115~~~AIL54055.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-115~~~AIL54055.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAIIWPENHAPLVLVTYFTQPEQKAESRRDVLAAAAKIVTHSF ->ARGMiner~~~OXA-2~~~ABN48512~~~beta_lactam unknown +>ARGMiner~~~OXA-2~~~ABN48512~~~beta_lactam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFNPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNADPSTSNGDYWIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~TEM-152~~~ABI74447.1~~~beta_lactam unknown +>ARGMiner~~~TEM-152~~~ABI74447.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMVSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERDRQIAEIGASLIKHW ->ARGMiner~~~aadA24~~~ABG72894.1~~~aminoglycoside unknown +>ARGMiner~~~aadA24~~~ABG72894.1~~~aminoglycoside~~~unknown MTIEISNQLSEVLSVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~pbp1a~~~ZP_00156276~~~beta_lactam unknown +>ARGMiner~~~pbp1a~~~ZP_00156276~~~beta_lactam~~~unknown MRIAKLILNTLLTLCILGLVAGGMLYFHLKSELPSVETLKTVELQQPMQIYTADGKLIGEVGEQRRIPVKLADVPQRLIDAFLATEDSRFYDHHGLDPIGIARALFVAVSNGGASQGASTITQQLARNFFLTSEKTIIRKAREAVLAVEIENTLNKQEILELYLNKIFLGYRSYGVAAAAQTYFGKSLNELTLSEMAIIAGLPKAPSTMNPLYSLKRSEERRNVVLSRMLDEKYISKEEYDAALKEPIVASYHGAKFEFRADYVTEMVRQEMVRRFGEENAYTSGYKVFTTVLSKDQAEAQKAVRNNLIDYDMRHGYRGGAPLWQKNEAAWDNDRIVGFLRKLPDSEPFIPAAVVGIAKGGADILLASGEKMTLSTNAMRWTGRSNPVKVGEQIWIRQRANGEWQLGQIPAANSALVSLNSDNGAIEAVVGGFSYEQSKFNRATQSLVQVGSSIKPFIYAAALEKGLTLSSVLQDSPISIQKPGQKMWQPKNSPDRYDGPMRLRVGLGQSKNMIAIRAIQTAGIDFTAEFLQRFGFKRDQYFASEALALGAASFTPLEMARAYAVFDNGGFLIEPYIIEKIQDNTGKDLFIANPKIACIECNDIPVIYGETKDKINGFANIPLGESALKPTDDSTNGEELDQQPETVPELPELQSNFTALKEDAIDLMAAAKNASSKIEYAPRVISGELAFLIRSALNTAIYGEQGLDWKGTSWRIAQSIKRSDIGGKTGTTNSSKVAWYAGFGANLVTTTYVGFDDNKRVLGRGEAGAKTAMPAWITYMKTALSDKPERKLPLPPKIVEKSIDTLTGLLSPNGGRKEYFIVGTEPTRTYLSEMQERGYYVPTELQQRLNNEGNTPATQPQELF ->ARGMiner~~~SRT~~~YP_001478502~~~beta_lactam antibiotic inactivation +>ARGMiner~~~SRT~~~YP_001478502~~~beta_lactam~~~antibiotic inactivation MTKINRLAAALLAAMILPAGHAADKAEIDAIIQPLMQKYGVPGMAIAVSVDGKQQFYDYGVASKQTGKPITNQTLFEVGSLSKTFTATLAAYAQNEGKLSFAEPASHYLSELRGSAFDRVSLLNLATHTSGLPLFVPDEVTNDAQLMAYYKNWQPPHAVGSYRVYSNLGIGMLGMITAKSLNQPFAQAMEKQLLPALGMNHSYVNVPAAQMDNYAQGYNKKDQPVRVTPGPLDAESYGIKSNAEDLIRYLEANMQVAKVGDKWRKALAATHTGYYRAGVFTQDLMWESYAYPEKLTTLTEGNNAGMIMNGTPATAITPPKQEQGAAWYNKTGSTGGFSTYAVFIPSKKIAVVMLANKWFPNDDRVAATYQLIQALDKR ->ARGMiner~~~CTX-M-142~~~AGW25368.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-142~~~AGW25368.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTANVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~OXA-20~~~YP_001844885.1~~~beta_lactam unknown +>ARGMiner~~~OXA-20~~~YP_001844885.1~~~beta_lactam~~~unknown MIIRFLALLFSAVVLVSLGHAQEKTHESSNWGKYFSDFNAKGTIVVVDERTNGNSTSVYNESRAQQRYSPASTFKIPHTLFALDAGAVRDEFHVFRWDGAKRSFAGHNQDQNLRSAMRNSTVWVYQLFAKEIGENKARSYLEKLNYGNADPSTKSGDYWIDGNLAISANEQISILKKLYRNELPFRVEHQRLVKDLMIVEAKRDWILRAKTGWDGQMGWWVGWVEWPTGPVFFALNIDTPNRMEDLHKREAIARAILQSVNALPPN ->ARGMiner~~~VIM-25~~~ADO50679.1~~~beta_lactam unknown +>ARGMiner~~~VIM-25~~~ADO50679.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRKAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVLALSRTSAGNVADADLAEWPTSVERIQKHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~CMY-47~~~ADH82410.1~~~beta_lactam unknown +>ARGMiner~~~CMY-47~~~ADH82410.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDEVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALVVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~adeN~~~AGV28567.1~~~multidrug unknown +>ARGMiner~~~adeN~~~AGV28567.1~~~multidrug~~~unknown MHDPVLESHHLVCEKPQTRRGIERRLALLLSATELFLEKGYDAVSLDDIVNHAGGSKTSIYKYFGNKDGLFTAICDYRREMFFKDICIAFQPEQTSLKDYLIQTLIRFYKPFIQPEHIAFLRLVIEQTQCNATLSQYLYEKCALDVQNTIAQALLISHQSGEITCTSPDHSSLMYFGILRDIEWRMIMGMPLPPNETEVIDYINYCVDIFLKGHHKV ->ARGMiner~~~OCH-4~~~CAC17624.1~~~beta_lactam unknown +>ARGMiner~~~OCH-4~~~CAC17624.1~~~beta_lactam~~~unknown MRKSTTLLIGFLTTAAIIPNNGALATSKANDGDLRRIVDETVRPLMAEQKIPGMAVAITIDGKSHFFGYGVASKESGQKVTEDTIFEIGSVSKTFTAMLGGYGLATGAFSLSDPATKWAPELAGSSFDKITMRDLGTYTPGGLPLQFPDAVTDDSSMLAYFKKWKPDYPAGTQRRYSNPSIGLFGYLAARSMDKPFDVLMEQKLLPAFGLKNTFINVPASQMKNYAYGYSKANKPIRVSGGALDAQAYGIKTTALDLARFVELNIDSSSLEPDFQKAVAATHTGYYHVGANNQGLGWEFYNYPTALKTLLAGNSSDMALKSHKIEKFDTPRQPSADVLINKTGSTNGFGAYAAFIPAKKIGIVLLANRNYPIDERVKAAYRILQALDNKQ ->ARGMiner~~~tet(30)~~~AAD09860.1~~~tetracycline tetracycline resistance major facilitator superfamily mfs efflux pumps +>ARGMiner~~~tet(30)~~~AAD09860.1~~~tetracycline~~~tetracycline resistance major facilitator superfamily mfs efflux pumps MNKALIVILSTVALDAIGAGLIFPILPDILVEVTGGGDIGFLYGVMLGVFAVMQFVFSPILGALSDRFGRRPVLLLSLAGTLLDYLVMAFSPLGWVLVVGRAMAGITSANMAVASAYITDITPAEQRAQRFGTVGAVMSLGFIIGPVIGGVIGAWWLRAPFLVAALFNGLNLFVALFVLPESRKAGPGKFAFKELNPLAPLVWLWNFKPLLPLVTVSVVFGLVAAIPGTIWVLYGAERFGWDSVHMGLSLSVFGVSGALAQAFLVGPLSRRFGDLGTLMIGVGFDMLAYMLMAFANQSWMGYAVAPLFALGGVAMPALQSLVTSRVSDDQQGQLQGVLASLMSLAGIIGPVLTTAVFFSTKSIWIGTIWLVGAALYLLALPLFATVKTPKAVAA ->ARGMiner~~~baca~~~S2XGJ2~~~bacitracin Undecaprenyl pyrophosphate phosphatase +>ARGMiner~~~baca~~~S2XGJ2~~~bacitracin~~~Undecaprenyl pyrophosphate phosphatase MELFDLLKALILGFVEGMTEFAPVSSTGHLIIVDDMWLKTSEFLGKPSANSFKIFIQLGSILAVIVVFWKRLFSLVGLYKMKDTTTGSKLKLTHVIVGLIPAGVLGVLFEDFIDEHLFRTETVLIGLVIGAILMIVADKFGPKKPKTQTLDQITYKQAFTVGLVQCLSLWPGFSRSGATISGGVLFGMSHRTAADFTFIMAVPIMFGASFLSLLKNWEDINPSDTSFYVVGFISAFVFALISIKFFLKLIEHVKLTPFAIYRLVLAAVLFVIYVL ->ARGMiner~~~EmrD~~~YP_002384997~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_002384997~~~multidrug~~~unknown MKRQRNVNLLLMLILLVAVGQMAQTIYIPAIADMARELNVREGAVQSVMGAYLLTYGISQLFYGPISDRVGRRPVILIGMSIFMLATLLAATTHSLTVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGVLDTLWNWRACYLFLLVLCAGVTFSMARWMPETRPADAPRTSLITSYKTLFGNGGFDCYLLMLIGGLAGIAAFEACSGVLMGAVLGLNSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSAICCLLAGLLMWLPAWFGIMNIWTLLTPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGALASLSAMLPQTDQGSLGMLMTLMGVLIVLCWLPLAARMSHQGQAV ->ARGMiner~~~SHV-157~~~AFQ23963.1~~~beta_lactam unknown +>ARGMiner~~~SHV-157~~~AFQ23963.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVMLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CMY-71~~~AFK08538.1~~~beta_lactam unknown +>ARGMiner~~~CMY-71~~~AFK08538.1~~~beta_lactam~~~unknown MMKKSLCCALLLTAPFSTFAAAKTEQQIADTVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADITNNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKAVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKKLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CTX-M-21~~~CAD08929.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-21~~~CAD08929.1~~~beta_lactam~~~unknown MVTKRVQRMMFAGGAGIPLLLGSAPFYAQTSAGQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~OXA-32~~~AAK58418.1~~~beta_lactam unknown +>ARGMiner~~~OXA-32~~~AAK58418.1~~~beta_lactam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNADPSTSNGDYWIEGSIAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~QnrB44~~~AFA52645.1~~~quinolone unknown +>ARGMiner~~~QnrB44~~~AFA52645.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFTTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~BL1_fox~~~YP_001141049~~~beta_lactam unknown +>ARGMiner~~~BL1_fox~~~YP_001141049~~~beta_lactam~~~unknown MELIYADARIDCASHGVGVTYIHHETHQMEKATTRSLLVLSTLLLSPLSHAKEAEPLTALVDGAIQPLLKEHRVPGMAVAVLKEGKAHYFNYGVADRESGARVSEQTLFEIGSVSKTLTATLGAYAAVKGGFQLEDKASQHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSTDKMRAYYRQWTPAYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPVRVNPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAVVKSAIALTHTGFYYVGEMTQGLGWESYAYPVTEQTLLAGNSSAVIYNANPVKSVATSQEMGGARLYNKTCSTGGFGAYVAFVPAKGIGIVMLANRNYPNEARVKAAHAILSQLAE ->ARGMiner~~~MdtK~~~YP_002849300~~~multidrug unknown +>ARGMiner~~~MdtK~~~YP_002849300~~~multidrug~~~unknown MQKYVSEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQIRQGFWLAGSVSVLIMVVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPAMVMGFLGLLVNIPVNYVFIYGHLGMPELGGVGCGVATAAVYWVMFIAMLSYIKRARSMRDIRNERGFQKPDTAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGVCMAVVTAIFTVTFREHIALLYNNNPEVVALAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAILMMLRMRFLQRQPSAVILQRAAR ->ARGMiner~~~FosK~~~BAO79518.1~~~fosfomycin unknown +>ARGMiner~~~FosK~~~BAO79518.1~~~fosfomycin~~~unknown MITGINHITFSVRDLSSSIEFYRDLLGMRLHVTWEAGAYFTAGDTWVCLSVGEPKPANDYTHVAFSVGERELVELHARLKEAGVEEWKQNTSEGNSVYLLDPNGHRIELHCGTLATRLAELEKSPYKRLVWC ->ARGMiner~~~TEM-118~~~AAN05029.1~~~beta_lactam unknown +>ARGMiner~~~TEM-118~~~AAN05029.1~~~beta_lactam~~~unknown FFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNR ->ARGMiner~~~BL2be_ctxm~~~P80545~~~beta_lactam unknown +>ARGMiner~~~BL2be_ctxm~~~P80545~~~beta_lactam~~~unknown QPANAKANIQQQLSELEKNSGGRLGVALIDTADNSQILYRGDERFPMCSTSKVMAVSALLKQSETDKNLLAKRMEIKQSDLVNYNPIAEKHLDTGMTLAEFSAATIQYSDNTAMNKILEHLGGPAKVTEFARTIGDKTFRLDRTEPTLNTAIPGDKRDTTSPQAMAISLQNLTLGKALAEPQRAQLVEWMKGNTTGGASIRAGLPTTWVVGDKTGSGDYGTTNDIAVIWPANHAPLVLVTYFTQPQQNAEARKDVLAAAAKIVTAGL ->ARGMiner~~~TriA~~~NP_248846.1~~~triclosan unknown +>ARGMiner~~~TriA~~~NP_248846.1~~~triclosan~~~unknown MSDARGAFHSKGRWSRMALPAILCAGLLVGCGAEPPAEEHVRVLAQTVKMAEFASATSITGDIQARVQADQSFRVGGKIVERLVDVGDHVAAGQVLARLDPQDQRSNVENAQAAVAAQQAQSKLADLNYQRQKALLPKGYTSQSEYDQALASVRSAQSSLKAAQAQLANARDLLSYTELRASDAGVITARQAEVGQVVQATVPIFTLARDGERDAVFNVYESLFSHDVDGQRITVSLLGKPEVTASGKVREITPTVDERSGTLKVKVGLDSVPAEMSLGSVVNASVAAPAEHSVVLPWSALSKVGEQPAVWLLDQQGKARLQPVRVARYASEKVVIDGGLEAGQTVVTVGGQLLHPGQVVEVAQPPQPTQSTASRDAVGGGQP ->ARGMiner~~~TEM-87~~~AAG44570.1~~~beta_lactam unknown +>ARGMiner~~~TEM-87~~~AAG44570.1~~~beta_lactam~~~unknown MSIKHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLHCWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-49~~~AAP40270.1~~~beta_lactam unknown +>ARGMiner~~~OXA-49~~~AAP40270.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVERIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~vanWI~~~WP_005813024.1~~~glycopeptide unknown +>ARGMiner~~~vanWI~~~WP_005813024.1~~~glycopeptide~~~unknown MLRRKPWVFLLVILFSQLSLSILLGATVTYGAGYAKAPEGLTVWEKDLGGMTKDEAYAVLAEVIPKAVVYDRTVYFLELNQTDQDLKDYLASQYIISTGNVITDAFEYLHRMSRTIPSPELLNQEEVLAQLRKFALDIDQPGKAAEAYYENGEIVIEEGSLGVRLDVDKSWEQLQQSIGMETVPLVTEVIVVHPTTAELEKVKDPLGDYTTYFNPSFHERVTNVRLAAEAINGLILPPGGEFSFNDTVGKREPERGYLPALMYMGNRVVTDDGGGICQDSTTLYQATKQARLEVLERYSHSLPVSYVPLGQDATVAYGALDFRFRNTTQGYLLLNAATGGNWIRVRIFGVADSEHPALDEPDGYPVKPREWSK ->ARGMiner~~~YkkC~~~ABS73653~~~multidrug unknown +>ARGMiner~~~YkkC~~~ABS73653~~~multidrug~~~unknown MKWGLVVIAAVFEVVWVTGLKHADSALAWSGTIVGIIVSFYLLIKATDSLPVGTVYAVFTGLGTAGTVLSEILLFKEQADPVKIVLIGVLLIGVIGLKLVTQDKPETKEEKA ->ARGMiner~~~BacA~~~YP_002937728~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002937728~~~bacitracin~~~unknown MEIFDIIKSVIFGIVEGITEWLPISSTGHMILLNEILPLRIGKNPDDFYSMFEVVIQLGAILAVVVLFWSQIWPFGRKNNKAPLAKTGIGAWVKTDIFVLWFHILVSTIPAAIIGILFDDVFERLFYNFQTVAIMLILFGIAFIVIETRHNGKSAKINSLVDISYTTALMIGFFQLIAAVFPGTSRSGATIVGALLIGVSRTVAAEYTFFLAIPVMFGASLLKLVKFGFHFTGEEAAILIIGMIVAFVVSLIVIKFLMGYIKKHNFIVFGWYRIVLGAIVLLCGIAGLL ->ARGMiner~~~SHV-112~~~ACC99191.1~~~beta_lactam unknown +>ARGMiner~~~SHV-112~~~ACC99191.1~~~beta_lactam~~~unknown WETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPDNKAERIVVIYLRDTP ->ARGMiner~~~smeD~~~YP_002029850~~~multidrug unknown +>ARGMiner~~~smeD~~~YP_002029850~~~multidrug~~~unknown MLLSRIRPFALSLAIAATVAACGGQPQAPEQGPGDVTVVTLKSETVGLTRELPGRTNAFLVAEVRPQVNGIVAKRLFTEGGMVKAGEPLYQIEDASYRAQANSARAQLARAEATANAARLSAKRITELAKVDAVSQQDLENAVAAQKQAEADVGAAKASLDAANVTLGYARITAPISGRIGKSSVTQGALVSAGQATALATVQQLDPIYVDLTQSSAELLQLRRELAAGRLQDNQQTPVSILMEDGSEFAHKGTLEFSEVSVDPATGSFGLRVKVDNPDGLLMPGMYVRAVIGGGVRSDAVLVPMQGIARDPKGDTSAMVVGKDNKVEARPVKVSRTVGDKWLVEDGLKAGDKVIVEGLQKIGPGMPVNVTEQGAAPAKPAAAQPAAAAAGAK ->ARGMiner~~~vanWB~~~AAB05625.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~AAB05625.1~~~glycopeptide~~~unknown MNRKRLTQRFPFLLPMRQAQRKICFYAGMRFDGCCYAQTIGEKTLPYLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIKPGETFSFWRLVRHADKDTPYKDGLTVANGKLTTMSGGGMCQMSNLLFWVFLHTPLTIIQRSGHVVKEFPEPNSDEIKGVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVFADKQPQALYKIANGSIQYVRESGGIYEYAKVERMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEANQ ->ARGMiner~~~tet36~~~CAD55718.1~~~tetracycline unknown +>ARGMiner~~~tet36~~~CAD55718.1~~~tetracycline~~~unknown MRTINIGILAHIDAGKTSITENLLFASGATIVRGSVDKGNTTTDSMDIEKRRGITVRASTTSIQWNDTKINIIDTPGHMDFLAEVERTFRMLDGAILVVSAKEGIQAQTRLLFNVLQQLEIPTILFVNKIDREGVNLNQLYLEIQNSLSKDIIFMQSVEGKELTSSCTIHYISEKNRETILEKDDLLLEKYLSDTQLSNLDYWNSMVRLVQAAKLHPIYHGSAMYGIGIEDLLNSITTFIETSLPQENALSAYVYKIEHNKKEQKRAYLKIIGGTLKSRKLYSLNGSDENLKIRGLKTFYSGDEIDVDEVFTNDIAIADHADNLMVGDYLGIMPNLFDKLNIPSPALKSSIHPAKVENRSKLISAMNVLSVEDPSLAFSINADNNELEVSLYGATQREVILTLLEERFSVDAYFEEVKTIYKERLKTKSEYTIHIEVPPNPYWASIGLIIEPLPIGAGLVMESEISLGYLNRSFQNAVFDGVKKACESGLYGWEVTDLKVTFSHGIYYSPVSTPADFRSLAPYVFRLALQQADVELLEPILDFKLQIPLAVNARAITDINKMQGEISTITSDGDWTTILGNIPLDTSKEYSAEVSSYTQGLGVFVTRFSGYRPTNKKVSRSVELNEKDKLMYMFEKESIK ->ARGMiner~~~SHV-81~~~CAJ47136.2~~~beta_lactam unknown +>ARGMiner~~~SHV-81~~~CAJ47136.2~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGSPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~TEM-112~~~AAS89982.1~~~beta_lactam unknown +>ARGMiner~~~TEM-112~~~AAS89982.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLRNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~y56~~~AAX55643.1~~~beta_lactam unknown +>ARGMiner~~~y56~~~AAX55643.1~~~beta_lactam~~~unknown MKHSSLRRALLLAGITLPLVNFSLPTWAAAIPGSLDKQLAALEHSANGRLGIAMINTGNGTKILYRGARRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLLKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATKIILSQIS ->ARGMiner~~~CTX-M-20~~~CAC95175.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-20~~~CAC95175.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDFLAAAAKIVTHGF ->ARGMiner~~~OpcM~~~YP_622885~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~OpcM~~~YP_622885~~~multidrug~~~Multi-drug efflux pumps MNNLHNTNGLMRFAKVAAASTLLATLLAACAVGPDYKRPDAAAPAAFKEAPTLAAGEQAGTWKTAEPADGEHRGEWWKVFGDPVLDSLETQALAANQNLKAAAARVEEARAATRSARSQWFPQVGAGFGPTREGLSSASQFQPQGTGPTNATLWRAQGTVSYEADLFGRVGRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYRRTVELREQALKLVQRRFNEGDISELDVSRAKNELASAQADAVGVARRRAASEHALAILLGKAPADFAFKETPIVPVAVKIPPGLPSALLERRPDVSAAERAMAAANARIGLAKSAYFPKLDITGSFGYEASTLGNLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQDAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGNAPAPTAVGDAASGKADVAAR ->ARGMiner~~~tet34~~~YP_002418004~~~tetracycline unknown +>ARGMiner~~~tet34~~~YP_002418004~~~tetracycline~~~unknown MSNKFVITWDNMQTYCRQLAEKQMPAEQWKGIWAVSRGGLVPGAILARELGIRHVDTICISSYDHDHQRDMTVVKAPEGDGEGFLIVEDLVDSGDTARKLREMYPKAKLIAVCAKPSGADLLDEYIVDIAQDTWIEQPWDTSLSYVEPVNRKSK ->ARGMiner~~~oprM~~~YP_001345922~~~multidrug unknown +>ARGMiner~~~oprM~~~YP_001345922~~~multidrug~~~unknown MKRSFLSLAVAAVVLSGCSLIPDYQRPEAPVAAAYPQGQAYGQNTGAAAVPAADIGWREFFRDPQLQQLIGVALENNRDLRVAALNVEAFRAQYRIQRADLFPRIGVDGSGTRQRLPGDLSTTGNPAISSQYGVTLGTTAWELDLFGRLRSLRDQALEQYLATEQAQRSAQTTLVASVATAYLTLKADQAQLQLTRDTLGTYQKSFDLTQRSYDVGVASALDLRQAQTAVEGARATLAQYTRLVAQDQNALVLLLGSGIPANLPQGLGLDQTLLTEVPAGLPSDLLQRRPDILEAEHQLMAANASIGAARAAFFPSISLTANAGTMSRQLSGLFDAGSGSWLFQPSINLPIFTAGSLRASLDYAKIQKDINVAQYEKAIQTAFQEVADGLAARGTFTEQLQAQRDLVKASDEYYQLADKRYRTGVDNYLTLLDAQRSLFTAQQQLITDRLNQLTSEVNLYKALGGGWNQQTVTQQQTAKKEDPQA ->ARGMiner~~~arnA~~~ZP_03028356~~~polymyxin unknown +>ARGMiner~~~arnA~~~ZP_03028356~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYATDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~OXA-320~~~AGR55864.1~~~beta_lactam unknown +>ARGMiner~~~OXA-320~~~AGR55864.1~~~beta_lactam~~~unknown MKNTIHINFAIFLIIANIIYSSASASTDISTVASPLFEGTEGCFLLYDASTNAEIAQFNKAKCATQMAPDSTFKIALSLMAFDAEIIDQKTIFKWDKTPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVKNSAIENTIENMYLQDLDNSTKLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYVFVSALTGNLGSNLTSSIKAKKIAITILNTLNL ->ARGMiner~~~tetO~~~ZP_02086796~~~tetracycline unknown +>ARGMiner~~~tetO~~~ZP_02086796~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~dfrA5~~~ABB89122.1~~~trimethoprim unknown +>ARGMiner~~~dfrA5~~~ABB89122.1~~~trimethoprim~~~unknown MKVSLMAAKAKNGVIGCGPHIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGALPNRKYAVVTRSAWTADNDNVIVFPSIEEAMYGLAELTDHVIVSGGGEIYRETLPMASTLHISTIDIEPEGDVFFPNIPNTFEVVFEQHFSSNINYCYQIWQKG ->ARGMiner~~~Bcr~~~YP_002383389~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_002383389~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILALAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRAGLWIQFMVAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIALCATCSILFCLYASRPKKR ->ARGMiner~~~TEM-88~~~AAK14792.1~~~beta_lactam unknown +>ARGMiner~~~TEM-88~~~AAK14792.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTDELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BL2a_1~~~ZP_04279826~~~beta_lactam unknown +>ARGMiner~~~BL2a_1~~~ZP_04279826~~~beta_lactam~~~unknown MKKNTLLKVGLCVGLLGTIQFVSTISSVQASQKVEKTVIKNETGTISISQLNKNVWVHTELGSFNGEAVPSNGLVLNTSKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKSGYEEPLGDLQTVTNLKFGNTKVETFYPGKGHTEDNIVVWLPQYKILAGGCLVKSAEAKNLGNVADAYVNEWSTSIENMLKRYGNINSVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~SHV-33~~~AFN82060.1~~~beta_lactam unknown +>ARGMiner~~~SHV-33~~~AFN82060.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLSAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~TEM-1~~~CAL26907~~~beta_lactam unknown +>ARGMiner~~~TEM-1~~~CAL26907~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIEKQKCLTD ->ARGMiner~~~CMY-2~~~ABU97164~~~beta_lactam unknown +>ARGMiner~~~CMY-2~~~ABU97164~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFHQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~vanRA~~~AAA65953.1~~~glycopeptide unknown +>ARGMiner~~~vanRA~~~AAA65953.1~~~glycopeptide~~~unknown MSDKILIVDDEHEIADLVELYLKNENYTVFKYYTAKEALECIDKSEIDLAILDIMLPGTSGLTICQKIRDKHTYPIIMLTGKDTEVDKITGLTIGADDYITKPFRPLELIARVKAQLRRYKKFSGVKEQNENVIVHSGLVINVNTHECYLNEKQLSLTPTEFSILRILCENKGNVVSSELLFHEIWGDEYFSKSNNTITVHIRHLREKMNDTIDNPKYIKTVWGVGYKIEK ->ARGMiner~~~cphA2~~~AAB03413.1~~~beta_lactam unknown +>ARGMiner~~~cphA2~~~AAB03413.1~~~beta_lactam~~~unknown MMKGWMKCGLAGAVVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYVQENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRQPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHEGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDQQVLYGNCILKEKLGNLSFADVKAYPRTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAAPQS ->ARGMiner~~~CMY-50~~~CBI75447.1~~~beta_lactam unknown +>ARGMiner~~~CMY-50~~~CBI75447.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDEVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVQPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWCIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~OXA-179~~~ADM26744.1~~~beta_lactam unknown +>ARGMiner~~~OXA-179~~~ADM26744.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIAVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~FosB~~~YP_302016~~~fosfomycin unknown +>ARGMiner~~~FosB~~~YP_302016~~~fosfomycin~~~unknown MIQSINHVTYSVSDISKSINFYKDILKAKILVESDKTAYFILGGLWLALNEEKDIPRNEIRYSYTHMAFTIEESEFEEWYQWLNDNNVNILEGRTRDVRDKKSIYFTDPDGHKFELHTGTLQDRLDYYKEEKPHMKFYEWDEVDKTDNNRE ->ARGMiner~~~CMY-43~~~ACJ05361.1~~~beta_lactam unknown +>ARGMiner~~~CMY-43~~~ACJ05361.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFAALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~oleB~~~AAA50325.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~oleB~~~AAA50325.1~~~macrolide-lincosamide-streptogramin~~~unknown MQNAHRSDTGAAALTGTPEKLLPTQPETGSFQVVLDDVVRAPGGRPLLDGVNQSVALGERVGIIGENGSGKSTLLRMLAGVDRPDGGQVLVRAPGGCGYLPQTPDLPPEDTVQDAIDHALAELRSLERGLREAEQALAGAEPEELEGLLGAYGDLLEAFEARDGYAADARVDAAMHGLGLAGITGDRRLGSLSGGEQARLNLACLLAASPQLMLLDEPTNHLDVGALEWLEERLRAHRGSVLVVSHDRVFLERVATALWEVDGERRTVNRHGGGYAGYLQAKAAARRRWEQAYQDWLEDLARQRELARSAADHLATGPRRNTERSNQRHQRNVEKQISARVRNAKERVRRLEENPVPRPPQPMRFRARVEGGGTVGRGGALAELYKVTVGTRLDVPSFTVDPGERILITGHNGAGKSTLLRVLAGDLAPDQGECERPERIGWLPQETEITDRQQSLLAAFAAGLPGIAEEHRGALLGFGLFRPSALGTAVGDLSTGQLRRLALARLLRDPADLLLLDEPTNHLSPALVEDLEEALAHYRGALVVVSHDRMFAQRFTGRRMHMEGGRFVE ->ARGMiner~~~SHV-38~~~AAL79576.1~~~beta_lactam unknown +>ARGMiner~~~SHV-38~~~AAL79576.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPVGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~THIN-B~~~CAC33832.1~~~beta_lactam unknown +>ARGMiner~~~THIN-B~~~CAC33832.1~~~beta_lactam~~~unknown MTLLAKLMLATVATMSAATVQAKTPAPKPDTPVDCDSCKAWNGEVTPFNVFGNTWYVGTAGLSAVLVTSPQGHVLLDGALPQSAPLIIANIAALGFRIEDVKFILNSHAHWDHAGGIAALQAASGATVVASASGALGLQSGTNGKDDPQFQAKPVVHVAKVEKVKVVGEGDAIKLGPLNLTAHMTPGHTPGATTWTWTSCEGQRCLDVVYADSLNPYSSGDFTYTGKGDGPDISASFAASIAKVAALPCDIILSVHPDSTGVLDKAAKRSGEHNPFIDANACRAYAATADAMLTKRLAKERGVALPAAAPAAQHAH ->ARGMiner~~~SHV-85~~~ABC54571.1~~~beta_lactam unknown +>ARGMiner~~~SHV-85~~~ABC54571.1~~~beta_lactam~~~unknown MRYIRLCIISLLATMPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~VEB-8~~~AGH33739.1~~~beta_lactam unknown +>ARGMiner~~~VEB-8~~~AGH33739.1~~~beta_lactam~~~unknown MKIVKRILLVLLSLFFTVEYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKMWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTAGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~SHV-110~~~AEK48094.1~~~beta_lactam unknown +>ARGMiner~~~SHV-110~~~AEK48094.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIDDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~TEM-147~~~ABB84515.1~~~beta_lactam unknown +>ARGMiner~~~TEM-147~~~ABB84515.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSVLPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-115~~~ABS71123.1~~~beta_lactam unknown +>ARGMiner~~~OXA-115~~~ABS71123.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAILVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~rif~~~ABH08225~~~rifampin unknown +>ARGMiner~~~rif~~~ABH08225~~~rifampin~~~unknown MNNTIINSLIGGDDSIKRSNVFAVDSQIPTLYMPQYISLSGVMTNDGPDNQAIASFEIRDQYITALNHLVLSLELPEVKGMGRFGYVPYVGYKCINYVSVSSCNGVIWEIEGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYSQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGIVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTVFAHISHSINIIDTSIPVSLWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~OXA-245~~~AGC60013.1~~~beta_lactam unknown +>ARGMiner~~~OXA-245~~~AGC60013.1~~~beta_lactam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQYFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~MacB~~~ZP_03045710~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~ZP_03045710~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVIERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-60~~~AAC05975.1~~~beta_lactam unknown +>ARGMiner~~~TEM-60~~~AAC05975.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDPNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMRTTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRCALPAGWLIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~NDM-9~~~AGU91756.1~~~beta_lactam unknown +>ARGMiner~~~NDM-9~~~AGU91756.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQKGMVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~cat~~~BAC11901.1~~~chloramphenicol unknown +>ARGMiner~~~cat~~~BAC11901.1~~~chloramphenicol~~~unknown MNFNKIDLDNWKRKEIFNHYLNQQTTFSITTEIDISVLYRNIKQKRYKFYPAFVFLVTRVINSNTAFRTGYNSEGELGYWDKLDPLYTIFDSVSKTFSGIWTPARNDFKEFYDLYLSDVEKYNGSGKLFPKTPIPENAFSISIIPWTSFTGFNLNINNNSNYLLPIITAGKFINKGNSIYLPLSLQVHHSVCDGYHAGLFMNSIQELADRPNDWLF ->ARGMiner~~~OCH-7~~~CAC17627.1~~~beta_lactam unknown +>ARGMiner~~~OCH-7~~~CAC17627.1~~~beta_lactam~~~unknown MRKSTTLLIGFLTTAAIIPNSGALAASKVNDGDLRRIVDETVRPLMAEQKIPGMAVAITIDGKSHFFGYGVASKESGQKVTEDTIFEIGSVSKTFTAMLGGYGLATGAFSLSDPATKWAPELAGSSFDKITMRDLGTYTPGGLPLQFPDAVTDDSSMLAYFKKWKPDYPAGTQRRYSNPSIGLFGYLAARSMDKPFDVLMEQKLLPAFGLKNTFINVPASQMKNYAYGYSKANKPIRVSGGALDAQAYGIKTTALDLARFVELNIDSSSLEPDFQKAVAATHTGYYHVGANNQGLGWEFYNYPTALKTLLAGNSSDMALKSHKIEKFDTPRQPSADVWLNKTGSTNGFGAYAAFIPAKKTGIVLLANRNYPIDERVKAAYRILQALDNKQ ->ARGMiner~~~BacA~~~ZP_03304051~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03304051~~~bacitracin~~~unknown MILDLLKVFILSIVEGVTEFLPVSSTGHLILVNEFVKLEPEKFANAFNVIIQLGAILSVVVLYFRKLNPWDLEKTKKYFPKNYEKLNGQSKIYYRLSHPHKETMDLWKRVIIGVIPAGVLGLLLDDIIDAYLFNPMVVALMLFVWGIGIILLEKKNKNENRQRVDNLSLISYKTAFFIGCFQVLAMIPGTSRSAATIIGAMILGLSRSASAEFSFFLAIPTMLGATLLKVFKNVSGFNAYEWILILIGMILSFIVAYIVIKKFISYVQKNDFIIFGKYRIILSIIVFLYFLIIK ->ARGMiner~~~ACT-27~~~AHL39340.1~~~beta_lactam unknown +>ARGMiner~~~ACT-27~~~AHL39340.1~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALAAPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVVEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILEALQ ->ARGMiner~~~MacB~~~ZP_03065389~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~ZP_03065389~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYVGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLCLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~SIM-1~~~ACT66697.1~~~beta_lactam unknown +>ARGMiner~~~SIM-1~~~ACT66697.1~~~beta_lactam~~~unknown MRTLLILCLFGTLNTAFAEEAQPDLKIEKIEEGIYLHTSFQEYKGFGIVKKQGLVVLDNHKAYLIDTPASAGDTEKLVNWLEKNDFTVNGSISTHFHDDSTAGIEWLNTKSIPTYASKLTNELLNKNGKTQAKHSFDKESFWLVKNKIEIFYPGPGHTQDNEVVWIPNKKILFGGCFIKPNGLGNLSDANLEAWPGSAKKMISKYSKAKLVIPSHSEIGDASLLKLTWEQAIKGLNESKSKPPLIN ->ARGMiner~~~mexF~~~YP_001348104~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~mexF~~~YP_001348104~~~multidrug~~~Multi-drug efflux pumps MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSATSFQLSINTQGRLVNEEEFENIIIRTGANGEITRLRDIARVELGSSQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKQSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGGWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIIAKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~emrB~~~AAC75733.1~~~multidrug unknown +>ARGMiner~~~emrB~~~AAC75733.1~~~multidrug~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~amrB~~~CAW28032~~~multidrug unknown +>ARGMiner~~~amrB~~~CAW28032~~~multidrug~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNRMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVIPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~bacA~~~U2Q4W4~~~bacitracin antibiotic alteration +>ARGMiner~~~bacA~~~U2Q4W4~~~bacitracin~~~antibiotic alteration MGIDFIVILKAIVIAIVEGITEFIPISSTGHMILVGNLIDFKGEFADMFEVVIQLGAILAVVVLYWKKIYTTVLEFFKFIFGGKKEAKRGFDFWISVLIGTIPAIVLGFAFHDIIKEKLFSTKTVAIGFIVGGILLILIENNFRKNLKYKKNVTRDIDKISFVQAIKVGIFQCLAMWPGMSRSASTIMGGWISGLSTPVSAEFSFFLAIPAMIGASGLDLIKYKGYATMTSTEWLALAVGFVVAFLVALLVIEGFIAFLKKKPMRIFAVYRIFAGIILVALIATGVIK ->ARGMiner~~~MacB~~~YP_002225954~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_002225954~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~amrB~~~YP_791221~~~multidrug unknown +>ARGMiner~~~amrB~~~YP_791221~~~multidrug~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVQTWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAQEGLSPHDTTVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPVRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVADVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALHGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGTSPRIPTEQA ->ARGMiner~~~CMY-90~~~CCK86743.1~~~beta_lactam unknown +>ARGMiner~~~CMY-90~~~CCK86743.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPGDVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKSSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYLEGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~pbp1a~~~YP_248152~~~beta_lactam unknown +>ARGMiner~~~pbp1a~~~YP_248152~~~beta_lactam~~~unknown MRIAKLILNTLLTLCILGLVAGGMLYFHLKSELPSVETLRTVELQQPMQIYTADGKLIGEVGEQRRIPVKLADVPQRLIDAFLATEDNRFYDHHGLDPIGIARALFVAVSNGGASQGASTITQQLARNFFLTPEKTIIRKAREAVLAVEIENTLNKQEILELYLNKIFLGYRSYGVAAAAQTYFGKALNELTLSEMAIIAGLPKAPSTMNPLYSLKRSEERRNVVLSRMLDEKYISKEEYDAALKEPIVASYHGAKFEFRADYVTEMVRQEMVRRFGEENAYTSGYKVFTTVLSKDQAEAQKAVRNNLIDYDMRHGYRSGAPLWQKNEAAWDNDRIVGFLRKLPDSEPFIPAAVIEIAKGGADILLASGEKMTLSTNAMRWTGRSNPVKVGEQIWIRQRANGEWQLGQIPAANSALVSLNSDNGAIEAVVGGFSYEQSKFNRATQSLVQVGSSIKPFIYAAALEKGLTLSSVLQDSPISIQKPGQKMWQPKNSPDRYDGPMRLRVGLGQSKNMIAIRAIQTAGIDFTAEFLQRFGFKRDQYFASEALALGAASFTPLEMARAYAVFDNGGFLIEPYIIEKIQDNTGKDLFIANPKIACIECNDIPVIYGETKDKINGFANIPLGESALKPTDDSTNGEELDQQPETVPELPELQSNFTALKEDAIDLMAAAKNASSKIEYAPRVISGELAFLIRSALNTAIYGEQGLDWKGTSWRIAQSIKRSDIGGKTGTTNSSKVAWYAGFGANLVTTTYVGFDDNKRVLGRGEAGAKTAMPAWITYMKMALSDKPERKLPLPPKIVEKNIDTLTGLLSPNGGRKEYFIVGTEPTRTYLSEMQERGYYVPTELQQRLNNEGNTPATQPQELF ->ARGMiner~~~MdtN~~~NP_756938~~~multidrug unknown +>ARGMiner~~~MdtN~~~NP_756938~~~multidrug~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~BacA~~~YP_001089504~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001089504~~~bacitracin~~~unknown MMSLDVIFILKSVIIAIVEGLTEFIPVSSTGHMILVGNLIDFKGQFAEMFEVVIQLGAILAVVVLYWKKIKDSVIEFFKFIFTGGKEGKIGFRFGMNVIIGCIPFAIIGVLFYDNIKSLFNLQSVIIGFIVGGILLLVVETLFRKKNHSTDNIDKITPIQALKVGTLQVLSAWPGMSRSASTIMGGWIAGLNSPTAAEFSFFLAVPAMVASSGKDLFEFDYSIMTPTLWIALVVGFIVAFIVSIIVMEKFVNFLKKKPMRVFAVYRIIMGVVLAVLAFTNIISV ->ARGMiner~~~MdtN~~~YP_002400591~~~multidrug unknown +>ARGMiner~~~MdtN~~~YP_002400591~~~multidrug~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEISGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~LEN-14~~~AAP93847.1~~~beta_lactam unknown +>ARGMiner~~~LEN-14~~~AAP93847.1~~~beta_lactam~~~unknown SLLATLPLAVYAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTIGELCAAAITLSDNCAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRW ->ARGMiner~~~CeoA~~~YP_001025937~~~multidrug unknown +>ARGMiner~~~CeoA~~~YP_001025937~~~multidrug~~~unknown MRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRAAQGNARA ->ARGMiner~~~NmcA~~~CAA79967.1~~~beta_lactam unknown +>ARGMiner~~~NmcA~~~CAA79967.1~~~beta_lactam~~~unknown MSLNVKQSRIAILFSSCLISISFFSQANTKGIDEIKNLETDFNGRIGVYALDTGSGKSFSYRANERFPLCSSFKGFLAAAVLKGSQDNRLNLNQIVNYNTRSLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDEDFRLDRWELDLNTAIPGDERDTSTPAAVAKSLKTLALGNILSEHEKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKNEKEAKHEDKVIAEASRIAIDNLK ->ARGMiner~~~SHV-1~~~AAA26087~~~beta_lactam unknown +>ARGMiner~~~SHV-1~~~AAA26087~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADAMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRNVGLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGKALYEHWQR ->ARGMiner~~~bacA~~~YP_001178170~~~bacitracin unknown +>ARGMiner~~~bacA~~~YP_001178170~~~bacitracin~~~unknown MSDMHSLLVAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGETAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPPQHEGEGKGRLTLIHILLGMVPAVVLGLIFHDAIKSLFNPINVMYALVVGGVLLIAAELLKPKEPKAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATALDLYKSYHFLTAADFPMFAVGFVTAFLVALVAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~KsgA~~~YP_001454848~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~YP_001454848~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTMNFGELSEKIGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCQVIPVLEVPPSAFTPPPKVDSAVVRLVPHTTMPYPVKDIRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTELGIDPALRAENISVAQYCQMANYLSENAPSKES ->ARGMiner~~~EreA2~~~AAC78336.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~EreA2~~~AAC78336.1~~~macrolide-lincosamide-streptogramin~~~unknown MTWRTTRTLLQPQKLEFNEFEILNPVVEGARIVGIGEGAHFVAEFSLARASLIRYFVERHDFNAIGLECGAIQASRLSEWLNSTAGAHELERFSDTLTFSLYGSVLIWVKSYLRESGRKLQLVGIDLPNTLNPRDDLAQLAEIIQVIDHLMKPHVDALTQLLTSIDGQSAVISSAKWGELETAQQEKAISGVTRLKLRLASLAPVLKNHVNSDFFRKASDRIESIEYTLETLRVMKAFFDGTSLEGDTSVRDSYMAGVVDGMVRANPDVRIILLAHNNHLQKTPVSFSGELTAVPMGQHLAEREEGDYRAIAFTHLGLTVPEMHFPSPDSPLGFSVVTTPADAIREDSVEQYVIDACGKEDSCLTLTDDPMEAKRMRSQSASVETNLSEAFDAIVCVPSAGKDSLVAL ->ARGMiner~~~acrA~~~YP_002848373~~~multidrug unknown +>ARGMiner~~~acrA~~~YP_002848373~~~multidrug~~~unknown MGFKPLINLKSDTRGLHMNKNRGLTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPEVGVVTLKTEPLQITTELPGRTSAFRIAEVRPQVSGIILKRNFTEGGDIEAGVSLYQIDPATYQAAYESAKGDLAKAQAAANIAQLTVKRYQKLLGTKYISQQDYDSAQADAQQANAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVELVTNDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGINPTALLVPQQGVTRTPRGDASALVIGADNKVETRQIVAAQAIGDKWLVTDGLKPGDRVIVTGLQKVRPGAQVKAQEITADNNQQGQSGSQPEQSKS ->ARGMiner~~~qnrb71 ~~~AGL43632.1~~~quinolone quinolone resistance protein qnr +>ARGMiner~~~qnrb71 ~~~AGL43632.1~~~quinolone ~~~quinolone resistance protein qnr MTLALVGEKIGRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~KPC-10~~~ACS35345.1~~~beta_lactam unknown +>ARGMiner~~~KPC-10~~~ACS35345.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVRWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~ErmE~~~CAB60001.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmE~~~CAB60001.1~~~macrolide-lincosamide-streptogramin~~~unknown MSSSDEQPRPRRRNQDRQHPNQNRPVLGRTERDRNRRQFGQNFLRDRKTIARIAETAELRPDLPVLEAGPGEGLLTRELADRARQVTSYEIDPRLAKSLREKLSGHPNIEVVNADFLTAEPPPEPFAFVGAIPYGITSAIVDWCLEAPTIETATMVTQLEFARKRTGDYGRWSRLTVMTWPLFEWEFVEKVDRRLFKPVPKVDSAIMRLRRRAEPLLEGAALERYESMVELCFTGVGGNIQASLLRKYPRRRVEAALDHAGVGGGAVVAYVRPEQWLRLFERLDQKNEPRGGQPQRGRRTGGRDHGDRRTGGQDRGDRRTGGRDHRDRQASGHGDRRSSGRNRDDGRTGEREQGDQGGRRGPSGGGRTGGRPGRRGGPGQR ->ARGMiner~~~OXA-83~~~ABC26007.1~~~beta_lactam unknown +>ARGMiner~~~OXA-83~~~ABC26007.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASALPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CMY-30~~~ABS12249.1~~~beta_lactam unknown +>ARGMiner~~~CMY-30~~~ABS12249.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHGSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~mdtF~~~YP_002414662~~~multidrug unknown +>ARGMiner~~~mdtF~~~YP_002414662~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTNSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~MdtH~~~NP_455659~~~multidrug unknown +>ARGMiner~~~MdtH~~~NP_455659~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTARTPVREGMRRVMSNKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASPADARARGSYMGFSRLGLAIGGAISYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~acrB~~~NP_706355~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~acrB~~~NP_706355~~~multidrug~~~Multi-drug efflux pumps MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISRFYPGADAKTVQDTVTQVIEQNMTGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWLNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSTPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~BacA~~~YP_002006347~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002006347~~~bacitracin~~~unknown MEIALALKAVILGIVEGLTEFLPISSTGHLILAGQLLDFNDEKGKIFEIVIQFGAILAVCWEFRARIGKVVRGLRDDPLSQRFAANVVIASVPAIVLAFIFGKWIKAHLFNPISVALAFIVGGVVILLAEWRDARRGTVSHPQGNALLEAAKAGAPRIESVDDLNWRDALKVGLAQCFALVPGTSRSGATIIGGMLFGLSRQVATEFSFFLAIPVIFGATVYELYKARALLNADDLGIFAVGFVFAFLSAFLCVRWLLRFVATHDFKPFAWYRIAFGIVVLLTAYTGLVSWHA ->ARGMiner~~~BacA~~~ZP_04113961~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04113961~~~bacitracin~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFEDERAKVFEVVIQLGSILAVVVVFWKRLWSLVGIGKVKEGPSLNLLHIIIGMIPAGVLGVLFHSAIKKVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAATGLDLIKSWHVLSSADVTLFATGFVTAFVVAMLAIVSFLKLLARVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~SHV-49~~~AAS98184.1~~~beta_lactam unknown +>ARGMiner~~~SHV-49~~~AAS98184.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMISTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~TEM-33~~~ADL13944.1~~~beta_lactam unknown +>ARGMiner~~~TEM-33~~~ADL13944.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~GES-23~~~AGT20529.1~~~beta_lactam unknown +>ARGMiner~~~GES-23~~~AGT20529.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGELVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~acrB~~~YP_151445~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_151445~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAIFKLPVAQYPTIALPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~CGB-1~~~ABS29619.1~~~beta_lactam unknown +>ARGMiner~~~CGB-1~~~ABS29619.1~~~beta_lactam~~~unknown MKKSIPFFIISMLLSPLANAQDTQVRDFVIEPQIQPNFYIYKTFGVFGGKEYSTNAVYLVTKKGVVLFDVPWQKTQYQSLMDTIQKRHHLPVIAVFATHSHEDRAGDLSFYNKKGIKTYATAKTNEILKKEGKATSTEIIKTGKPYRIGGEEFVVDFLGEGHTADNVVVWFPKYKILDGGCLVKSKAAADLGYTGEANVAQWPKTMEKLKSKYAQATLIIPGHDEWKGGGHVEHTLDLLNKK ->ARGMiner~~~OXA-334~~~AGW16416.1~~~beta_lactam unknown +>ARGMiner~~~OXA-334~~~AGW16416.1~~~beta_lactam~~~unknown MKTVQLCLIVLITTFGSACTTISPSVETAKNQHQQSTQQQIQQAFNQLQTTGVIVIKDKHGLHSYGNDLSRAQTPYVPASTFKMLNALIGLEHGKATRTEVFKWDGQKRSFTAWEKDMTLGQAMQASAVPVYQELARRIGVDLMQKEVQRIGYGNQQIGTVVDNFWLVGPLQITPVQEVLFVEKLANTQLAFKPDVQHTVQDMLLIEQKPNYKLYAKSGWGMDLEPQVGWWAGWVETSTGEKAYFALNMQMKTGISASVREQLVKQSLTALGII ->ARGMiner~~~KPC-14~~~AFV48348.1~~~beta_lactam unknown +>ARGMiner~~~KPC-14~~~AFV48348.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~MOX-6~~~ACS44784.1~~~beta_lactam unknown +>ARGMiner~~~MOX-6~~~ACS44784.1~~~beta_lactam~~~unknown MQQRQSILWGVLPTLMWAGLAHAGDRAATDPLRPVVDASIRPLLKEHRIPGMAVAVLKDGKAHYFNYGVADRERAVGVSEQTLFEIGSVSKTLTATLGAYAVVQGSFELDDKASLFAPWLKGSVFDNITMGELATYSAGGLPLQFPEEVDSLEKMQAYYRQWTPAYSPGSHRQYANPSIGLFGYLAASSMKQPFDRLMEQTILPGLGLYHTYLNVPEQAMGHYAYGYSKEDKPIRVTPGMLADEAYGIKTSSADLLRFVKANISGVDNAAMQQAIDLTHQGQYAVGEMTQGLGWERYAYPVSEQTLLAGNSAAMIYNANPAAPAPAARGHPVLFNKTGSTNGFGAYVAFVPAKGIGIVMLANRNSPIEGTLKAGHAILTQLAR ->ARGMiner~~~pbp2~~~YP_002215174~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~YP_002215174~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDISDFRRALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAAEHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTPAQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQSGQAP ->ARGMiner~~~IND-12~~~ADK25051.1~~~beta_lactam unknown +>ARGMiner~~~IND-12~~~ADK25051.1~~~beta_lactam~~~unknown MKKSIQLLMMSMFLSPLINAQVKDFVIEPPVKPNLYLYKSFGVFGGKEYSANAVYLTTKKGVVLFDVPWQKEQYQTLMDTIQKRHHLPVIAVFATHSHDDRAGDLSFYNQKGIKTYATAKTNELLKKDGKATSTEIIKTGKPYKIGGEEFMVDFLGEGHTVDNVVVWFPKYKVLDGGCLVKSRTATDLGYTGEANVKQWPETMRKLKTKYAQATLVIPGHDEWKGGGHVQHSLDLLDKNKKPE ->ARGMiner~~~BacA~~~ZP_03310712~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03310712~~~bacitracin~~~unknown MDNLWVAFILSIVEGLTEYLPVSSSGHLVLVGDLLNFSGEKAATFQVVIQLGAIMAVVVLYWGRFWGLLRPQQGVPFAGLRGIWMLFLTCVPACVLGLLLHSQIKSLFTPSMVLIPFVIGALLMIFVERRQFHPRYETLDDMTPGLAFGIGCFQCLALFPGFSRSAATIMGGMILGARRPLAAEYSFIAAVPIMVAATGFDLLKSLHLFTAADIPFFAVGMIGSFISALIAVKAFVRLVGHMTLVPFAVYRLLLAPFIWYFMVH ->ARGMiner~~~AAC(6')-Ib~~~AAT70791~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib~~~AAT70791~~~aminoglycoside~~~unknown MSIQHFQTKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANAAQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~CARB-6~~~AAD19217.1~~~beta_lactam unknown +>ARGMiner~~~CARB-6~~~AAD19217.1~~~beta_lactam~~~unknown MKFLLAFSLLIPSVVFASSSKFQQVEQDVKAIEVSLSARIGVSVLDTQNGEYWDYNGNQRFPLTSTFKTIACAKLLYDAEQGKVNPNSTVEIKKADLVTYSPVIEKQVGQAITLDDACFATMTTSDNTAANIILSAVGGPKGVTDFLRQIGDKETRLDRIEPDLNEGKLGDLRDTTTPKAIASTLNQLLFGSTLSEASQKKLESWMVNNQVTGNLLRSVLPVKWSIADRSGAGGFGARSITAIVWSEEKKTIIVSIYLAQTEASMAERNDAIVKIGRSIFEVYTSQSR ->ARGMiner~~~TEM-105~~~AAM61953.1~~~beta_lactam unknown +>ARGMiner~~~TEM-105~~~AAM61953.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCNAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~vanRL~~~ABX54691.1~~~glycopeptide unknown +>ARGMiner~~~vanRL~~~ABX54691.1~~~glycopeptide~~~unknown MTDRIVVVDDEQEIANLITTFLENEGFQVTTFYKGEDFLTYIARESISLAILDVMLPDIDGFRILQEIRKNFYFPVLMLTAKEENMDKIMGLTLGADDYITKPFNPIEVVARVKTQLRRVQKYNRKVENESVIEFNKDGLTLKKDSHQVFLFDKEITVTPIEFNLLLYLFEHQGVVVSSEELFEAVWKEKYLENNNTIMAHIARLREKLDEQPRKPKFIKTVWGVGYIIEK ->ARGMiner~~~SME-4~~~AHA49908.1~~~beta_lactam Class A betalactamases +>ARGMiner~~~SME-4~~~AHA49908.1~~~beta_lactam~~~Class A betalactamases MSNKVNFKTASFLFSVCLALSAFNAHANKSDAAAKQIKKLEEDFDGRIGVFAIDTGSGNTFGYRSDERFPLCSSFKGFLAAAVLERVQQKKLDINQKVKYESRDLEYHSPITTKYKGSGMTLGDMASAALQYSDNGATNIIMERFLGGPEGMTKFMRSIGDNEFRLDRWELELNTAIPGDKRDTSTPKAVANSLNKLALGNVLNAKVKAIYQNWLKGNTTGDARIRASVPADWVVGDKTGSCGAYGTANDYAVIWPKNRAPLIVSIYTTRKSKDDKHSDKTIAEASRIAIQAID ->ARGMiner~~~mecR1~~~YP_001245420.1~~~beta_lactam unknown +>ARGMiner~~~mecR1~~~YP_001245420.1~~~beta_lactam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~vanJ~~~NP_627787~~~glycopeptide unknown +>ARGMiner~~~vanJ~~~NP_627787~~~glycopeptide~~~unknown MVLRSAARPELWKRAPVLTVSALLLGLVMMLHAEIPNRFGSVGSLVETFLPWFGLFVPVLAAGALWRRSAAAVTALVLPVTVWLSLFGGLLGDKSGAGGEFTMASHNVGAENPDPAGTARDLAASGVDVLALEEITAQDREVYEKGLAREYPYHTVQGTVGLWSKLPLSGTRPVDIATDYGPLADTKPADVTMAGNRALRTTVATERGPLVVYVAHLGSVRLNPRAGFWTDSRDRNAWALGEALAADRSERIALLGDLNGTVDDRALAGITSQLHSVQEAAGNGFGFTWPAKFPVARIDQILVRGVEPTGSWTLPATGSDHLPVAAGVSW ->ARGMiner~~~smeB~~~YP_001974112~~~multidrug unknown +>ARGMiner~~~smeB~~~YP_001974112~~~multidrug~~~unknown MVRFFIDRPIFAWVIAIAVSLLGLLAILILPVDRYPQIAPPTITIRATYTGASSQTVENAVTQVIEQSQQSLDHLMYMTSTSASDGSAQVNLVFATGTNPDTAQVQVQNQLQAAMATLPQAVQQNGLTITKSSGSIFEVLSFTSEDGSMDNFDVANFMEARIDDQISRVSGVGNIQPIGQEYAMRIWLDPEKMRQYALMPSDIETALQAQNTDVSAGELGGQPALKGQQLDATVTARSRLHTPEQFAQVVLKADANGSVVRLGDVATIGLGPESYDSISTFNGKPSASLGIELNAGANAIAVSKAIDARLQQLQKYWPHGYTAHVAFTTTPFVTISLKEVVITLIEAIILVVLVMYLFLQNWRATLIPTIAVPVVLLGTFGVLAAFGYSINTLTMFALVLAIGLLVDDAIVVVENVERVMTFEGLAPKPATLKAMGQITGALVGIVLVLTAVFLPMAFFSGVTGVIYRQFSVTIAAAMILSVLVAMTITPALCGSILHQIPKGGHPHGDHGGEPSLLGKFFIWFNHRFERTSNGLRHRVDRFLGRRTLGVLFYLVLSVATGLLLWHLPGAFLPDEDQGMLNALVKLPAGSTLEQTRAVMDRLSAVAVKDDGVLSIQATAGFSVTGSGQNVGQAFIRLKDWDDRKDDADTIAARLTRAMASVPDAQVFITSPPAILGLGDAGGFTLELQDEGGAGHAAAVAARNTLLKEAAKDPKLVNVRYASLEDAPVYAVKVDDAKAQAMGVNPQDVNDTLNAALGGDFVNNFIYKGRIKKVFIQGTAEARMQPQDIERWSVRNQAGQMVPLSSLISTHWTSAPAALQRYNGISAMEITGQPAPGVSSGEAMAEIARLADTLPEGFSHAWSDMAYQEQLSGNQAPMLYAISLLFVFLCLAALYESWAVPFAVMLAVPVGVFGAVLMMNLRGLNNDVYFQVGLLTTIGLAAKNGILIVEFARILEQQGRDTRDAILQAVYLRLRPIVMTSLAFLMGVLPLVFATGAGSAARRSLGTGVAGGTVASMVLGMFFVPLFYLLVRRLFPGRAPADATVPETSP ->ARGMiner~~~KPC-15~~~AGF70638.1~~~beta_lactam unknown +>ARGMiner~~~KPC-15~~~AGF70638.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVRWSPISEKYLTTGMTVLELSAAAVQYSDNAAANLLLKELGGPAKLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGGYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~arnA~~~YP_408684~~~polymyxin unknown +>ARGMiner~~~arnA~~~YP_408684~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHATRQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~QnrB48~~~AFH88686.1~~~quinolone unknown +>ARGMiner~~~QnrB48~~~AFH88686.1~~~quinolone~~~unknown MALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGGLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~PDC-10~~~ACQ82815.1~~~beta_lactam unknown +>ARGMiner~~~PDC-10~~~ACQ82815.1~~~beta_lactam~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~NorA~~~YP_001246098~~~multidrug unknown +>ARGMiner~~~NorA~~~YP_001246098~~~multidrug~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGISGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~AmrB~~~YP_001808247~~~multidrug unknown +>ARGMiner~~~AmrB~~~YP_001808247~~~multidrug~~~unknown MARFFIDRPVFAWVIALFIMLGGAFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTALIEREMNGAPGLLYTSATSSAGAASLYLTFKQGVNADLAAVEVQNRLKTVEARLPEPVRRDGIQVEKAADNIQLVVSLTSDDGRMTAVQLGEYASANVVQALRRVDGVGKVQFWGAEYAMRIWPDPVKLAGHGLTASDIASAVRAHNARVTVGDIGRSAVPDSAPIAATVFADAPLKTPADFGAIALRTQPDGSALHLRDVARIEFGGNDYNYPSYVNGKVATGMGIKLAPGSNAVATEKRVRATMDKLSAYFPPGVKYQIPYETSSFVRVSMNKVVTTLIEAGVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGVMYAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEGLAPYDATVKAMRQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFALSLAVSIGFSAFLALSLTPALCATLLKPVSGDHHEKRGFFGWFNGFVARSTQRYATRVGAMLKKPLRWLVVYGALTAAAALMLTQLPSAFLPDEDQGNFMVMVIRPQGTPLAETMQSVREVESYIRRDEPAAYTFALGGFNLYGEGPNGGMIFVTLKNWKERKAGRDHVQAIVARINERFAGTANTTVFAMNSPALPDLGSTSGFDFRLQNRGGLDYAAFSAAREQLLAVGGKDRALTDVMFAGTQDAPQLKLDIDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVIVQADGLHRLDPADVQKLRVRNAGGEMVPLAAFATLHWTLGPPQLTRYNGYPSFTINGSAAPGHSSGEAMAAIERIAAKLPAGIGHAWSGQSFEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVLGVTLRAMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLVDAALEAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQMAIGTGVLGGMITATVLAVFLVPLFFVMVGRLFDVGPRRRGGAQPATMEGSQ ->ARGMiner~~~mexX~~~BAA34299.1~~~multidrug unknown +>ARGMiner~~~mexX~~~BAA34299.1~~~multidrug~~~unknown MDRLAARLLAALVALFLLGCEEAADAGKTAEAPAEVGVIVARPAPIGITSELPGRLEAYRQAEVRARVAGIVTRRLYEEGQDVRAGTVLFQIDPAPLKAALDISRGALPGRGQPRAAADKLKAYADLIKDRAISEREYTEAQTDARQALAQIASAKAELEQARLRLGYATVTAPIDGRARRALVTEGALVGEDSPTPLTRVEQIDPIYVNFSQPAAKSRHAAGDPRRPGEGCRRQGHRRAPGPGRRQRVPLAGELLFIDLAVDPGTDTIAMRALFRNPHRELLPGGYVQVRLQRAVNPQAITVPDALIRTAQSAVVKVVNPKGLVEDVEVRADTLQGRDWIISRGLKGGEWVIVENAAQHAAGSSVQAVVRQPASADAPSPLAASPAGQ ->ARGMiner~~~TEM-197~~~AEK48085.1~~~beta_lactam unknown +>ARGMiner~~~TEM-197~~~AEK48085.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPVAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~CTX-M-6~~~CAA06311.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-6~~~CAA06311.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYLADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKASDLVNYNPIAEKHVNGTMTLAELGAGALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNSAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKCWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDVLAAAAKIVTHGF ->ARGMiner~~~OXA-255~~~AGK07369.1~~~beta_lactam unknown +>ARGMiner~~~OXA-255~~~AGK07369.1~~~beta_lactam~~~unknown MKKFILPIFSISTLLSLSACSTIQNKFEKTSDISDQQHEKAIKSYFDEAQTQGVIIIKEGKNIRIYGNNLVRAHTEYVPASTFKMLNALIGLENHKATTTEIFKWDGKKRSYPMWEKDMTLGDAMALSAVPVYQELARRTGLDLMQKEVKRVGFGNMSIGTQVNNFWLVGPLKITPIQEANFADDLANNRLPFKLETQEEVKKMLLIKEVNGSKIYAKSGWGMDVTPQVGWLTGWVEKSNGEKVPFSLNLEMKQGMSGSIRNEITYKSLENLGII ->ARGMiner~~~OXA-1~~~ABY55282~~~beta_lactam unknown +>ARGMiner~~~OXA-1~~~ABY55282~~~beta_lactam~~~unknown MKNTIHINFAIFLIIANIIYSSASASTDISTVASPLFEGTEGCFLLYDASTNAEIAQFNKAKCATQMAPDSTFKIALSLMAFDAEIIDQKTIFKWDKTPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVKNSAIENTIENMYLSDLGYSTKLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYVFVSALTGNLGSNLTSSIKAKKNAITILNTLNL ->ARGMiner~~~macB ~~~F9Q5J6~~~macrolide Macrolide resistance efflux pumps +>ARGMiner~~~macB ~~~F9Q5J6~~~macrolide~~~Macrolide resistance efflux pumps MQIIEIKNLNRYFGEGENRAHILKDISLSIEKGDFVAIIGQSGSGKSTLMNIIGCLDTASSGSYKINGKETIELSKDQLSDLRSQKFGFIFQRYNLLSSLTAQENVALPAIYAGFSQQVRLERAKQLLEKLGLGDKCQNKPSQLSGGQQQRVSIARALMNGGEIILADEPTGALDSQSGKNVMEILRQLHSEGHTIIMVTHDREIAAQANRVIEISDGKIINDSQKKAVSSITNTAINERKRHFGFSKDQLAESFKMSISAIIAHKMRSLLTMLGIIIGITSVVSVVALGNGSQQKILENIKGIGTNTMTIFNGTGFGDRRAEQMQNLTVSDANVLSQQHYVQSVTPNSYASGTLVYGNKEFSSTTLKGVGEESFDVEGLKLKQGRLLSHQDVLDSNQVALIDESAKKSIFPNEDPIGKVVMLNKRPLIIIGVVSDKQMGGASSSLNMYAPYTTVMNRISGSKKIGSITVKIDDSVDTTAAEKGITELLKMRHGKKDFFIMNSDTIKQTIESTTGTMKLLISSIAFISLIVGGIGVMNIMLVSVTERTKEIGVRMAIGARQGNILQQFLIEAILICLIGGITGVMISGLIGLIFNLFVKDFTMAFSAFSIVAAVLFSTLIGVIFGYMPAKRAAQLDPINALARE ->ARGMiner~~~AAC(6')-Ii~~~AAB63533.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~AAB63533.1~~~aminoglycoside~~~unknown MIISEFDRNNPVLKDQLSDLLRLTWPEEYGDSSAEEVEEMMNPERIAVAAVDQDELVGFIGAIPQYGITGWELHPLVVESSRRKNQIGTRLVNYLEKEVASRGGITIYLGTDDLDHGTTLSQTDLYVHTFDKVASIQNLREHPYEFYEKLGYKIVGVLPNANGWDKPDIWMAKTIIPRPDSQ ->ARGMiner~~~pbp2~~~YP_001452662~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~YP_001452662~~~beta_lactam~~~unknown MTFKDFAAEEKLFLRRVIVAFGLVVVCFGVLIVNLYDLQIRQHQYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNITWYDISVTPYKIADMDALLRQLTPLVDLTPDDIAAFHHALKSGSRYRPVVLKNALSDVEIARFSVNQFHFNGVTINSYEDRQYPYGAELAHVLGYVSKINDSDLKALDEKGLAENYAADHNIGKQGIERYYENDLHGKTGYREVEVDNHGRIVRLLKNVPPVAGKDIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSTPSYDPNPFVKGISHQDYGRLLHDKDLPLINRVTQGLYPPASTVKPYIAMSALLNGVITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAWMMGIDRINTMLGQFGYGKPTGIDLDEEYNGLLPSREWKERVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLQSEVSGKTVMPWQAPQTETQIADAASPYWGLVRQAMFGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAVALILENGGSDGVTAAPVMRQIMDHLFAPQ ->ARGMiner~~~mdtG~~~YP_001453577~~~multidrug unknown +>ARGMiner~~~mdtG~~~YP_001453577~~~multidrug~~~unknown MSPSDVPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGLAQNIWQFLLLRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFLLTLFFTRERFQPVSKKEMLHVREVVGSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIISVLLLIPMSFVQTPWQLGVLRFLLGAADGALLPAVQTLLVYNASNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRETLAAK ->ARGMiner~~~bacA~~~YP_002217186~~~bacitracin unknown +>ARGMiner~~~bacA~~~YP_002217186~~~bacitracin~~~unknown MSDMHSLLIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQREGESKGRLTLIHILLGMIPAVVLGLVFHDTIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRASGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATVLDLYKSWSFLTAADIPMFAVGFVTAFVVALIAIKTFLQLIKRISFIPFAIYRFVVAAAVYVVFF ->ARGMiner~~~tetM~~~YP_002738300~~~tetracycline unknown +>ARGMiner~~~tetM~~~YP_002738300~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDRGTTKTDNTLLERQRGITIQTAITSFQWKNTKINIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKIGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELHPNMRVMNFTESEQWDMVIEGNDYLLEKYTSGKLLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTSFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~ceoB~~~ABC36050~~~multidrug unknown +>ARGMiner~~~ceoB~~~ABC36050~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVVILLAGMIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPNDSYDMTYLRNYALINVKDRLSRIQGVGQVQLWGAGDYAMRVWLDPQKVAQRNLTADDVVRAIREQNVQVAAGVIGASPTLPGTPLQLSVNARGRLQNEDEFGDIVVKTAPDGGVTRLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLAISDEVRKTMAELKQDFPAGVDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASIIPLIAVPVSIVGTFSLLLLFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIENGLTARAATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRGFNKVFHRGAEHYGRGVRGVLSRKAVMLGVYLVLVGATLMVSKIVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGAIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFDQRHGKALSAGAIAGALNQKYAAIKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYARLADATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVNVTDVFDTMQIYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNAAGEMVPLSSLVTVSPTFGPEMVVRYNAYTAADVNGGPAPGYSSGQAQAAVERIAAQTLPRGVKFEWTDLTYQQILAGDSAFWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGKTPFEAAVEASRLRLRPILMTSIAFIMGVVPLVLSTGAGAEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAQKDSAGYGVPAPDA ->ARGMiner~~~aadA15~~~ABD58917.1~~~aminoglycoside unknown +>ARGMiner~~~aadA15~~~ABD58917.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~OXA-114a~~~ABX38721.1~~~beta_lactam unknown +>ARGMiner~~~OXA-114a~~~ABX38721.1~~~beta_lactam~~~unknown MTVRRLSCALGAALSLSALGGGPVQAAVLCTVVADAADGRILFQQGTQQACAERYTPASTFKLAIALMGADAGILQGPHEPVWNYQPAYPDWGGDAWRQPTDPARWIKYSVVWYSQLTAKALGQDRFQRYTSAFGYGNADVSGEPGKHNGTDGAWIISSLRISPLEQLAFLRKLVNRQLPVKAAAYELAENLFEAGQADGWRLYGKTGTGSPGSNGVYTAANAYGWFVGWARKDGRQLVYARLLQDERATRPNAGLRARDELVRDWPAMAGAWRP ->ARGMiner~~~OXA-23~~~AAV65289.1~~~beta_lactam unknown +>ARGMiner~~~OXA-23~~~AAV65289.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~mexM~~~BAE06005.1~~~chloramphenicol unknown +>ARGMiner~~~mexM~~~BAE06005.1~~~chloramphenicol~~~unknown MQALRSGGGRVLVGVLAAGLVAFGGWAWLGGDAGAKAAPAPARVPVIVARVERRDVEQQVSGIGTVTSLHNVVIRTQIDGQLTRLLVSEGQMVEAGELLATIDDRAVVAALEQAQASRASNQAQLKSAEQDLQRYRSLYAERAVSRQLLDQQQATVDQLRATLKANDATINAERVRLSYTRITSPVSGKVGIRNVDVGNLVRVGDSLGLFSVTQIAPISVVFSLQQEQLLQLQALLGGEAAVRAYSRDGGSALGEGRLLTIDNQIDSSTGTIRVRASFDNRQARLWPGQFVAVSLHTGVRRDQLVLSSKAVRRGLEGNFVYRVADDRVEAVPVRVLQDIDGLSVVEGLASGDQVVVDGHSRLMPGALVDIQEPRPSLAQATERRP ->ARGMiner~~~CMY-5~~~YP_009075895.1~~~beta_lactam unknown +>ARGMiner~~~CMY-5~~~YP_009075895.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKFSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~OXA-80~~~ABV71247.1~~~beta_lactam unknown +>ARGMiner~~~OXA-80~~~ABV71247.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAILVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~BL2e_fpm~~~P80298~~~beta_lactam unknown +>ARGMiner~~~BL2e_fpm~~~P80298~~~beta_lactam~~~unknown NTNNTIEEQLSTLEKYSQGRLGVALINTEDNSQITYRGEERFAMASTSKVMAVAAILKESEKQAGLLDKNIIITKSDLVAYSPITEKHLATGMSLAQLSAATLQYSDNTAMNKILDYLGGPSKVTQFARSINDVTYRLDRKEPELNTAIHGDPRDTTSPIAMAKSLQALTLGDALGQSQRQQLVTWLKGNTTGDHSIKAGLPKHWIVGDKTGSGDYGTTNDIAVIWPKNHAPLILVVYFTQQEQDAKYRKDIIVKATEIVTKEFSNTSQKK ->ARGMiner~~~bcrA~~~AAA99504.1~~~bacitracin unknown +>ARGMiner~~~bcrA~~~AAA99504.1~~~bacitracin~~~unknown MSTIIKTTDLTKMYGSQKSVDHLNINVKQGDIYGFLGRNGAGKTTTIRMLLGLIKPTSGQIEIFGENFFKNKKEILRRIGSIVEVPGFYANLTARENLLINAKIIGIHKKNAIDEVLEIVGLQHETKKLVGKFSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRRLIHSLAKERNITIFISSHILSEIEQLVDHVGIIHEGKLLEEIPFDHLKKRNRKYLEFQLSDQNKAVVLMEQHFDIHDYEVHQDGIIRVYSHLGQQGKLNKLFVENGIDVLKITMSEDSLEDYFVKLIGGGTIG ->ARGMiner~~~mdtF~~~ZP_03042915~~~multidrug unknown +>ARGMiner~~~mdtF~~~ZP_03042915~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLCTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~AAC(6')-Ib~~~AAK51920~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib~~~AAK51920~~~aminoglycoside~~~unknown MSIQHFQTKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPAPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~OXA-132~~~ACD84990.1~~~beta_lactam unknown +>ARGMiner~~~OXA-132~~~ACD84990.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEMTYKSLEQLGIL ->ARGMiner~~~sul2~~~CAE53425~~~sulfonamide unknown +>ARGMiner~~~sul2~~~CAE53425~~~sulfonamide~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKKPQEFVNCTFGIFLYIRGSAGMSP ->ARGMiner~~~PmrA~~~EDK74221~~~quinolone unknown +>ARGMiner~~~PmrA~~~EDK74221~~~quinolone~~~unknown MTEINWKDNLRIAWFGNFLTGASISLVVPFMPIFVENLGVGSEQVAFYAGLAISVSAISAALFSPIWGILADKYGRKPMMIRAGLAMTITMGGLAFVPNIYWLIFLRLLNGVFAGFVPNATALIASQVPKEKSGSALGTLSTGVVAGTLTGPFIGGFIKEDFQPVAKEKAIPTKELFTSVKYPYLLLNLFLTSFVIQFSAQSIGPILALYVRDLGQTENLLFVSGLIVSSMGFSSMMSAGVMGKLGDKVGNHRLLVVAQFYSVIIYLLCANASSPLQLGLYRFLFGLGTGALIPGVNALLSKMTPKAGISRVFAFNQVFFYLGGVVGPMAGSAVAGQFGYHAVFYATSLCVAFSCLFNLIQFRTLLKVKEI ->ARGMiner~~~AAC(6')-Iq~~~AAC25500.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iq~~~AAC25500.1~~~aminoglycoside~~~unknown MDYSICDIAESNELILEAAKILRKSFLDAGNESWVDIKKAIEEVEDCIEHPNLCLGICLDDKLIGWTGLRPMYDKTWELHPMVIKTEYQCRGIGKVLIKELEKRAKGRGIIGIALGTDDEYQKTSLSMIDINERNIFDEIGNIKNVTNHPYEFYKKCGYMIVGIIPNANGKRKPDIWMWKDIS ->ARGMiner~~~CTX-M-1~~~CAA63262.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-1~~~CAA63262.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~Erm(31)~~~AAC69327.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~Erm(31)~~~AAC69327.1~~~macrolide-lincosamide-streptogramin~~~unknown MAFSPQGGRHELGQNFLVDRSVIDEIDGLVARTKGPILEIGPGDGALTLPLSRHGRPITAVELDGRRAQRLGARTPGHVTVVHHDFLQYPLPRNPHVVVGNVPFHLTTAIMRRLLDAQHWHTAVLLVQWEVARRRAGVGGSTLLTAGWAPWYEFDLHSRVPARAFRPMPGVDGGVLAIRRRSAPLVGQVKTYQDFVRQVFTGKGNGLKEILRRTGRISQRDLATWLRRNEISPHALPKDLKPGQWASLWELTGGTADGSFDGTAGGGAAGSHGAARVGAGHPGGRVSASRRGVPQARRGRGHAVRSSTGTEPRWGRGRAESA ->ARGMiner~~~CTX-M-93~~~ADN26580.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-93~~~ADN26580.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTQNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~PC1~~~NP_765163~~~beta_lactam unknown +>ARGMiner~~~PC1~~~NP_765163~~~beta_lactam~~~unknown MKKLILLIAIALVLSACNSTSSHAKELNNLEKKYNANIGVYALDTKSGKEVKFNADKRFAYASTSKAINSAILLEQAPYNKLNKKVHINKDDIVAYSPILEKYVGKDITLKELIEASMKYSDNTANNKIINEIGGIKKIKKRLKKLGDKVTNPVRYEIELNYYSPKSKKDTSTPAAFGKTLNKLIANGKLSKKNKNFLLDLMLNNKNGDTLIKDGVPKDYKVADKSGQAITYASRNDVAFIYPKNQSEPIILVIFTNKDNKSDKPNDKLISETAKNVINKF ->ARGMiner~~~smeE~~~YP_002029849~~~multidrug unknown +>ARGMiner~~~smeE~~~YP_002029849~~~multidrug~~~unknown MARFFIDRPIFAWVIAIIIMLAGGLALFKLPISMYPNVAPPAVEISASYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIAFVSEDGSMDANDIADYVGSNVVDRLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYGLSVPEVTAAIKAQNAQVAIGQLGGAPSVKGQQLNATINAQSRLQTPEQFRNIIVRGAQDGAELRLGDVARVELGAESYDFVTRYNGQPASGLAVTLATGANALDTAAGVDATLKELEGFFPAGLKAEIPYDTTPFVRVSIKGVVQTLLEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGVLSVLGFSVNMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHKGWSGRFFNGFNRGFDRTSESYQRGVRGILHRPWRFMGIVAALFLLMGVLFVRLPSSFLPNEDQGVLMALVQAPVGATQERTLESIAALEKHFMENEKDAVESVFSVQGFSFAGMGQNAGMAFVKLKDWKDRDADNGVMPITGRAMAALGQIKDAFIFAFPPPAIPELGTASGYTFFLKDNSGQGHEALVAARNQLLGLAAQSSKLANVRPNGQEDTPQFRIDIDVAKATSLGLSIDQINTTLATAWGSSYIDDFVDRGRVKRVFVQADQAFRMVPEDFDLWSVKNDKGEMVPFSAFASKRWDYGSPRLERYNGVSATEIQGEPAPGVASGDAMAEIEQLAKQLPQGFGVEWTAMSYQERQAGSQTPLLYTLSLMIVFLCLAALYESWSVPTSVLLVAPLGILGAVLANTFRGMERDIYFQVAMLTTVGLTSKNAILIVEFAKENLEKGASLIESIMHAVRDRLRPIVMTSLAFGMGVVPLAISTGAGSGAKQAIGTGVLGGMIVGTVLGVFFVPLFFVVVQRVFKRKSTT ->ARGMiner~~~CMY-84~~~AFK73455.1~~~beta_lactam unknown +>ARGMiner~~~CMY-84~~~AFK73455.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPALTGKQWRGISLLHLATYTAGGLPLQIPDDITDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~MdfA~~~YP_002225919~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_002225919~~~multidrug~~~unknown MQNRLQSGGRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQACLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLATLLAKNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFILFAALAAIAFFGLQRAMPETATRRGETLSFKALGRDYRLVIKNRRFVAGALALGFVSLPLLAWIAQSPIIIISGEQLSSYEYGLLQVPVFGALIAGNLVLARLTSRRTVRSLIVMGGWPIVAGLIIAAAATVVSSHAYLWMTAGLSVYAFGIGLANAGLVRLTLFSSDMSKGTVSAAMGMLQMLIFTVGIEVSKHAWLSGGNGLFSLFNLANGILWLLLMLVFLKDKRTGNSQTV ->ARGMiner~~~OXA-87~~~ABC84263.1~~~beta_lactam unknown +>ARGMiner~~~OXA-87~~~ABC84263.1~~~beta_lactam~~~unknown MNIKTLLLITSTIFISACSPYIVTANPNHSTSKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASIEYVPASTFKMLNALIGLEHHKATTTEIFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSLKAQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~SPG-1~~~AJP77080~~~beta_lactam unknown +>ARGMiner~~~SPG-1~~~AJP77080~~~beta_lactam~~~unknown MRPLILLASALAMTAPSGALAQSAEQRAKWNGPREPFRITGNLYYVGTSGLSAYLIAGPRGHVLIDGALPESAPLIAANIRKLGFKLSDVKYLLSNHSHVDHAGGLAELKRLTGAQMVANVADKPDLEAGTTIGRTDIADFPAVKVDRVIGDGDRLTLGPIALVAILTPGHTKGATSWTTRIGSKNVIFTSSISVAGQNLINNINYPNAAADFRASFAKLRALKADIFLSFHAEAFALDEKRARAQAGATDAFVDPNELSRQVDLAEKAFDATLAKQQAANADRR ->ARGMiner~~~CTX-M-75~~~ACS32294.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-75~~~ACS32294.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLSLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~TEM-12~~~AAA25053.1~~~beta_lactam unknown +>ARGMiner~~~TEM-12~~~AAA25053.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BacA~~~YP_002315561~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002315561~~~bacitracin~~~unknown MHIIELIKALILGLVEGATEFAPVSSTGHMIIVDDMWLKSSEFLGKYGANTFKVVIQLGSVLAAVVVFKDKFFELLYLRKGEVRKGPRLTLMHIFVGLLPAGVLGVLFEDYIDEHLFSTKTVLIGLVLGALLMIAADRFGKRTVAQTVDDITYKQAFIVGLVQCLSLWPGFSRSGSTISGGVLVGMSHRAAADFTFIMAVPIMAGASAISLLKNWQYITFDALPFFVVGFISAFVFALLAIRFFLRLINRVRLVPFAIYRIVLAAVIYVVYFA ->ARGMiner~~~smeE~~~CAG34265~~~multidrug unknown +>ARGMiner~~~smeE~~~CAG34265~~~multidrug~~~unknown MARFFIDRPIFAWVIAIIIMLAGGLALFKLPVSMYPNVAPPAVEISATYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIAFVSENGSMDANDIADYVGSNVVDRLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYGLSVAEVTAAIKAQNAQVAIGQLGGAPSVKGQQLNATINAQSRLQTPEQFRNIIVRGAQDGAELRLGDVARVELGAESYDFVTRYNGQPASGLAVTLATGANALDTAAGVDAALDDMKSFFPAGLKAEIPYDTTPFVRVSIKGVVQTLLEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAMLGFSVNMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHRGLAGRFFNGFNRGFDRTSESYQRGVRGIIHRPWRFMGIVAALFVLMGVLFVRLPSSFLPNEDQGVLMALVQAPVGATQERTLESIAALENHFLQNEKDAVDSVFSVQGFSFAGMGQNAGMAFVKLKDWSERDANNGVMPITGRAMAALGQIKDAFIFAFPPPAIPELGTASGYTFFLKDNSGQGHEALVAARNQLLGLAAGSKKLANVRPNGQEDTPQFRIDIDAAKATSLGLSIDQINGTLAAAWGSSYIDDFVDRGRVKRVFVQADQPFRMVPEDFDLWSVKNDKGEMVPFSAFATKHWDYGSPRLERYNGVSAMEIQGEPAPGVASGDAMAEIEQLAKQLPAGFGIEWTAMSYQERQAGSQTPLLYTLSLMIVFLCLAAMYESWSVPTAVLLAAPLGILGAVLANTFKGLERDIYFQVAMLTTVGLTSKNAILIVEFAKENLEKGAGLIEAIMHAVRDRLRPIVMTSLAFGMGVVPLAISTGAGSGAKQAIGTGVLGGMIVGTVLGVFFVPLFFVVVQRVFKRKTAE ->ARGMiner~~~MdtN~~~ZP_03030547~~~multidrug unknown +>ARGMiner~~~MdtN~~~ZP_03030547~~~multidrug~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPFEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~norM~~~YP_001445425~~~multidrug unknown +>ARGMiner~~~norM~~~YP_001445425~~~multidrug~~~unknown MHRYKEEASSLIKLATPVLIASVAQTGMGFVDTVMAGGVSATDMAAVSVAASIWLPSILFGVGLLMALVPVVAQLNGSGRRVKIPFEIQQGIVLALLISIPIIGVLFQTQFILGLMDVETAMTEKTIGYIHAVIFAVPAFLLFQTLRSFTDGMSLTKPAMFIGFIGLMLNIPLNWIFVYGKFGAPALGGVGCGVATAIVYWVMFGLLLLYVTTSARLKSINLFGEFHKPQLKAQIRLFKLGFPVAAALFFEVTLFAVVALLVSPLGSIIVAAHQVAINFSSLVFMLPMSVGAAVSIRVGHRLGEENVDGARVASRVGVMVGLALAMMTAILTVLFREQIALLYTNNPEVVELAMVLLLFAAIYQCTDAVQVIAAGALRGYKDMRAIFNRTFIAYWLLGLPIGYVLGRTDWIVEPMGAQGFWLGFIIGLSSAAFLLGIRLRWMHRQEPEVQLNFSQQ ->ARGMiner~~~KsgA~~~YP_001878864~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~YP_001878864~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTFNFGELAEKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPHPVKDVRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTGMGLDPAMRAENISVAQYCQMANYLAENAPLQES ->ARGMiner~~~OXA-228~~~AFM55001.1~~~beta_lactam unknown +>ARGMiner~~~OXA-228~~~AFM55001.1~~~beta_lactam~~~unknown MKFKMKGLFCVILSSLAFSGCVYDSKLQRPVISERVTEIPLLFNQAQTQAVFVTYDGIHLKSYGNDLSRAKTEYIPASTFKMLNALIGLQNAKATNTEVFHWNGEKRAFSAWEKDMTLAEAMQASAVPVYQELARRIGLELMREEVKRVGFGNAEIGQQVDNFWLVGPLKISPEQEVQFAYQLAMKQLPFDSNVQQQVKDMLYIERRGDSKLYAKSGWGMDVEPQVGWYTGWVEQPNGKVTAFALNMNMQAGNDPAERKQLTLSILDKLGLFFYLR ->ARGMiner~~~lmrB~~~KIX81495.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~lmrB~~~KIX81495.1~~~macrolide-lincosamide-streptogramin~~~unknown METTAKASQQYKVMPIMISLLLAGFIGMFSETALNIALTDLMKELNITAATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFTVSLIFSILGTFIAALAPSFSFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALVFGIAYMQNVSETTKPKIDVLSIILSTIGFGGIVFGFSNAGEGSGGWSSPTVIVSLIVGVVGLILFSIRQLTMKQPMMNLRAFKYPMFILGVIMVFICMMVILSSMLLLPMYLQGGLVLTAFASGLVLLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVIVTVVLWFFSNVTTTSTAVLIIILHTCLMIGISMIMMPAQTNGLNQLPREFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQHDYMSTVKNPADPAVIPQALTAGVQHAFVFAMIVAIIGLIGAFFMKRVKVDH ->ARGMiner~~~CTX-M-66~~~ABQ45409.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-66~~~ABQ45409.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGNVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~MdtO~~~YP_002415222~~~multidrug unknown +>ARGMiner~~~MdtO~~~YP_002415222~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATMLEIASLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRTITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLATEINKLQHAIAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPATKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGADWEGIHTCMLTCVIVANPNVGSSYQKMALRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~OXA-95~~~ABF47918.1~~~beta_lactam unknown +>ARGMiner~~~OXA-95~~~ABF47918.1~~~beta_lactam~~~unknown MNIKALFLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-332~~~AGW16414.1~~~beta_lactam unknown +>ARGMiner~~~OXA-332~~~AGW16414.1~~~beta_lactam~~~unknown MYKKALIVATSILFLSACSSNTVKQHQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTAYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDNFWLVGPLKITPQQETQFAYQLAHKTLPFSKDVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~AAC(3)-IIa~~~JE0138~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIa~~~JE0138~~~aminoglycoside~~~unknown MHTRKAITEALQKLGVQTGDLLMVHASLKAIGPVEGGAETVVAALRSAVGPTGTVMGYASWDRSPYEETLNGARLDDEARRTWLPFDPATAGTYRGFGLLNQFLVQAPGARRSAHPDASMVAVGPLAETLTEPHELGHALGEGSPVERFVRLGGKALLLGRPLNSVTALHYAEAVADIPNKRWVTYEMPMLGRDGEVAWKTASDYDSNGILDCFAIEGKPDRVETIANAYVKLGRHREGVVGFAQCYLFDAQDIVTFGVTYLEKHFVDHSEASARRPSSRSCEPSG ->ARGMiner~~~IND-4~~~AAG29765.2~~~beta_lactam unknown +>ARGMiner~~~IND-4~~~AAG29765.2~~~beta_lactam~~~unknown MRKNVRIFTVLSLFLINFFNAQARDFVIEQPFGKQLYLYKTFGVFDGKEYSTNALYLVTKKGVVLFDVPWQKTQYQSLMDTIKKRHNLPVIAVFATHSHSDRAGDLSFYNKKGIPTYATAKTNELLKKEGKATSSKLTKIGKKYKIGGEEFTVDFLGEGHTADNVVVWFPKYNVLDGGCLVKSSAAVDLGYTGEANVEQWPATMKKLQAKYPSTAKVIPGHDEWKGNDHVKHTLELLDQQKQ ->ARGMiner~~~VIM-26~~~CBY80143.1~~~beta_lactam unknown +>ARGMiner~~~VIM-26~~~CBY80143.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVLELSSTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~acrA~~~YP_002383660~~~multidrug unknown +>ARGMiner~~~acrA~~~YP_002383660~~~multidrug~~~unknown MNKNRGFTPLAAVLLLSGSLALTGCDDKQAQQGGQQMPEVGVVTLKTEPLQITTELPGRTSAFRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQAAYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPINGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRIPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGTQPEQSKS ->ARGMiner~~~CTX-M-23~~~AAL99990.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-23~~~AAL99990.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTETTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~SHV-152~~~AFQ23958.1~~~beta_lactam unknown +>ARGMiner~~~SHV-152~~~AFQ23958.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTARRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~MdtH~~~ZP_03064700~~~multidrug unknown +>ARGMiner~~~MdtH~~~ZP_03064700~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMVPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~OKP-B-19~~~CAP12359.2~~~beta_lactam unknown +>ARGMiner~~~OKP-B-19~~~CAP12359.2~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISEGQLAGRVGYVEMDLASGRMLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNTAGNLLLKIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTPATMVERNQQIAGIGAALIEHWQR ->ARGMiner~~~mdtG~~~CAL11685~~~multidrug unknown +>ARGMiner~~~mdtG~~~CAL11685~~~multidrug~~~unknown MTSAPQSVNWKRNLFVTWLGCFLTGAAFSLIMPFLPLYVEELGVSGHQSLNMWSGLVFSITFLFSAIAAPFWGSLADRKGRKIMLLRSALGMGIVMVLMGMAQNIWQFLALRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALIGPLIGGLLADNYGLRPVFFITAAVLFACFVMTWFYVREQFAPVLKKDMLNGRQVFNSLKNPKLILSLFVTTMIIQIATGSIAPILTLYVRELAGDIHNLAFVSGMIASVPGVAALISAPRLGKLGDKIGPERILIAMLALSVLILIPMAFVQTPLQLGILRFLLGATDGALLPAVQTLLIYNCTNQVAGRIFSYNQSFRDVGNVSGPLLGAAVSASYGFRAVFCVTAVVVLFNALYSYWCLQRQPLKAQQRAIQQRQDS ->ARGMiner~~~BcII~~~ZP_04109362~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04109362~~~beta_lactam~~~unknown MKERVEKMKNTLLKLGVCVSLLGITPFVSTISSVQAERTVEHKVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLILNTSKGLVLVDSSWDDKLTKELIEMAEKKFKKSVTDVIITHAHADRIGGIKTLKERGIKTHSTTLTAELAKKNGYEEPLGDLQAITKLKFGNMKVETFYPGKGHTEDNIVVWLPQYNMLVGGCLVKSASAKDLGNITDAYVNEWSTSIENVLKRYENINFVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~MdtM~~~ACB17801~~~multidrug unknown +>ARGMiner~~~MdtM~~~ACB17801~~~multidrug~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLINGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLAGLALLIVGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVLAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~acrB~~~ZP_03065057~~~multidrug unknown +>ARGMiner~~~acrB~~~ZP_03065057~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQASLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELIEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~VEB-5~~~ABN80430.1~~~beta_lactam unknown +>ARGMiner~~~VEB-5~~~ABN80430.1~~~beta_lactam~~~unknown MKIVKRILLVLLSLFFTVEYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKMWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~ykkC~~~CAB13166.1~~~multidrug unknown +>ARGMiner~~~ykkC~~~CAB13166.1~~~multidrug~~~unknown MKWGLVVLAAVFEVVWVIGLKHADSALTWSGTAIGIIFSFYLLMKATHSLPVGTVYAVFTGLGTAGTVLSEIVLFHEPVGWPKLLLIGVLLIGVIGLKLVTQDETEEKGGEA ->ARGMiner~~~fusH~~~CAA90432.1~~~fusidic_acid unknown +>ARGMiner~~~fusH~~~CAA90432.1~~~fusidic_acid~~~unknown MLNKGIRTRRARGALAGGTVLTAAAALLTAVPAAQAISGEPPAATDHAFTARLHIGEGDTLRGCSAALVHQQWLLTATSCFAATPGGEVKSGKPALKSTATLGGKTLGIVEVVPRDDRDVAMVRLAEPVTTVEPVRLAADAPVAAETLLGAGFGRTRTEWAPDQLHTGEFRVDSVTGTTVELTGQDGVSVCKGDTGGPALRGTGGEVELAAVHSRSWQGGCFGETETRTGAVDARADGLADWVTDVRNRDRTQSADVDGDGRADLVVLRSNGDVVVHRNLGDSFAAGRVMSGGWGLFVTWKDLGRLYFADVDGDRKADMIVHTSDGNIEVRFNHGTYWDQGTHWSGGWGRFIDGSDLGRLYFADVDGDGRADMIVHTGDGNVEVRFNHGTYWDQGTHWSGGWGRFVTWKDLGRLYFADVDGDGRADMIVHTGDGNVEVRFNHGTYWDQGTHWSGGWGRFVDGSDLGSLEFGDATGDGKADLLVRTKDGKVALRTNHGTYWDQGKFMITL ->ARGMiner~~~ErmH~~~AAC32026.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmH~~~AAC32026.1~~~macrolide-lincosamide-streptogramin~~~unknown MAALLKRILRRRMAEKRSGRGRMAAARTTGAQSRKTAQRSGRSEADRRRRVHGQNFLVDRETVQRFVRFADPDPGEVVLEVGAGNGAITRELARLCRRVVAYEIDRHFADRLREATAEDPRIEVVAGDFLKTSQPKVPFSVVGNIPFGNTADIVDWCLNARRLRTTTLVTQLEYARKRTGGYRRWSRLTVATWPEVEWRMGERISRRWFRPVPAVDSAVLRLERRPVPLIPPGLMHDFRDLVETGFTGKGGSLDASLRRRFPARRVAAGFRRARLEQGVVVAYVTPGQWITLFEELHGR ->ARGMiner~~~TEM-10~~~AAC72362.1~~~beta_lactam unknown +>ARGMiner~~~TEM-10~~~AAC72362.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-194~~~AFC75524.1~~~beta_lactam unknown +>ARGMiner~~~TEM-194~~~AFC75524.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSRNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANILLTTIGGPKELTAFLHNMRDHVTRLDRWEPELNEAIPHDERDTTMPAPVATTLRTLLTVELLTLASRPRLIDWMEADKVAGPILRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~acrF~~~AAC76298.1~~~multidrug unknown +>ARGMiner~~~acrF~~~AAC76298.1~~~multidrug~~~unknown MANFFIRRPIFAWVLAIILMMAGALAILQLPVAQYPTIAPPAVSVSANYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSYLMVAGFVSDNPGTTQDDISDYVASNVKDTLSRLNGVGDVQLFGAQYAMRIWLDADLLNKYKLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRFKNPEEFGKVTLRVNSDGSVVRLKDVARVELGGENYNVIARINGKPAAGLGIKLATGANALDTAKAIKAKLAELQPFFPQGMKVLYPYDTTPFVQLSIHEVVKTLFEAIMLVFLVMYLFLQNMRATLIPTIAVPVVLLGTFAILAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDKLPPKEATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHENKGGFFGWFNTTFDHSVNHYTNSVGKILGSTGRYLLIYALIVAGMVVLFLRLPSSFLPEEDQGVFLTMIQLPAGATQERTQKVLDQVTDYYLKNEKANVESVFTVNGFSFSGQAQNAGMAFVSLKPWEERNGDENSAEAVIHRAKMELGKIRDGFVIPFNMPAIVELGTATGFDFELIDQAGLGHDALTQARNQLLGMAAQHPASLVSVRPNGLEDTAQFKLEVDQEKAQALGVSLSDINQTISTALGGTYVNDFIDRGRVKKLYVQADAKFRMLPEDVDKLYVRSANGEMVPFSAFTTSHWVYGSPRLERYNGLPSMEIQGEAAPGTSSGDAMALMENLASKLPAGIGYDWTGMSYQERLSGNQAPALVAISFVVVFLCLAALYESWSIPVSVMLVVPLGIVGVLLAATLFNQKNDVYFMVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGVVEATLMAVRMRLRPILMTSLAFILGVLPLAISNGAGSGAQNAVGIGVMGGMVSATLLAIFFVPVFFVVIRRCFKG ->ARGMiner~~~CTX-M-3~~~CAA71321.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-3~~~CAA71321.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mexf~~~NP_745564~~~multidrug multi-drug efflux pumps +>ARGMiner~~~mexf~~~NP_745564~~~multidrug~~~multi-drug efflux pumps MNFSKFFITRPIFAAVLSLVLLIAGSISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTASDVVAAIREQNRQVAAGQLGAPPAPGSTSFQLSINTQGRLVNEEEFENIIIRAGADGEITRLKDIARVELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIEISDEVRAKMAELKKDFPEGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLLAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPLEATQKAMSEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKDHHAPKDRFSRFLDKLLGSWLFSPFNRFFDRASHSYVGGVRRVIRSSGIALFVYAGLMGLTYLGFSSTPTGFVPAQDKQYLVAFAQLPDAASLDRTEAVIKRMSEIALKQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAAAIAAALNAQFADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNLGYEALYKETQNIIAKSHNVPELAGLFTSYQVNVPQVDAAIDREKAKTHGVAITDIFDTLQVYLGSLYTNDFNRFGRTYQVNVQAEQQFRLDAEQIGQLKVRNNLGEMIPLATFLKVSDTSGPDRVMHYNGFITAEINGAAAPGYSSGQAEAAIEKLLKEELPNGMTFEWTDLTYQQILSGNTALLVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIVSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDEQAKGLDPLAAVLEACRLRLRPILMTSIAFIMGVVPLVFSSGAGSEMRHAMGVAVFSGMIGVTVFGLFLTPVFFFLIRRFVERRQARKAEHAQVLENHA ->ARGMiner~~~BcII~~~ZP_04252176~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04252176~~~beta_lactam~~~unknown MKERVEKMKNTLLKLGVCVSLLGITPFVSTISSVQAERTVEHKVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLILNTSKGLVLVDSSWDDKLTKELIEMAEKKFKKSVMDVIITHAHADRIGGIKTLKERGIKTHSTTLTAELAKKNGYEEPLGDLQAITKLKFGNMKVETFYPGKGHTEDNIVVWLPQYNMLVGGCLVKSASAKDLGNITDAYVNEWSTSIENVLKRYENINFVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~CMY-119~~~AIT76098.1~~~beta_lactam unknown +>ARGMiner~~~CMY-119~~~AIT76098.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFASAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWIQVNMDASRVQEKMLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAAEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~arnA~~~A1ADA7~~~polymyxin unknown +>ARGMiner~~~arnA~~~A1ADA7~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKSASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~EreA~~~AAZ91706.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~EreA~~~AAZ91706.1~~~macrolide-lincosamide-streptogramin~~~unknown MTWRTTRTLLQPQNLDFNEFEILTSVIEGARIVGIGEGAHFVAEFSLARASLIRYLVERHDFNAIGLECGAIQASRLSEWLNSTAGAHELERFSDTLTFSVYGSVLIWLKSYLRESGRKLQLVGIDLPNTLNPRDDLAQLAEIIQLIDHLMKPHVDMLTHLLASIDGQSAVISSAKWGELETARQEKAISGVTRLKLRLASLAPVLKKHVNSDLFRKASDRIESIEYTLETLRMMKTFFDGTSLEGDTSVRDSYMAGVVDGMVRANPDVKIILLAHNNHLQKTPVSFSGELTAVPMGQHLAERVNYRAIAFTHLGPTVPEMHFPSPDSPLGFSVVTTPADAIREDSMEQYVIDACGTENSCLTLTDAPMEAKRMRSQSASVKTKLSEAFDAIVCVPSAGKDSLVAL ->ARGMiner~~~OXA-113~~~ABW70410.1~~~beta_lactam unknown +>ARGMiner~~~OXA-113~~~ABW70410.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKTTTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CMY-57~~~ADP37961.1~~~beta_lactam unknown +>ARGMiner~~~CMY-57~~~ADP37961.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQFDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~BacA~~~YP_002890644~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002890644~~~bacitracin~~~unknown MDLSLLLVALVLGIVEGLTEFLPVSSTGHLIILGDLLGYNDDTSKVFKIVIQLAAILAVCWDYRERLIKVASGITTEPSAQRFVGLLFIGFLPAAVLGLMFHSTIKGLLFNPITVATALVVGGLIILYVEKRAYHPRLNSVDELRWTDALKVGFAQALAMIPGTSRSGATIMGGVIFGLSRKTAAEFSFFLAIPTMFAATAYDLYKNWALLRVEDLPVFAVGFIASFVAAMWAVKSFIKFISNHTFVAFAWYRIVFGIFVLATWYFGWVEWSEP ->ARGMiner~~~oprM~~~YP_002083353~~~multidrug unknown +>ARGMiner~~~oprM~~~YP_002083353~~~multidrug~~~unknown MKRSFLSLAVAAVVLSGCSLIPDYQRPEAPVAAAYPQGQAYGQNTGAAAVPAADIGWREFFRDPQLQQLIGLALENNRDLRVAALNVEAFRAQYRIQRADLFPRIGVDGSGTRQRLPGDLSTTGSPAISSQYGVTLGTTAWELDLFGRLRSLRDQALEQYLATEQAQRSAQTTLVASVATAYLTLKADQAQLQLTKDTLGTYQKSFDLTQRSYDVGVASALDLRQAQTAVEGARATLAQYTRLVAQDQNALVLLLGSGIPANLPQGLGLDQTLLTEVPAGLPSDLLQRRPDILEAEHQLMAANASIGAARAAFFPSISLTANAGTMSRQLSGLFDAGSGSWLFQPSINLPIFTAGSLRASLDYAKIQKDINVAQYEKAIQTAFQEVADGLAARGTFTEQLQAQRDLVKASNEYYQLADKRYRTGVDNYLTLLDAQRSLFTAQQQLITDRLNQLTSEVNLYKALGGGWNQQTVTQQQTAKKEDPQA ->ARGMiner~~~MdtO~~~ZP_03030545~~~multidrug unknown +>ARGMiner~~~MdtO~~~ZP_03030545~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQIVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRAMGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAAQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~AmrA~~~YP_002022792~~~multidrug unknown +>ARGMiner~~~AmrA~~~YP_002022792~~~multidrug~~~unknown MKYEWARTRRLSAALAVAAFVAAGCGKHESEHDAAAPREASVVTVKKTSVPLSVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKARAAHLAALDKRRRYDELVRDRAVSERDHTEALAHERQAKAAVASARAELARAQLQLDYATVTAPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVESLRRAVKSGRAAGIAQQDVEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAVARDAILVPRDALLRTADSATVKVVGQNGKIRDVTVEAAQMKGRDWIVTRGLAGGERVVVVDAAQFEAGTTVKALERGAAAQPASGAAAASAPGRRST ->ARGMiner~~~MacB~~~NP_286651~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~NP_286651~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSCEQVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFMTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tet38~~~YP_415591~~~tetracycline unknown +>ARGMiner~~~tet38~~~YP_415591~~~tetracycline~~~unknown MIMNVEYSKIKKAVPILLFLFVFSLVIDNSFKLISVAIADDLNISVTTVSWQATLAGLVIGIGAVVYASLSDAISIRTLFIYGVILIIIGSIIGYIFQHQFALLLVGRIIQTAGLAAAETLYVIYVAKYLSKEDQKTYLGLSTSSYSLSLVIGTLSGGFISTYLHWTNMFLIALIVVFTLPFLFKLLPKENNTNKAHLDFVGLILVATIATTVMLFITNFNWLYMIGALIAIIVFALYIKNAQRPLVNKSFFQNKRYASFLFIVFVMYAIQLGYIFTFPFIMEQIYHLQLDTTSLLLVPGYIVAVIVGALSGKIGEYLNSKQAIITAIILIALSLILPAFAVGNHISIFVISMIFFAGSFALMYAPLLNEAIKTIDLNMTGVAIGFYNLIINVAVSVGIAIAAALIDFKALNFPGNDALSSHFGIILIILGLMSIVGLVLFVILNRWTQSEK ->ARGMiner~~~acrA~~~YP_406903~~~multidrug unknown +>ARGMiner~~~acrA~~~YP_406903~~~multidrug~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDNKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTAPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~NDM-3~~~AFK80349.1~~~beta_lactam unknown +>ARGMiner~~~NDM-3~~~AFK80349.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTNDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGMVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~BacA~~~ZP_04632979~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04632979~~~bacitracin~~~unknown MTDMYSLFVAFVLGVVEGLTEFLPVSSTGHMIIVGELLGFTGDKAKTFEVIIQLGSILAVVVVFWRRLFGLIGIHFGKVPHEGKTSGHLTLGHILLAMIPAVGLGLVFHDVIKSLFNPHSVMYALVAGGLLLLAAEWFKPKNPKAVGLDDITYRQAFAIGCFQCLALWPGFSRSGATISGGMLVGVNRYAASEFSFILAVPMMLGASALDLYKSLHFLTLGDLPMFAVGFITAFIVALIAIKTFLSLIKRISFVPFAIYRFVVAAAVYWVFM ->ARGMiner~~~VIM-42~~~AJP08641.1~~~beta_lactam unknown +>ARGMiner~~~VIM-42~~~AJP08641.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSSTSAGNVADADLAEWPTSIERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~dfrD~~~AAA85213.1~~~trimethoprim unknown +>ARGMiner~~~dfrD~~~AAA85213.1~~~trimethoprim~~~unknown MKISLIVAMDKKRVIGKDNDIPWRISSDWEYVKNTTKGHAIILGRKNLQSIGRALPDRRNIILTRDKNFNFKDCEIAHSIEAAFKLCENEEEVFIFGGEQIYVMFLPYVEKMYVTKIHHEFEGDTFFPVVNFDDWKEVSVEKGIKDEKNPYDYYFHIYERIR ->ARGMiner~~~vanU~~~ABA71726.1~~~glycopeptide unknown +>ARGMiner~~~vanU~~~ABA71726.1~~~glycopeptide~~~unknown MRVSYNKLWKLLIDRDMKKGELREAVGVSKSTFAKLGKNENVSLTVLLAICEYLNCDFGDIIEALPETPDKERDS ->ARGMiner~~~OKP-A-2~~~CAG25815.2~~~beta_lactam unknown +>ARGMiner~~~OKP-A-2~~~CAG25815.2~~~beta_lactam~~~unknown MRYVRLCLFSLIAALPLAVFASPQPLEQVTRSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHTLSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATMAERNQQIARIGAALIEHWQR ->ARGMiner~~~MacB~~~ZP_03029420~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~ZP_03029420~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVIMVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~ZP_02902679~~~multidrug unknown +>ARGMiner~~~mdtG~~~ZP_02902679~~~multidrug~~~unknown MLIFYSPGHRAPAHQQRIAMSPSDNDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADNYGLRPVFFITASVLILCFFVTLFCIKEKFKPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGSVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTASVVLFNAIYSWNSLRRRRLPQVSN ->ARGMiner~~~Sed1~~~AAK63223.1~~~beta_lactam unknown +>ARGMiner~~~Sed1~~~AAK63223.1~~~beta_lactam~~~unknown MLKERFRQTVFIAAAVMPFIFSSTSLHAQATSDVQQVQKKLAALEKQSGGRLGVALINTADNSQVLYRADERFAMCSTSKVMTAAAVLKQSETHDGILQQKMTIKKADLTNWNPVTEKYVGNTMTLAELSAATLQYSDNTAMNKLLAHLGGPGNVTAFARSIGDTTFRLDRKEPELNTAIPGDERDTTSPLAMAKSLRKLTLGDALAGPQRAQLVDWLKGNTTGGQSIRAGLPAHWVVGDKTGAGDYGTTNDIAVIWPEDRAPLVLVTYFTQPQQDAKWRKDVLAAAAKIVTEGK ->ARGMiner~~~mdtG~~~YP_002239313~~~multidrug unknown +>ARGMiner~~~mdtG~~~YP_002239313~~~multidrug~~~unknown MSSADTPINWKQNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMSVVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIAKKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGRLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAAEASQPDRHSVN ->ARGMiner~~~TEM-16~~~CAA46346.1~~~beta_lactam unknown +>ARGMiner~~~TEM-16~~~CAA46346.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-381~~~AHL30285.1~~~beta_lactam unknown +>ARGMiner~~~OXA-381~~~AHL30285.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGFEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKVSAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~QnrB43~~~AFA52643.1~~~quinolone unknown +>ARGMiner~~~QnrB43~~~AFA52643.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLAGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~IND-2~~~AAG29757.1~~~beta_lactam unknown +>ARGMiner~~~IND-2~~~AAG29757.1~~~beta_lactam~~~unknown MKKSIQLLMMSMFLSPLINAQVKDFVIEPPVKPNLYLYKSFGVFGGKEYSANAVYLTTKKGVVLFDVPWQKEQYQTLMDTIQKRHHLPVIAVFATHSHDDRAGDLSFYNQKGIKTYATAKTNELLKKDGKATSTEIIKTGKPYKIGGEEFMVDFLGEGHTVDNVVVWFPKYKVLDGGCLVKSRTATDLGYTGEANVKQWPETMRKLKTKYAQATLVIPGHDEWKGGGHVQHTLDLLDKNKKPE ->ARGMiner~~~FosA4~~~BAP18892.1~~~fosfomycin unknown +>ARGMiner~~~FosA4~~~BAP18892.1~~~fosfomycin~~~unknown MLQGLNHLTLAVSDLASSLAFYQRLPGMRLHARWDSGAYLSCGALWLCLSLDAQRRKTPAQESDYTHYAFSVAEEHFAEVVAQLAHAGAEVWKDNRSEGASYYFLDPDGHKLELHVGHLAQRLAACRERPYKGMVFFD ->ARGMiner~~~CMY-8~~~AAD50818.2~~~beta_lactam unknown +>ARGMiner~~~CMY-8~~~AAD50818.2~~~beta_lactam~~~unknown MQQRQSILWGAVATLMWAGLAHAGEASPVDPLRPVVDASIQPLLKEHRIPGMAVAVLKDGKAHYFNYGVANRESGASVSEQTLFEIGSVSKTLTATLGAYAVVKGAMQLDDKASRHAPWLKGSVFDSITMGELATYSAGGLPLQFPEEVDSSEKMRAYYRQWAPVYSPGSHRQYSNPSIGLFGHLAASSLKQPFAQLMEQTLLPGLGMHHTYVNVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANIGGVDDKALQQAISLTHKGHYSVGGMTQGLGWESYAYPVTEQTLLAGNSAKVILEANPTAAPRESGSQVLFNKTGSTNGFGAYVAFVPARGIGIVMLANRNYPIPARVKAAHAILAQLAG ->ARGMiner~~~vanXYC~~~AAF61331.1~~~glycopeptide unknown +>ARGMiner~~~vanXYC~~~AAF61331.1~~~glycopeptide~~~unknown MNTLQLINKNHPLKKNQEPPHLVLAPFSDHDVYLQPEVAKQWERLVRATGLEKDIRLVDGYRTEKEQRRLWEYSLKENGLAYTKQFVALPGCSEHQIGLAIDVGLKKQEDDDLICPHFRDSAAADLFMQQMMNYGFILRYPEDKQEITGISYEPWHFRYVGLPHSQVITAQKWTLEEYHDYLAQTVRQFA ->ARGMiner~~~TolC~~~CAC35726~~~multidrug unknown +>ARGMiner~~~TolC~~~CAC35726~~~multidrug~~~unknown MQMKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKNQNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTSKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASTGVSNNRYSGSKNISQDADVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPISPDSVAPENPQQDAAADGYANTASAQPAAARTTKTSGSNPFSH ->ARGMiner~~~DHA-20~~~AIT76101.1~~~beta_lactam unknown +>ARGMiner~~~DHA-20~~~AIT76101.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADVQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMTLNDPAEKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~aadA13~~~YP_009081591.1~~~aminoglycoside unknown +>ARGMiner~~~aadA13~~~YP_009081591.1~~~aminoglycoside~~~unknown MRDSVTAEISTQLSKVLSVIEHHLEPTLLAVHLYGSAVDGGLKPYSDIDLLVTVTARLDDTTRRALFNDLLEVSAFPGESEILRAIEVTIVVHEDIMPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLIKALNETLKLWNSQPDWAGDERNVVLTLSRIWYSAATGKIAPKDVAANWAMEHLPAQHQSVLLEARQAYLGQEEDRSVLRADKLEEFIHFMKSEITKVLGNDV ->ARGMiner~~~SHV-186~~~AIS67769.1~~~beta_lactam unknown +>ARGMiner~~~SHV-186~~~AIS67769.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMTATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERCARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BacA~~~ZP_03833009~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03833009~~~bacitracin~~~unknown MTDLHSLLIAFILGVVEGLTEFLPVSSTGHMIIVGHWLGFADEKAKTFEVIIQLGSILAVVVMFWRRLFGLIGIHFGEVPHEGKTSGRLKLTHILLAMIPAVVLGLIFHDFIKSLFYPQNVMYALVIGGFLLLAAEWFKPKQPRAVGLDDITHRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFILAVPMMMGATVLDLYKSWHFLSLADVPMFAVGFVTAFVVALIAIKTFLKIIKRISFVPFAIYRFIVAGVVYMVFM ->ARGMiner~~~OXA-351~~~AGW83449.1~~~beta_lactam unknown +>ARGMiner~~~OXA-351~~~AGW83449.1~~~beta_lactam~~~unknown MYKKALIVAISILFLSACSSNMVKQHQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTDYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPDWEKDMTLSDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDDFWLVGPLKITPQQETQFAYQLAHKTLPFSKNVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~NDM-12~~~BAO79439.1~~~beta_lactam unknown +>ARGMiner~~~NDM-12~~~BAO79439.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGLVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLDDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~MdtL~~~AAA62061~~~multidrug unknown +>ARGMiner~~~MdtL~~~AAA62061~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVXFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVXXTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~sat-3~~~CAA88265.1~~~aminoglycoside aminoglycoside n-acetyltransferases +>ARGMiner~~~sat-3~~~CAA88265.1~~~aminoglycoside~~~aminoglycoside n-acetyltransferases MTPQSMRELVICRASDADVLQLARCDFSFEVTAELEEPFDDMRSVPVKPPYLKNYGFDADELVEHMNNSAGALFVARADNCLVGYLAVSQSWNEYAVIDDIAVDVPYRGSGVSRLLMDAAVDWARNVPSAGVRLETQSVNLAACRFYRRYGFRLGGYDRYLYRGLHPGSREVALFWYLSF ->ARGMiner~~~dfrE~~~AAD01867.1~~~trimethoprim unknown +>ARGMiner~~~dfrE~~~AAD01867.1~~~trimethoprim~~~unknown LANVIEMIKTNPDSRRLIVSAWNPEDVPSMALPPCHTMFQFYVNEGKLSCQLYQRSADVFLGVPFNIASYALLTHLIAHETGLEVGEFVHTLGDAHLYQNHVEQMQEQLSREVRSFPTLVLNPDKASVFDFDMEDIKVEGYDPHPTIKAPIAV ->ARGMiner~~~VanUG~~~YP_002939420~~~glycopeptide unknown +>ARGMiner~~~VanUG~~~YP_002939420~~~glycopeptide~~~unknown MGGGAEMKVRYNKLWKLLIDKGMKKSQLREAVGASKSTFAKLGKNENVTLPVLLNICEYLECDFGDIMEAVPENEV ->ARGMiner~~~vantg~~~ABA71733.1~~~glycopeptide vang-type resistance protein +>ARGMiner~~~vantg~~~ABA71733.1~~~glycopeptide~~~vang-type resistance protein MTKNESYSGIDYFRFIAALLIVAIHTSPLSSFSETGNFIFTRIVARVAVPFFFMTSGFFLISRYTCNAEKLGAFIKKTTLIYGVAILLYIPINVYNGYFKMDNLLPNIIKDIVFDGTLYHLWYLPASIIGAAIAWYLVKKVHYRKAFLIASILYIIGLFGDSYYGIVKSVSCLNVFYNLIFQLTDYTRNGIFFAPIFFVLGGYISDSQNRLSLKRSIVGFIVCFALMFGEALTLHHFDIQKHDSMYVLLLPSVYCLFNLLLHFRGKRRTGLRTISLIIYIIHPFMIVVIRLFAKLLHLQSLLVENSLVHYIAVCFASVVLAVVITALLSSLKPKKAKHTADTDRAYLEINLNNLEHNVNTLQKAMSPKCELMAVVKAEAYGHGMYEVTTYLEQIGVSSFAVATIDEGIRLRKYGISSEILILGYTSPSRAKELCKYELTQTLIDYRYSLLLNKQGYDIKAHIKIDTGMHRLGFSTEDKDKILAAFSLKHIKVAGIFTHLCAADSLEENDVAFTNKQIGSFYKVLDWLKSSGLNIPKVHIQSSYGLLNYPELECDYIRVGVALYGVLSSTNDKTKLELDLRPVLSLKAKVVLIRKIKQGESVGYSRAFTATRDSLIAILPIGYADGFPRNLSCGNSYVLIGGRQAPIVGKICMDQLAVDVTDIPNVKTGSIATLIGKDGKEEITAPMVAESAESITNELLSRMGHRLNIIRRA ->ARGMiner~~~QnrS6~~~AEG74318.1~~~quinolone unknown +>ARGMiner~~~QnrS6~~~AEG74318.1~~~quinolone~~~unknown METYRHTYRHHSFSHQDLSDITFTACTFIRCDFRRANLRDATFINCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIELRECDLKGANFSRANFANQVSNRMYFCSAFITGCNLSYANMERVCLEKCELYENRWIGTHLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIASWQQEQLLEALGIVVFPD ->ARGMiner~~~cat~~~NP_863168.1~~~chloramphenicol unknown +>ARGMiner~~~cat~~~NP_863168.1~~~chloramphenicol~~~unknown MTFNIINLETWDRKEYFNHYFNQQTTYSVTKELDITLLKSMIKDKGYELYPALIHAIVSVINRNKVFRTGINSEGNLGYWDKLEPLYTVFNKETEKFSNIWTESNASFNSFYNSYKNDLFKYKDKNEMFPKKPIPENTVPISMIPWIDFSSFNLNIGNNSRFLLPIITIGKFYSKDDKIYLPFSLQVHHAVCDGYHVSLFMNEFQNIIDNVNEWI ->ARGMiner~~~rgt1438~~~AFO53532.1~~~rifampin unknown +>ARGMiner~~~rgt1438~~~AFO53532.1~~~rifampin~~~unknown MRMLLTTYGSRGDVEPLAGLAAGLRKLGVEARVCAPPDEEFAALPARAGVPLIPLGPPVRPVVAGERPPTPQDAFRLAAELVTARFDTLTEAAEGCQAVLATGLMPAGVRDVAEKLGIPYVFACFHIYGLPSRHFPPGARPGTPPAPDGTDHRELWEQDARSVNALYGDALNRHRTGIGLPPVRNVRDHVLTDRPWLAADPVLCPAEGMTEFDLVQTGPWFLPDERPLPAGLEEFLGAGAPPVYVGFGSMGAYAPEGIARVAVEACRAQGRRVVLARGWAGLTPDDGGADCFAVGEVNQQALFRRVAAVVHHGGAGTTTTAARAGAPQVVVPRIADQPYWAERVRDLGIGSAHPDPVVTFDSLSAALTTALAPEVRARARTVAGTIRTDGASVAARLLVETADRAGRPVSP ->ARGMiner~~~SHV-141~~~AFC60795.1~~~beta_lactam unknown +>ARGMiner~~~SHV-141~~~AFC60795.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGSVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~MdtM~~~ZP_03002230~~~multidrug unknown +>ARGMiner~~~MdtM~~~ZP_03002230~~~multidrug~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLALAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~QnrB73~~~AGT59159.1~~~quinolone unknown +>ARGMiner~~~QnrB73~~~AGT59159.1~~~quinolone~~~unknown MSLALVSEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGSDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVAGATFSGSDLSGGEFSAFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDSYQAALLMERLGIAIIG ->ARGMiner~~~CTX-M-67~~~ABS90365.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-67~~~ABS90365.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYSPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~tcmA~~~YP_002181880~~~tetracenomycin unknown +>ARGMiner~~~tcmA~~~YP_002181880~~~tetracenomycin~~~unknown MTSQTTVGSTSLSKEPGPAPVPPSGLRGHPWLTLFAVAIGVMMVTLDGTIVAVANPAIQKDLGASLADVQWITNGYLLALAVSLITAGKLGDRFGHRQTFLIGIAGFAVSSAAIGISGSVELVIGFRVAQGLFGALLMPAALGLLRATFPAEKLNMAIGIWGMVIGASTAAGPIVGGLLVEHVSWQSVFFINVPVGVIALVFGLVILVDHRAENAPRSFDVLGVLLLSGAMFSLIWALIKAAEWGWGDSRTALFLALSVLCFGLFALWETRVGEPLIPLGMFRSLPLSAGTVLMVLMAFAFMGGLFFVTFYLQNVHGMSPVDSGLHLLPLTGMMIVGSPLAGAAITKVGPRVPLVAGMVATAVACFGMARLTAGTGTLTMSLWFALLGLGLAPVMVGATEVIVGNAPLELSGVAGGLQQAAMQVGGSLGTAVLGAVMAGTVSDSFGDNWAGAGLPPLTPEQAELAEKGVQVGIAPVPPQAPETVVRAITGVAHDTFVSGMSTAFTVAGVVAVLAAIVACFTKRGEHAGPGAGAANI ->ARGMiner~~~CMY-45~~~CBB16411.1~~~beta_lactam unknown +>ARGMiner~~~CMY-45~~~CBB16411.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLKIPDDVRDKAALLHFYQNWQPQWTPGAKRLYSNSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYARGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTVGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~acrA~~~ABG77965.1~~~multidrug unknown +>ARGMiner~~~acrA~~~ABG77965.1~~~multidrug~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKPAQQGAQQAPEVGVVTLKSEPLQITTELPGRTNAYRIAEVRPQVSGIILKRNFTEGGDVQAGESLYQIDPATYQASYESAKGDLAKAQAAAKIAQLTLNRYQKLLGTKYISQQDYDTALADAQQANAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQTTALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVELITNDGIKFPQEGTLEFSDVTVDQTTGSITLRAIFPNPDKNLLPGMFVRARLEEGTNPTALLVPQQGVTRTPRGDASALVVGADNKVEMRNITATQAIGDKWLVTEGLKDGDRVIVTGLQKVRPGAQVKAQEVKSDDKQQASAAGQSEQTKS ->ARGMiner~~~SHV-14~~~AAG17550.1~~~beta_lactam unknown +>ARGMiner~~~SHV-14~~~AAG17550.1~~~beta_lactam~~~unknown MRYFRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGSVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CMY-68~~~AEZ49855.1~~~beta_lactam unknown +>ARGMiner~~~CMY-68~~~AEZ49855.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGIAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDEVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALVVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~mdtG~~~YP_002402255~~~multidrug unknown +>ARGMiner~~~mdtG~~~YP_002402255~~~multidrug~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSSIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~GES-18~~~AEX59144.1~~~beta_lactam unknown +>ARGMiner~~~GES-18~~~AEX59144.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALIFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~ErmY~~~BAB20748.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmY~~~BAB20748.1~~~macrolide-lincosamide-streptogramin~~~unknown MNKKDIKFSQNFITSKRHINKIMSNLELNRNDNVFEIGSGKGHFTLELVQKCNYVTVIEIDSNLCIQTQNKVTNYDNFRIINKDILQFKFPNNKAYKIYGNIPYYISTDIVRKIVFESEATVSYLIVEEGFAKRLLNTNRSLALLLMTEVDISILSKIPKEYFHPKPKINSSLIILKRHPSKISYKDKKMYNNFVMKWVNQEYSKLFTKNQFNKALNYAKIKDLKNINFEQFLSVFNSYKLFNN ->ARGMiner~~~OXA-325~~~AGW16407.1~~~beta_lactam unknown +>ARGMiner~~~OXA-325~~~AGW16407.1~~~beta_lactam~~~unknown MYKKALIVATSILFLSACSSNTVKQHQIHTISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTDYIPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDDFWLVGPLKITPQQETQFAYQLAHKTLPFSKNVQEQVQSMVFIEEKNGRKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~BcII~~~ZP_03105677~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_03105677~~~beta_lactam~~~unknown MKNTLLKLGVCVSLLGITPFVSTISSVQAERTVEHKVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLILNTSKGLVLVDSSWDDKLTKELIEMAEKKFKKSVTDVIITHAHADRIGGIKTLKERGIKAHSTTLTAELAKKNGYEEPLGDLQAITKLKFGNMKVETFYPGKGHTEDNIVVWLPQYNMLVGGCLVKSASAKDLGNVTDAYVNEWSTSIENVLKRYENINFVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~marA~~~YP_489794.1~~~multidrug unknown +>ARGMiner~~~marA~~~YP_489794.1~~~multidrug~~~unknown MSRRNTDAITIHSILDWIEDNLESPLSLEKVSERSGYSKWHLQRMFKKETGHSLGQYIRSRKMTEIAQKLKESNEPILYLAERYGFESQQTLTRTFKNYFDVPPHKYRMTNMQGESRFLHPLNHYNS ->ARGMiner~~~oprN~~~NP_251185.1~~~multidrug unknown +>ARGMiner~~~oprN~~~NP_251185.1~~~multidrug~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~MdtP~~~YP_002385300~~~multidrug unknown +>ARGMiner~~~MdtP~~~YP_002385300~~~multidrug~~~unknown MSRHLSRLILCGLLGGATLLSGCALVRKDSAPHQQLAPEQIKLADDIHLASAGWPQAQWWRQFNDPQLNALIERTLQGSHTLAEAKLRVEKAQSQADLLEAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPHLGMDGPYYTEATVGVFAGMDLDLWGAHRSSVEAAIGAQNAALAESSAVELSLTTGVAQLYYSMQASYQMLDLLEKTRDVIDYAVKAHQSKVASGLEAKVPYHGARAQILAVEKQIAAVKGQLRETRESLRALMGAGASDLPEIKPVALPLVNTGIPSSLSYELLARRPDLQAMRWYVQASLNQVDAARALFYPSFDIKAFFGLDAIHLDSLFKNTSRQFNVIPGLKLPLFDGGRLNANLEGTRATSNMMIERYNQSVLNAVRDVAINGTRLQTLNNQREIQAERVEAIRYTQKAATAAWKQGLSSRLQATESQLPVLAEEMSLLMLDSQRVVQSIQLIKSLGGGYQAIPASK ->ARGMiner~~~smeD~~~CAG34249~~~multidrug unknown +>ARGMiner~~~smeD~~~CAG34249~~~multidrug~~~unknown MLLSRIRPFALSLAIAATVAACGGQPQAPEQGPGDVTVVTLKSETVGLTRELPGRTNAFLVAEVRPQVNGIVAKRLFTEGGMVKAGEPLYQIEDASYRAQANSARAQLARAEATANAARLSAKRITELAKVDAVSQQDLENAVAAQKQAEADVGAAKASLDAANVTLGYARITAPISGRIGKSSVTQGALVSAGQANALATVQQLDPIYVDLTQSSAELLQLRRELAAGRLQDNQTLPVSILMEDGSTFEHKGTLEFSEVSVDPATGSFGLRVKVDNPDGLLMPGTYVRAVIGGGVRSDAVLVPMQGIARDPKGDTTAMVVGKDNKVEVRPVKVSRTVXDKWLVEDGLKAGDKVIVEGLQKIGPGMPVKATEKGAAPAKPAAAAQPAAPAGDAK ->ARGMiner~~~APH(6)-Id~~~AAC23556.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~AAC23556.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEQEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~OXA-59~~~CAG15145.1~~~beta_lactam unknown +>ARGMiner~~~OXA-59~~~CAG15145.1~~~beta_lactam~~~unknown MKFRHALSSAFVLLGCIAASAHAKTICTAIADAGTGKLLVQDGDCGRRASPASTFKIAISLMGYDAGFLRNEHDPVLPYRDSYIAWGGEAWKQPTDPTRWLKYSVVWYSQQVAHHLGAQRFAQYAKAFGYGNADVSGDPGQNNGLDRAWIGSSLQISPLEQLEFLGKMLNRKLPVSPTAVDMTERIVESTTLADGTVVHGKTGVSYPLLADGTRDWARGSGWFVGWIVRGKQTLVFARLTQDERKQPVSAGIRTREAFLRDLPRLLAAR ->ARGMiner~~~uppp_5 ~~~A0A0E9F3H2~~~bacitracin undecaprenyl pyrophosphate phosphatase +>ARGMiner~~~uppp_5 ~~~A0A0E9F3H2~~~bacitracin~~~undecaprenyl pyrophosphate phosphatase MITMIEIIKTIILGIIEGVTEWLPISSTGHLILANEILQLDVSPKFQDMFNVVIQLGAIFAVVILYFNKLNPFEGKKNRKEFLATIELWKKVIIGCIPAIVGGLLLNDFMDEYFNKAIVIATTLIVYGIAFIVIERRHKTVEPRIHSFEELDYITAIKIGLFQTLALIPGTSRSGSTILGGLLIGTNRFVATEFSFFMSIPIMFGASGLKLLKFGFHYTSAELIILLVGSVVSFFVSLIVIKFLLSYLKRNDFQAFGWYRIILGIIVLASSFFLK ->ARGMiner~~~lmrC~~~ABF66011.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~lmrC~~~ABF66011.1~~~macrolide-lincosamide-streptogramin~~~unknown KHKWVALFSIVSTFIYAGVQLYQPQIMKRIMTVMSSTTYSRHQMADKVSGYGVELLVVAGIGIIFAIFSTLSAARIAQEIGADVREATYKKINTFSYENVEKFNAGNLVVRMTNDVTQVQNLMMMVFQILMRIPVLLIGAVVLSITTLPRLWWITVLLIVLIVLVTAVLMGRMGPHFMAFQKLMDRINAIAKQNLRGSRVVKSFVQEKNQIKDFDETSDELYDHNWAVGKLFSAMIPLFTVIAQGAIWLAIYFVSTFVTESTTVAQDSIGGIATFMTYMGMIMFAIIMGGMISMFASRGMVSIGRINEVLNTDPAMKFDENAKDEVLSGSVKFDHVSFSYPNDEEPTLKDISFEVEPGQMVGIVGATGAGKSTLAQLIPRLFDPTEGTVSVGGKDLKTVSRGTLKKNISIVLQKAILFSGTIAGNIKQGKADATDEEMTRAARIAQAAEFITTKDGQYDSEVEERGNNFSGGQKQRLSITRGVVKNPNVLILDDSTSALDAKSEKLVQEALNKDLKDTTTIIIAQKISSVVHADNILVLDQGKLVGQGTHQELVAENKIYQEIYDTQKAQED ->ARGMiner~~~AAC(3)-IIIc~~~AAA25683.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIIc~~~AAA25683.1~~~aminoglycoside~~~unknown MFSRWSKPLVLAAVTRASLAADLAALGLAAGDAVMVHAAVSKVGRLLDGPDTIIAALSDAGRPAGTILAYADWEARYEDLVDEDGRVPQEWREHIPPFDPRRSRAIRDNGVLPEFLRTTPGALRSGNPGASMVGLGARAEWFTADHPLDYGYGEGSPLARLVEAGGKVLMLGAPLDTLTLLHHAEHLADIPGKRIRRIEVPLATPTGTQWRMIEEFDTGDPIVEGLAEDYFAEIVTAFLAGGRGRQGLIGTAPSVLVDAAAITAFGVAWLESRFGSPSS ->ARGMiner~~~bcrC~~~AAA99503.1~~~bacitracin unknown +>ARGMiner~~~bcrC~~~AAA99503.1~~~bacitracin~~~unknown MSFSELNIDAFRFINDLGKEYSMLNPVVYFLAEYMMYFLALGLVVYWLTRTTKNRLMVIYAVIAFVVAEILGKIMGSLHSNYQPFATLPNVNKLIEHEIDNSFPSDHTILFFSIGFLIFLFHKKTGWLWLVLAFAVGISRIWSGVHYPLDVAAGALLGVLSALFVFWTAPKLSFIHQMLSLYEKVEQRIVPSKNKSNDKSKNF ->ARGMiner~~~NorA~~~AAA16158~~~multidrug unknown +>ARGMiner~~~NorA~~~AAA16158~~~multidrug~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAIGQNFLILMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKVSTNGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~BL2e_y56~~~ZP_04613685~~~beta_lactam unknown +>ARGMiner~~~BL2e_y56~~~ZP_04613685~~~beta_lactam~~~unknown MKHSPLRRSLLLAGITLPLVNFALPAWAATLPTSLDKQLAELEQQSQGLLGITVINTGNGRKIQYRGTQRFPFCSTFKFILAAAVLNKSASQPKLLDKHLHYHERDLLSYAPITRKNLAHGMSVSQLCAATVQYSDNTAANLLIQELGGVESVNQFARSIGDQTFRLDRLEPELNSALPNDPRDTTTPSAMAASMNALVLGDALPAAQREQLALWLKGNTTGGETIRAGAPAEWIVGDKTGTGDYGTTNDIAVLWPTKAAPIVLVIYFTQREKDAKPRRDVLAAATKILLSHLP ->ARGMiner~~~OXA-69~~~YP_001713983.1~~~beta_lactam unknown +>ARGMiner~~~OXA-69~~~YP_001713983.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGEKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~IMP-15~~~AAT49070.1~~~beta_lactam unknown +>ARGMiner~~~IMP-15~~~AAT49070.1~~~beta_lactam~~~unknown MNKLSVFFMFMFCSITAAGESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNTEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFSGGSYWLVNNKIEVFYPGPGHTPDNVVVWLPENRVLFGGCFVKPYGLGNLGDANLEAWPKSAKILMSKYGKAKLVVSSHSETGNASLLKLTWEQAVKGLKESKKPSLPSN ->ARGMiner~~~CMY-100~~~AHA80101.1~~~beta_lactam unknown +>ARGMiner~~~CMY-100~~~AHA80101.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANNRPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTQYWPELTGKQWQGISLLHLATYTAGGLPLQVPDDVTDKAALLHFYQNWQPQWASGAKRLYANSSIGLFGALAVKPSGMSYEEAMTKRVLHPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMTRWVQANMDASQVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPVKADSIISGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-38~~~CAP60699.1~~~beta_lactam unknown +>ARGMiner~~~CMY-38~~~CAP60699.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYARGYREGKPVHVSPGQLNAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKNYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CTX-M-50~~~AAV97955.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-50~~~AAV97955.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVPLIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CTX-M-124~~~AFH88134.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-124~~~AFH88134.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAIIWPENHAPLVLVTYFTQPEQKAESRRDVLAAAAKIVTHGF ->ARGMiner~~~smeB~~~YP_002030219~~~multidrug unknown +>ARGMiner~~~smeB~~~YP_002030219~~~multidrug~~~unknown MVRFFIDRPIFAWVIAIAVSLLGLLAILILPVDRYPQIAPPTITIRATYTGASSQTVENAVTQVIEQSQQSLDHLMYMTSTSASDGSAQVNLVFATGTNPDTAQVQVQNQLQAAMATLPQAVQQNGLTITKSSGSIFEVLSFTSEDGSMDNFDVANFMEARIDDQISRVSGVGNIQPIGQEYAMRIWLDPEKMRQYALMPSDIETALQAQNTDVSAGELGGQPALKGQQLDATVTARSRLHTPEQFAQVVLKADAGGSVVHLGDVATIGLGPESYDSISTFNGKPSASLGIELNAGANAIAVSKAIDARLQQLQKYWPHGYTAHVAFTTTPFVTISLKEVVITLIEAIALVVLVMYLFLQNWRATLIPTIAVPVVLLGTFGVLAAFGYSINTLTMFALVLAIGLLVDDAIVVVENVERVMTFEGLAPKPATLKAMGQITGALVGIVLVLTAVFLPMAFFSGVTGVIYRQFSVTIAAAMILSVLVAMTITPALCGSILHQIPKGGHPHGDHGGEPSLLGKFFIWFNHRFERTSNGLRHRVDGFLGRRALGVLFYLVLSVATGLLLWHLPGAFLPDEDQGMLNALVKLPAGSTLEQTRAVMDRLSAAAVKDDSVLSIQATAGFSVTGSGQNVGQAFIRLKDWDDRKDDADTIAARLTAAMASVPDAQVFITSPPAILGLGDAGGFTLELQDEGGAGHAAAVAARNTLLKEAAKDPKLVNVRYASLEDAPVYAVKVDDAKAQAMGVNPQDINDTLNAALGGDFVNNFIYKGRIKKVFIQGTAEARMQPQDIERWSVRNQAGQMVPLSSLISTHWTSAPAALQRYNGVSAMEITGQPAAGVSSGEAMAEIARLADTLPEGFSHAWSDMAYQEQLSGNQAPMLYAISLLFVFLCLAALYESWAVPFAVMLAVPVGVFGAVLMMNLRGLNNDVYFQVGLLTTIGLAAKNGILIVEFARILEQQGKSTREAILQAVYLRLRPIVMTSLAFLMGVLPLVFATGAGSAARRSLGTGVAGGTVASMVLGMFFVPLFYLLVRRLFPGRAPADATAAEANP ->ARGMiner~~~MdtM~~~YP_219359~~~multidrug unknown +>ARGMiner~~~MdtM~~~YP_219359~~~multidrug~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFSWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~CTX-M-95~~~CAD20591~~~beta_lactam unknown +>ARGMiner~~~CTX-M-95~~~CAD20591~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQTNSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESNKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIQAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDVLAAAAKIVTHGF ->ARGMiner~~~BacA~~~YP_523088~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_523088~~~bacitracin~~~unknown MDIVLLIKAAIMGLVEGLTEFLPISSTGHLILAGTLLGFDNEVGKVFDIAIQTGAIFAVILVYWQKIRDTLVALPTEKQAQRFSLNVLIAFVPAVVLGLLFGKAIKAHLFTPVVVASTFIIGGFIILWAERRQEKNPAAVRIHDVESMTPMDALKVGLAQCLAMIPGTSRSGATIIGGMLLGLSRKAATDFSFYLAIPTLIGAGVYSLFKERALLSMADLPTFAVGLVVSFFSAWLCIRWLLRYIASHSFVGFAYYRIVFGVVVLATAWSGAVTWAA ->ARGMiner~~~AcrB~~~CAL13137~~~multidrug unknown +>ARGMiner~~~AcrB~~~CAL13137~~~multidrug~~~unknown MAKFFIDRPIFAWVIAIIIMLAGSLAIMKLPVAQYPTIAPPAISISANYPGADATTVQNTVTQVIEQNMNGIDNLLYMSSSSDSSGNVQLTLTFNSGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVAGFISEDGTMKQEDIADYVGSNVKDPISRTAGVGDVQLFGSQYAMRIWMDPHKLNNYGLTPVDVINAIKVQNNQVAAGQLGGTPPVPGQELNSSIIAQTRLTNAEEFSQIMLKVNTDGSQVRLKDVAIVQLGAENYNIIARYNGKPAAGIGIKLATGANALNTSAAVKAELAKLQPFFPAGLKVVYPYDTTPFVKISINEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMQEEGLPPKEATKKSMEQIQGALVGIAMVLSAVFIPMAFFGGATGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPIAKGEHGPKTGFFGWFNRMFEKSTHHYTDSVGNILRSTGRYLVIYLAIVIGMGVLFLRLPTSFLPEEDQGVFLTMVQMPAGATQERTQKVLDQVTDYYLDKEKDVVNSVFTVNGFGFSGQGQNTGLAFVSLKNWDERPGEQNKVPAIVARASAAFSQIKDGLVFAFNLPAIVELGTATGFDFQLIDQGDVGHQKLTEARNQLLGMAAQHPDMLVGMRPNGLEDTPQFKVEVDQEKAQALGVAISDINTTLGSAMGGSYVNDFIDRGRVKKVYVQADAPFRMLPSDIDKWYVRNNAGQMVSFATFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMDLMQELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVESTLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSRKNEDVEHAHAVDHKVK ->ARGMiner~~~LRA-12~~~ACH58990.1~~~beta_lactam unknown +>ARGMiner~~~LRA-12~~~ACH58990.1~~~beta_lactam~~~unknown MNVQNCMVKAVSVSIILFASLSLAAQKVKEPTVSNADWSKPYRPFRIAGNLYYIGTYDLACYLITTKQGNIIVNTGLAASALQIKNNIKALGFKLTDTKILLTTQAHYDHLGAMAEIKKITGAKLMADEGDATVMADGGSSDYAFGGHGSMFEPIIADRLLHDKDTIQLGDTKLVMLHHPGHTKGSCSFLFDTKDEQRSYRILIANMPTIVIEKKFSEVSSYPGIAKDYAYTLQAMKNLSFDIWVASHASQFSMHSKHKPGDGYNPKSFMDRKGYDESLDKLQKEYEKHLNEN ->ARGMiner~~~MdtM~~~ZP_03030716~~~multidrug unknown +>ARGMiner~~~MdtM~~~ZP_03030716~~~multidrug~~~unknown MNNHFGKGLMAGLKATHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVSFSAKSVLRDFRNVFCNRQFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~CTX-M-60~~~CAL80726.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-60~~~CAL80726.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPSLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDILASAAKIVTDGL ->ARGMiner~~~OKP-B-17~~~CAP12357.2~~~beta_lactam unknown +>ARGMiner~~~OKP-B-17~~~CAP12357.2~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISEGQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTPATMVERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-385~~~AHL30272.1~~~beta_lactam unknown +>ARGMiner~~~OXA-385~~~AHL30272.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALISLEHHKATATEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CARB-18~~~AIL92327.1~~~beta_lactam unknown +>ARGMiner~~~CARB-18~~~AIL92327.1~~~beta_lactam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~SHV-125~~~ACV32635.1~~~beta_lactam unknown +>ARGMiner~~~SHV-125~~~ACV32635.1~~~beta_lactam~~~unknown MRYIRLNIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQ ->ARGMiner~~~EmrE~~~ABG69871~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~EmrE~~~ABG69871~~~multidrug~~~Multi-drug efflux pumps MNSFVSLGFLLIIIVPAFISCHARAPWIHIHQDENGELCSNCSTILSSMNRKEYAMNPYIYLGGAILAEVIGTTLMKFSEGFTRLWPSVGTIICYCASFWLLAQTLAYIPTGIAYAIWSGVGIVLISLLSWGFFGQRLDLPAIIGMMLICAGVLVINLLSRSAPH ->ARGMiner~~~ceoB~~~ZP_03573944~~~multidrug unknown +>ARGMiner~~~ceoB~~~ZP_03573944~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVLILLGGVIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDNRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRGLAAEDVVQAIREQNVQVAAGVIGASPSLPGTPLQLSVNARGRLQTEEEFGDIVVKTTPDGGVTHLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLQISDEVRKTMAELKQDMPAGVDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASIIPLIAVPVSIVGTFSLLLGFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIENGMSARQATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRRFNKLFHRGAENYGRGVRGVLSRKAVMLGVYLVLVGATVLVSKIVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGSIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFSERHGKALSAGAIAGALNQQYASIKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYAKLSDATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVSVTDVFNTMQVYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNAAGEMVPLSSLVTVTPTFGPEMVVRYNGYTAADINGGPAPGYSSGQAQAAIERIAHETLPRGVRFEWTDLTYQQILAGDSAIWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTHGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGKTPLEAAIEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAGKDSAGYGVPAPDA ->ARGMiner~~~TEM-30~~~CAD24670.1~~~beta_lactam unknown +>ARGMiner~~~TEM-30~~~CAD24670.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSSGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~norM~~~NP_934523~~~multidrug unknown +>ARGMiner~~~norM~~~NP_934523~~~multidrug~~~unknown MHRYKKEASSLIKLATPVLIASVAQTGMGFVDTVMAGGVSATDMAAVSVAASIWLPSILFGIGLLMALVPVVAQLNGAGKREQVPFEIQQGAVMALLISIPIIGVLFQTQWILGYMNVDAVMATKTIGYIHAVMFAVPAFLLFQTLRSLTDGLSLTKPAMVIGFIGLLLNIPLNWMFVYGKLGAPALGGVGCGVATAIVYWIMFLLLLLYVTTSQRLRQVQLFTTFHPPQLNAQVKLFKLGFPVAAALFFEVTLFAVVALLVAPLGSTVVAAHQVAINFSSLVFMLPMSIGAATSIRVGHMLGEKSTEGARIASHVGILVGLSTAVFTALLTVILREQIALLYTDNRVVITLAMQLLIFAAIYQCTDAIQVIAAGALRGYKDMRAIFNRTFIAYWLLGLPTGYVLGLTDWIVEPMGAQGFWIGFIVGLSSAAAMLGVRLHWLHRQNDEIQLNYEAR ->ARGMiner~~~catB~~~YP_001310303~~~chloramphenicol unknown +>ARGMiner~~~catB~~~YP_001310303~~~chloramphenicol~~~unknown MNFNLIDINNWSRKPYFEHYLNNVKCTYSMTANIEITDLLYEIKLKNIKFYPTLIYMIATVVNNHKEFRICFDHNGSLGYWDSMNPSYTIFHKENETFSSIWTEYNESFLRFYSDYLDDIKNYGNIMKFTPKSNEPDNTFPVSSIPWVSFTGFNLNVYNEGTYLIPIFTAGKYFKQGNKIFIPISIQVHHAICDGYHASRFINEMQELAFSFREWLENK ->ARGMiner~~~IMP-45~~~AIA58910.1~~~beta_lactam unknown +>ARGMiner~~~IMP-45~~~AIA58910.1~~~beta_lactam~~~unknown MSKLFVFFMFLFCSITAAGESLPDLKIEKLDEGVYVHTSFEEVNGWGVIPKHGLVVLVNTDAYLIDTPFTAKDTENLVNWFVERGYRIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKYSFSGVSYWLVKKKIEVFYPGPGHAPDNVVVWLPENRVLFGGCFVKPYGLGNLGDANLEAWPKSAKLLMSKYSKAKLVVPGHSDIGDSSLLKLTWEQTVKGFNESKKSTTAH ->ARGMiner~~~BacA~~~YP_002931998~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002931998~~~bacitracin~~~unknown MDLHTLLNAFILGIVEGLTEFLPVSSTGHMIIVGHWLGFEGDKAKTFEVIIQLGSILAVVVMFWRRLFGLIGIHFGRPVVHEGRGQGQLRLGHIVLAMIPAVVLGLLLHDFIKSLFTPLTVAYALVVGGLLLLAAEWLKPAQTRAVGLDDITYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGASALELYKSLPYLSLGDLPMFSVGFVTAFIVALIAIKTFLNLIKRISFVPFAIYRFIVAAAVYLVFC ->ARGMiner~~~AcrA~~~YP_001454231~~~multidrug unknown +>ARGMiner~~~AcrA~~~YP_001454231~~~multidrug~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPEVGVVTLKTEPLQITTELPGRTNAYRIAEVRPQVSGIILKRNFTEGGDIEAGVSLYQIDPATYQAAYESAKGDLAKAQAAANIAQLTVKRYQKLLGTKYISQQDYDSALADAQQANASVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELASGKLKQENGKAKVELVTSDGITYPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGTNPDALLVPQQGVTRTPRGDASALVVGADDKVETRQIVANQAIGDKWLVTDGLKSGDRVIVTGLQKVRPGAQVKAQEVTADNEQQAASANPSEQSKS ->ARGMiner~~~SHV-121~~~AEI83429.1~~~beta_lactam unknown +>ARGMiner~~~SHV-121~~~AEI83429.1~~~beta_lactam~~~unknown MRYIRLCIISLLAALPLVVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITVSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~IND-2a~~~AAG29760.1~~~beta_lactam unknown +>ARGMiner~~~IND-2a~~~AAG29760.1~~~beta_lactam~~~unknown MKKSIQLLMMSMFLSPLINAQVKDFVIEPPVKPNLYLYKSFGVFGGKEYSANAVYLTTKKGVVLFDVPWQKEQYQTLMDTIQKRHHLPVIAVFATHSHDDRAGDLSFYNQKGIKTYATAKTNELLKKDGKATSTEIIKTGKPYKIGGEEFMVDFLGEGHTVDNVVVWFPKYKVLDGGCLVKSRTATDLGYTGEANVKQWPETMRKLKTKYAQATLVIPGHEEWKGGGHVQHTLDLLDKNKKPE ->ARGMiner~~~dfrA26~~~CAL48457.1~~~trimethoprim unknown +>ARGMiner~~~dfrA26~~~CAL48457.1~~~trimethoprim~~~unknown MADEEYDPLLDDDMEDAKVAVIAARAQNGCIGRHGKLPWKLPGDLKYFRERTWGKPIIMGRKTWESLNGALPGRTNIVVTRQQGYEAEGARVVDSIEEAISLAQSIALIEAVDEIMVLGGGEIYTQALPQADILYLTEVHASVDGDAFFPDVDLSQYQETQRQDFEPSGGNPYPFSFVVYQRT ->ARGMiner~~~OXA-1~~~CAJ29561~~~beta_lactam unknown +>ARGMiner~~~OXA-1~~~CAJ29561~~~beta_lactam~~~unknown MKNTIHINFAIFLIIANIIYSSASASTDISTVASPLFEGTEGCFLLYDASTNAEIAQFNKAKCATQMAPDSTFKIALSLMAFDAEIIDQKTIFKWDKTPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVKNSAIENTIENMYLQDLDNSTKLYGKTGAEFTANRTLQNGWFEGFIISKSGHKYVFVSALTGNLGSNLTSSIKAKKNAITILNTLNL ->ARGMiner~~~OXA-55~~~AAR03105.1~~~beta_lactam unknown +>ARGMiner~~~OXA-55~~~AAR03105.1~~~beta_lactam~~~unknown MNKGLHRKRLSKRLLLPMLLCLLAQQTQAVAAEQTKVSDVCSEVTAEGWQEVRRWDKLFESAGVKGSLLLWDQKRSLGLSNNLSRAAEGFIPASTFKLPSSLIALETGAVRDETSRFSWDGKVREIAVWNRDQSFRTAMKYSVVPVYQQLAREIGPKVMAAMVRQLEYGNQDIGGQADSFWLDGQLRITAFQQVDFLRQLHDNKLPVSERSQRIVKQMMLTEASTDYIIRAKTGYGVRRTPAIGWWVGWLELDDNTVYFAVNLDLASASQLPLRQQLVKQVLKQEQLLP ->ARGMiner~~~Mdr~~~YP_001486998~~~multidrug unknown +>ARGMiner~~~Mdr~~~YP_001486998~~~multidrug~~~unknown MFSSFIFKLIIAKIRRERKQGVNEMNHVDNGKASTRFVVIGLLLGIFMAAMDNTIVATAMGSIVADLGSFDKFAWVTASYMVAVMAGMPIYGKLSDMYGRKRFFLFGLIFFLIGSALCGIAQTMDQLIIYRAIQGLGGGALLPIAFTIIFDIFPPEKRGKMSGMFGAVFGLSSVLGPLLGAIITDSIGWHWVFYINVPIGIVSVFLIARYYQESLEHRKQKIDWSGAITLVVAVVSLMFALELGGKSYAWDSVQILTLFAVFLVFGTIFFIVERKAEEPIISFWMFKNRLFATSQILAFLYGGTFIILAVFIPIFVQAVYGESATSAGFILTPMMIGSVIGSMIGGTMQTKVPFRRLMAISVISFFAGMLLLANMSPDTARLWLTIFMMISGFGVGFSFSLLPSASMNDLAPRYRGSANSTNSFMRSLGMTLGVTIFGTIQTNVLSNRLADAFSGMKGASSQIGDPQAIFQEGARSQIPSDILNKVIDAMSQSITYVFLIALFPIALAAITVLFMGNARVRTSNEMKENE ->ARGMiner~~~IMP-21~~~BAD89802.1~~~beta_lactam unknown +>ARGMiner~~~IMP-21~~~BAD89802.1~~~beta_lactam~~~unknown MKKLFVLCIFLFCSITAAGASLPDLKIEKLEEGVYVHTSFEEVNGWGVASKHGLVVLVNTDAYLIDTPFTAKDTEKLVNWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASVLTNELLKKDGKVQAKNSFSGVSYWLVKNKIEVFYPGPGHTQDNVVVWLPKNKILFGGCFVKPYGLGNLDDANVEAWPHSAEKLISKYGNAKLVVPSHSDIGDASLLKLTWEQAVKGLNESKKSNTVH ->ARGMiner~~~TEM-29~~~CAA76796.1~~~beta_lactam unknown +>ARGMiner~~~TEM-29~~~CAA76796.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~ykkD~~~CAB13167.1~~~multidrug unknown +>ARGMiner~~~ykkD~~~CAB13167.1~~~multidrug~~~unknown MLHWISLLCAGCLEMAGVALMNQYAKEKSVKWVLLIIVGFAASFSLLSYAMETTPMGTAYAVWTGIGTAGGALIGILFYKEQKDAKRIFFIALILCSAVGLKILS ->ARGMiner~~~cphA5~~~AAP69913.1~~~beta_lactam unknown +>ARGMiner~~~cphA5~~~AAP69913.1~~~beta_lactam~~~unknown MMKGWIKCGLAGAVVLVASFWGGSVHAAAISLTQVSGPVYVVEDNYYVKENSMVYFGAKGVTIVGATWTPDTARELHKLIKRVNNKPVLEVINTNYHTGQAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFNLQEGKVRAFYAGPAHTPDGIFVYFPDQQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKIVVGGHDSPLHGPELIDHYQALIKAATHS ->ARGMiner~~~mexE~~~YP_001348105~~~multidrug unknown +>ARGMiner~~~mexE~~~YP_001348105~~~multidrug~~~unknown MEQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEARAAVAATQAQLDAARLNLSFTRVTAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASTDTLATLARLRQSVGDSEPPKVAATKDNATRNEPRG ->ARGMiner~~~VIM-37~~~AGC50807.1~~~beta_lactam unknown +>ARGMiner~~~VIM-37~~~AGC50807.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHISTQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSRTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~fusD~~~WP_011303797~~~fusidic_acid unknown +>ARGMiner~~~fusD~~~WP_011303797~~~fusidic_acid~~~unknown MEKQLYPYQFNYIKERVAHLVNAYNSVNDPNTIASIKDVTRDEILSTFNSRNTTIRSNVEKLMNVQLTKEQAQKILTTIQMYVKPFEHPSNKQVTNLFKKVKKLKTPLISDEVLQTSTYIGWNDIASNRKFIIYYDNFGKLNGVYGDISNQTVKSFCSICNKESRVALFMRKTRTGNDGQYTKKGDYICFDSTLCNHQISDLSHFHHFLNKIQ ->ARGMiner~~~vanTN~~~AEP40502.2~~~glycopeptide unknown +>ARGMiner~~~vanTN~~~AEP40502.2~~~glycopeptide~~~unknown MKNVNTGINQFRLIAAFMVVAIHCFPFQSFSKTLDILITLTLFRTAVPFFLMVTGFYLIGPIATKRGYPAYLKIKKFLKKQVKLYVLATLIYLPLAFYSGVITFKTSVIQFFQLIFFEGVLYHLWYFPALILGVLIVYCLLQRFTLRQVLLVTFLFYLLCLGGDSWWGLARQIPVLEKSYQGIFTLMIHTRNGLFFAPFFLTLGASFHQSEWNMRTSKAKYFLLIASLGMLVESYLLHSFSSPKHDSAYLFLPVVMFFLFPLILNWQPTRVIADASTISLGIYVLHPYVIAVVHTLAKKITILNNSLIYYLCVSLLTSLIILYVHSKKKKTTKNQATFVPRTKKVLSKQAVIHNLAQINQVIPKTTKIMAVIKANAYGTDDTEFARILEQQGVDFFAVATIDEGIRLRENGIKSKILILGYTPSIRIKELAHYTLIQTIVSKEHAYSLNQQKIPISCHLKIDTGMHRLGVEPVVQEVSSLYRLPYLNIQGIYSHLGSADDRSDKGMKRTRKQISIFDYLLHELELQKIDVGVTHLQSSYGILNYPELVYDYVRPGILLYGYLSEHNGDSKINLNLQPILDVQALLVSKKWVAAGEYLGYSLDTKLVSPKLIGIVSIGYADGVPRELSHNEFYLAYQGQNLPQIGRICMDMLLVDLTDSPEIKVESQISIFPELEQTANQTNTLTNEIISRLGNRFYTEWS ->ARGMiner~~~Bcr~~~ZP_02901634~~~multidrug unknown +>ARGMiner~~~Bcr~~~ZP_02901634~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAVAAILASAMIFFLIKETLPPECRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR ->ARGMiner~~~tetM~~~YP_001834888~~~tetracycline unknown +>ARGMiner~~~tetM~~~YP_001834888~~~tetracycline~~~unknown MEENHMKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAQLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYTDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~gimA~~~CAA11707.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~gimA~~~CAA11707.1~~~macrolide-lincosamide-streptogramin~~~unknown MRRGDLHETYRLDYAPHMHDPAHIAMFSIAAHGHVNPSLEVIRELVARGHRVTYAIPPLFAEKVAETGAEPKLWNSTLPGPDADPDAWGTTPLDNVEPFLDDAIQALPQLIAAYEGDEPDLVLHDITSYPARVLAHRWGVPAVSLSPNLVAWEGYEEEVGRPTWEEPLKTERGRAYDARFRGWLKENGITEDPDPFVGRPDRSLVLIPKALQPHADRVDEKTHTFVGACQGDRAAEGDWRRPEGAEKVVLVSLGSSFTKRPAFYRACVEAFGALPGWHVVLQVGRHVDPAELGDVPENVEVRSWVPQLAILKQADLFVTHAGAGGSQEGLATATPIVAVPQAVDQFGNADMLQGLGVGRHLPTEEATAEALRAAGLALVEDPEVARRLKEIQAGMAREGGTRRAADLIEAELAAART ->ARGMiner~~~mdtc~~~AAC75137.1~~~multidrug multi-drug efflux pumps +>ARGMiner~~~mdtc~~~AAC75137.1~~~multidrug~~~multi-drug efflux pumps MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIIVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAVSNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVSVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGVVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~AAC(6')-Ib10~~~AAC46343.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib10~~~AAC46343.1~~~aminoglycoside~~~unknown MLRSSSRPKTKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~VatB~~~YP_002861121~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~VatB~~~YP_002861121~~~macrolide-lincosamide-streptogramin~~~unknown MNKFGPNPNSIYPNENIKSICYIKNVIKNPNIQVGDYTYYDDINGAEKFEAHVTHHYEFIDDKLIIGKFCAIAKGIEFVMNGANHRMKSITTYPFNIMGGGWEKAMPTLEDLPLKGDTVVGNDVWIGQNVTVMPGVHIGDGSIIAANSVVTKDVPPYHIAGGNPCKIIKKRFDDELIDYLLNLKWWDWSEEKIFKNLEVLCSPDLDKIKSIK ->ARGMiner~~~QnrB24~~~ADI46626.1~~~quinolone unknown +>ARGMiner~~~QnrB24~~~ADI46626.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADMSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMVDFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~EmrD~~~CAR61679~~~multidrug unknown +>ARGMiner~~~EmrD~~~CAR61679~~~multidrug~~~unknown MKRQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMAQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLFAMTTHSLTVLIAASAMQGMGTGVGGVMARALPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTLWNWRACYAFLLVLCAGVTFSMARWMPETRPAGAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSALMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGALVGGLQNIGSGVLAWLSAMLPQTGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~DHA-7~~~ADQ00385.1~~~beta_lactam unknown +>ARGMiner~~~DHA-7~~~ADQ00385.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGSGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~NPS~~~CAA33795.1~~~beta_lactam unknown +>ARGMiner~~~NPS~~~CAA33795.1~~~beta_lactam~~~unknown MRFTATVLSRVATGLALGLSMATASLAETPVEALSETVARIEEQLGARVGLSLMETGTGWSWSHREDELFLMNSTVKVPVCGAILARWDAGRLSLSDALPVRKADLVPYAPVTETRVGGNMTLDELCLAAIDMSDNVAANILIGHLGGPEAVTQFFRSVGDPTSRLDRIEPKLNDFASGDERDTTSPAAMSETLRALLLGDVLSPEARGKLAEWMRHGGVTGALLRAEAEDAWLILDKSGSGSHTRNLVAVIQPEGGAPWIATMFISDTDAEFEVRNEALKDLGRAVVAVVRE ->ARGMiner~~~GES-17~~~ADZ48685.1~~~beta_lactam unknown +>ARGMiner~~~GES-17~~~ADZ48685.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVKWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGARNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~SHV-16~~~AAC98092.2~~~beta_lactam unknown +>ARGMiner~~~SHV-16~~~AAC98092.2~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKITHRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~arnA~~~Q83QT8~~~polymyxin unknown +>ARGMiner~~~arnA~~~Q83QT8~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERDIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEIFQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQMLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFLPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYHIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSCYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~ACC-5~~~CCK86740.1~~~beta_lactam unknown +>ARGMiner~~~ACC-5~~~CCK86740.1~~~beta_lactam~~~unknown MQNTLKLLSVITCLAATAQGALAANIDESKIKDTVDDLIQPLMQKNNIPGMSVAVTVNGKNYIYNYGLAAKQPQQPVTENTLFEVGSLSKTFAATLASYAQLSGKLSLDQSVSHYVPELRGSSFDHVSVLNVGTHTSGLQLFMPEDIKNTTQLMAYLKAWKPADAAGTHRVYSNIGTGLLGMIAAKSLGVSYEDAIEKTLLPQLGMHHSYLKVPADQMENYAWGYNKKDEPVHVNMEILGNEAYGIKTTSSDLLRYVQANMGQLKLDANAKMQQALTATHTGYFKSGEITQDLMWEQLPYPVSLPNLLTGNDMAMTKSVATPIVPPLPPQENVWINKTGSTNGFGAYIAFVPAKKMGIVMLANKNYSIDQRVTVAYKILSSLEGNK ->ARGMiner~~~MdtN~~~ZP_03064581~~~multidrug unknown +>ARGMiner~~~MdtN~~~ZP_03064581~~~multidrug~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~MacB~~~ZP_04001960~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~ZP_04001960~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~LEN-6~~~AAP93848.1~~~beta_lactam unknown +>ARGMiner~~~LEN-6~~~AAP93848.1~~~beta_lactam~~~unknown MISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETE ->ARGMiner~~~MexD~~~YP_608682~~~multidrug unknown +>ARGMiner~~~MexD~~~YP_608682~~~multidrug~~~unknown MSKFFINRPNFAWVMALFISLAGLLVIPALPVAQYPSVAPPQISITASYPGASAKVLVESVTSIIEESLNGAKGLLYYESTNNSNGVAEVLVTFEPGTEADMAQVDVQNRLKQAEARMPQAVLTQGLKVEQASAGFLLIYALTSKASDSGDTTALADYAARNINNELRRVPGVGKLQFFASEAAMRVWVDPQKLVGYGLSIDDINNAIRGQNVQVPAGSFGSTPGASEQELTATLAVQGTLDTPEAFAGIVLRANPDGSRVLLGDVARLAIGSENYNLSSRLDGHPAVAGAVQLAPGANAIQTATLVKERLAELSQFFPEGVEYAVPYDTSRFVDVAIEKVLHTLAEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMKLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERLMAEEGLSPVAATVKAMGQVSGAIIGITLVLAAVFLPLAFMSGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPVPHGHHEKGGFFGAFNRAFARVTERYSVMNSALVARAGRWMLAYVGILVVLGYSYLRLPEAFVPSEDLGYSIVDVQLPPGASRVRTDHTAEALEQFLMSREAVASSFIVSGFSFSGQGDNAALAFPTYKDWSVRGQEQSAEAETAAINAQFAANGDGTITAVMPPPIDGLGNSGGFALRLMDRGGLGREALLAARDQLLARANGNPVILYAMMEGLAEAPQLRVQIDREKARALGVSFETINSTLATAFGSAVINDFTNAGRQQRVVVQAEQGERMTPESVMRLYAPNASGEQVPFSAFATTKWEEGPVQLVRYNGYPSIRIAGDAAPGASTGQAMAEMERLVSELPPGIGYAWTGLSYQEKVSSGQAAGLFALAILVVFLLLVALYESWAIPLTVMLIVPIGALGAVWAVMLTGMPNDVYFKVGLITIIGLAAKNAILIVEFAKELWEKGYSLRDAAIEAARLRFRPIVMTSMAFILGVVPLAIATGAGAASQRAIGTGVIGGMLSATLLGVVFVPICFVFVLTLLKRKPAPVKQAIEAVE ->ARGMiner~~~FOX-3~~~CAA71947.1~~~beta_lactam unknown +>ARGMiner~~~FOX-3~~~CAA71947.1~~~beta_lactam~~~unknown MQQRRAFALLTLGSLLLAPCTYASGEAPLTATVDGIIQPMLKEYRIPGIAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFVLDDKVSQHAPWLKGSALDGVTMAELATYSAGGLPLQFPDKVDSNDKMQTYYRSWSPVYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPIRVTPGVLAAEAYGIKTGSADLLKFAEANMGYQGDALVKSAIALTHTGFYSVGEMTQGLGWESYDYPVTEQVLLAGNSPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~CMY-2~~~AAZ93366~~~beta_lactam unknown +>ARGMiner~~~CMY-2~~~AAZ93366~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGXPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~lnuF~~~CAD91132.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~lnuF~~~CAD91132.1~~~macrolide-lincosamide-streptogramin~~~unknown MLQQKMIERFKEACHEDARIIAALMFGSFAIGEGDEFSDIEFAVFIQNNHFENFDQRSWLNAVSPVAAYFPDDFGHHTALFENGIRGEFHFMRKSDIPVISTWQGYGWFPSLEEAVLLDRSGELSRYASALVGSPPKREGAPLVEGLVLNLISLMLFGANLLNRGEYARAWALLSKAHENLLKLVRLHEGATDHWPTPSRALEKDVSEDSYNRYLACTGSAEPKALCVAYHETWKWSLELFRSVAGPLNIELPRIVIAQTKRLLNESATPHNK ->ARGMiner~~~AAC(3)-IIb~~~AAA26548.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIb~~~AAA26548.1~~~aminoglycoside~~~unknown MNTIESITADLHGLGVRPGDLIMVHASLKAVGPVEGGAASVVSALRAAVGSAGTLMGYASWDRSPYEETLNGARMDEELRRRWPPFDLATSGTYPGFGLLNRFLLEAPDARRSAHPDASMVAVGPLAATLTEPHRLGQALGEGSPLERFVGHGGKVLLLGAPLDSVTVLHYAEAIAPIPNKRRVTYEMPMLGPDGRVRWELAEDFDSNGILDCFAVDGKPDAVETIAKAYVELGRHREGIVGRAPSYLFEAQDIVSFGVTYLEQHFGAP ->ARGMiner~~~rif~~~AAA48273~~~rifampin unknown +>ARGMiner~~~rif~~~AAA48273~~~rifampin~~~unknown MNNTIINSLIGGDDSIKRSNVFAVDSQIPTLYMPQYISLSGVMTNDGPDNQAIASFEIRDQYITALNHLVLSLELPEVKGMGRFGYVPYVGYKCINHVSISSCNGVIWEIEGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYSQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGIVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTIFAHISHSINIIDTSIPVSLWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~ACT-32~~~AIT76088.1~~~beta_lactam unknown +>ARGMiner~~~ACT-32~~~AIT76088.1~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVVEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILDALQ ->ARGMiner~~~OXA-1~~~CAB41446~~~beta_lactam unknown +>ARGMiner~~~OXA-1~~~CAB41446~~~beta_lactam~~~unknown MKNTIHINFAIFLIIANIIYSSASASTDISTVASPLFEGTEGCFLLYDASTNAEIAQFNKAKCATQMAPDSTFKITLSLMAFDAEIIDQKTIFKWDKTPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNRDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVKNSAIENTIENMYLQDLDNSTKLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYVFVSALTGNLGSNLTSSIKAKKNAITILDTLNL ->ARGMiner~~~RosB~~~ZP_04615639~~~polymyxin unknown +>ARGMiner~~~RosB~~~ZP_04615639~~~polymyxin~~~unknown MGTLAHRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGIILLMFGVGLHFSLKDLLAVKSIAIPGAIAQIAVATLLGIGLSSLLGWDWVTGLVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLALVLLPAFAGVIGSENISLSQLFTELAITIGKVIAFITLMIVVGRRLVPWILAKTASTGSRELFTLAVLVLALGIAYGAVGLFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILIREPLAVIASLAIIIFGKSAAAFLLVRMFGHSKRTALTISVSLAQIGEFAFILAGLGISLGLMSEHGRNLVLASAILSIMLNPLLFSLLDRYLIKTETIEDQILEEAVEEEKQIPVNLCNHAVLVGYGRVGSLLGAKLHTAGVPVVVIENARTRVTALREQGIHAVLGNAANVEIMSLARLDCARWLLLTIPNGYEAGEIVASAREKRPDIKIIARAHYDDEVIYISDRGADQVVMGEREIANSMLNILKVDTLTEEDKQVVYPS ->ARGMiner~~~TEM-207~~~AGK40892.1~~~beta_lactam unknown +>ARGMiner~~~TEM-207~~~AGK40892.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~SHV-66~~~ABA06588.1~~~beta_lactam unknown +>ARGMiner~~~SHV-66~~~ABA06588.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADQRFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~adeR~~~ADM92605.1~~~multidrug unknown +>ARGMiner~~~adeR~~~ADM92605.1~~~multidrug~~~unknown MFDHSFSFDCQDKVILVVEDDYDIGDIIENYLKREGMSVIRAMNGKQAIELHASQPIDLILLDIKLPELNGWEVLNKIRQKAQTPVIMLTALDQDIDKVMALRIGADDFVVKPFNPNEVVARVQAVLRRTQFANKATNKNKLYKNIEIDTDTHSVYIHSENKKILLNLTLTEYKIISFMIDQPHKVFTRGELMNHCMNDSDALERTVDSHVSKLRKKLEEQGIFQMLINVRGVGYRLDNPLAVKDDA ->ARGMiner~~~sat-4~~~AAB53445.1~~~aminoglycoside unknown +>ARGMiner~~~sat-4~~~AAB53445.1~~~aminoglycoside~~~unknown MITEMKAEHLKDIDKPSEPFEVIGKIIPRYENENWTFTELLYEAPYLKSYQDEEDEEDEEADCLEYIDNTDKIIYLYYQDDKCVGKVKLRKNWNRYAYIEDIAVCKDFRGQGIGSALINISIEWAKHKNLHGLMLETQDNNLIACKFYHNCGFKIGSVDTMLYANFENNFEKAVFWYLRF ->ARGMiner~~~CTX-M-141~~~AGN11769.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-141~~~AGN11769.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQREQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~mdsb~~~NP_459346.1~~~multidrug multidrug and metal efflux pump +>ARGMiner~~~mdsb~~~NP_459346.1~~~multidrug~~~multidrug and metal efflux pump MKFTHFFIARPIFAIVLSLLMLLAGAIAFLKLPLSEYPAVTPPTVQVSASYPGANPQVIADTVAAPLEQVINGVDGMLYMNTQMAIDGRMVISIAFEQGTDPDMAQIQVQNRVSRALPRLPEEVQRIGVVTEKTSPDMLMVVHLVSPQKRYDSLYLSNFAIRQVRDELARLPGVGDVLVWGAGEYAMRVWLDPAKIANRGLTASDIVTALREQNVQVAAGSVGQQPEASAAFQMTVNTLGRLTSEEQFGEIVVKIGADGEVTRLRDVARVTLGADAYTLRSLLNGEAAPALQIIQSPGANAIDVSNAIRGKMDELQQNFPQDIEYRIAYDPTVFVRASLQSVAITLLEALVLVVLVVVMFLQTWRASIIPLVAVPVSLVGTFALMHLFGFSLNTLSLFGLVLSIGIVVDDAIVVVENVERHISQGKSPGEAAKKAMDEVTGPILSITSVLTAVFIPSAFLAGLQGEFYRQFALTIAISTILSAINSLTLSPALAAILLRPHHDTAKADWLTRLMGTVTGGFFHRFNRFFDSASNRYVSAVRRAVRGSVIVMVLYAGFVGLTWLGFHQVPNGFVPAQDKYYLVGIAQLPSGASLDRTEAVVKQMSAIALAEPGVESVVVFPGLSVNGPVNVPNSALMFAMLKPFDEREDPSLSANAIAGKLMHKFSHIPDGFIGIFPPPPVPGLGATGGFKLQIEDRAELGFEAMTKVQSEIMSKAMQTPELANMLASFQTNAPQLQVDIDRVKAKSMGVSLTDIFETLQINLGSLYVNDFNRFGRAWRVMAQADAPFRMQQEDIGLLKVRNAKGEMIPLSAFVTIMRQSGPDRIIHYNGFPSVDISGGPAPGFSSGQATDAIEKIVRETLPEGMVFEWTDLVYQEKQAGNSALAIFALAVLLAFLILAAQYNSWSLPFAVLLIAPMSLLSAIVGVWVSGGDNNIFTQIGFVVLVGLAAKNAILIVEFARAKEHDGADPLTAVLEASRLRLRPILMTSFAFIAGVVPLVLATGAGAEMRHAMGIAVFAGMLGVTLFGLLLTPVFYVVVRRMALKRENRVDSHDQQA ->ARGMiner~~~TEM-128~~~AAR89359.1~~~beta_lactam unknown +>ARGMiner~~~TEM-128~~~AAR89359.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGEHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MdtM~~~ZP_03217990~~~multidrug unknown +>ARGMiner~~~MdtM~~~ZP_03217990~~~multidrug~~~unknown MQRIIQFFFQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLILGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~CMY-4~~~CAA75402.1~~~beta_lactam unknown +>ARGMiner~~~CMY-4~~~CAA75402.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYARGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~AcrB~~~YP_001871463~~~multidrug unknown +>ARGMiner~~~AcrB~~~YP_001871463~~~multidrug~~~unknown MAKFFIDRPIFAWVIAIIIMLAGALAIMKLPVAQYPTIAPPAITIAANYPGADATTVQNTVTQVIEQNMNGIDNLLYMSSSSDSSGNVQLTLTFNSGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVAGFISEDGTMQQEDIADYVGSNIKDPISRTPGVGDVQLFGSQYAMRIWMDPHKLNNYKLTPVDVINAIKIQNNQVAAGQLGGTPPVPGQELNSSIIAQTRLTNAEEFSQILLKVNTDGSQVRLKDVAIVKLGAESYNIIARYNGKPAAGIGIKLATGANALNTSAAVKAELAKLQPFFPSGLTVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMQEEGLPPKEATKKSMEQIQGALVGIALVLSAVFVPMAFFGGATGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPIKKGDHGPKTGFFGWFNNMFEKSTHHYTDSVANILRSTGRYLVIYLAIVIGMAVLFMRLPSSFLPEEDQGVFLTMVQLPAGATQERTQKVLNQVTDYYLDKEKDVVNSVFTVNGFGFSGQGQNTGLAFVSLKNWDERKGEQNKVPAIVSRASAAFSKIKDGMVFAFNLPAIVELGTATGFDFQLIDQGNLGHQQLTDARNQLLGMAAQHPDMLVGVRPNGLEDTPQFKVEVDQEKAQALGVAISDINTTLGSAMGGSYVNDFIDRGRVKKVYVQADAPFRMLPDDIDKWYVRNNMGQMVSFATFSTAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMDLMQELAAKLPSGVGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAATLRGLENDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVESTLESVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPLFFVVVRRRFSRKTDDIEHSHPVNTPVK ->ARGMiner~~~vanYD~~~ACM47285~~~glycopeptide unknown +>ARGMiner~~~vanYD~~~ACM47285~~~glycopeptide~~~unknown MWKGVKRNVERQNNNENQYGRNRRKDKRRKLFFYRAACAMLGLLIVSVIFGAVYFLRESKDPALPSKENTKTSKDYSFLADVQSEDESPVSEPAISNRANTIDLNIIAANAIVVNKDTDAVLYQKNGTDRIAPASTAKMITALTVLEYCSPEEEMRVGAEIEMIHSDSSTAWLMKGDTLTVRQLLIALMLPSGNDAAYTLAVNTGKTIAGDNSLSNQQAIKIFMDKVNEKARELGVTDSNFVVPDGYDAEGQYTTAYDLAIIAKACLENPIISEIVASNTSYEKWPNGREVTYNNSNELLDPNSPYYRPEVIGLKTGTSSLGGACVVSAAVIDGETYICVAMGSTKESRFQDSVDILDKIKAQ ->ARGMiner~~~BEL-1~~~AAZ04368.1~~~beta_lactam unknown +>ARGMiner~~~BEL-1~~~AAZ04368.1~~~beta_lactam~~~unknown MKLLLYPLLLFLVIPAFAQADFEHAISDLEAHNQAKIGVALVSENGNLIQGYRANERFAMCSTFKLPLAALVLSRIDAGEENPERKLHYDSAFLEEYAPAAKRYVATGYMTVTEAIQSALQLSDNAAANLLLKEVGGPPLLTKYFRSLGDKVSRLDRIEPTLNTNTPGDERDTTTPMSMAQTVSKLIFGDTLTYKSKGQLRRLLIGNQTGDKTIRAGLPDSWVTGDKTGSCANGGRNDVAFFITTAGKKYVLSVYTNAPELQGEERALLIASVAKLARQYVVH ->ARGMiner~~~MdtK~~~Q0T4A9~~~multidrug unknown +>ARGMiner~~~MdtK~~~Q0T4A9~~~multidrug~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRYMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKAKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPEPAVMKRLIQLGLPIALALFLEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~GES-14~~~ADC91899.1~~~beta_lactam unknown +>ARGMiner~~~GES-14~~~ADC91899.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGARNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~CTX-M-106~~~ADZ31262.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-106~~~ADZ31262.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDRTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRHDVLASAARIIAEG ->ARGMiner~~~MexF~~~YP_002800512~~~multidrug unknown +>ARGMiner~~~MexF~~~YP_002800512~~~multidrug~~~unknown MNFSQFFIHRPIFAAVLSLLTLIGGAIALFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAIVGVEGMLYMSSQATSDGKLTLTITFALGTDLDNAQVQVQNRVTRTMPTLPTEVQRLGVTVDKASPDLTMVVHLTSPDERYDMLYLSNYATLNVKDELARLDGVGDVQLFGLGNYSLRVWLDPHRVASRGLTAMDVVDAIREQNRQVAAGSLGAPPAPGDTGFQLSINTQGRLVSEEEFENIIVRAGEDGEITRLKDIARIELGSSQYALRSQLNNRPAVAMPVFQRPGSNAIDISDAVRARMAELKQSFPQGVDYSIVYDPTVFVRGSIEAVVHTLFEAIVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIALGKPPLEATRQAMQEVTGPIVATALVLCAVFVPTAFISGLTGQFYQQFALTIAISTVISAFNSLTLSPALAAVLLKDHHAPKDRFSRVLDRLLGGWLFGPFNRLFERASHGYVATVRHVLRGSAIALLVYGGLMGLTWLGFSSTPTGFVPPQDKQYLVAFAQLPDAATLDRTDAVIQRMSEIAGRHPGVADTVAFPGLSINGFTNSPNSGIVFTPLKSFEERQDPSLSADAIAAELNRRFAEIQDAYIAIFPPPPVQGLGTIGGFRVQVQDRGGLGYEELYRQVQNVIAKSRTVPELAGLFTSYQVNVPQVDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQRFRLEPAQIGQLKVRNNRGEMVPLSTFVRIEGSAGPDRVMHYNGFLTAEINGAAAPGYSSGQAEAAMERLLREELPGGMTFEWTELTYQQILAGNTAIFVFPLCVLLAFLVLAAQYESWGLPLAVILIVPMTLLSAIVGVRIAGGDNNVFTQIGLIVLVGLACKNAILIVEFAKDRQAEGLDRIAAVLEACRLRLRPILMTSIAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMIGVTLFGLLLTPVFFVLVRAFVERREARRNRQALEAQG ->ARGMiner~~~tcmA~~~YP_002193132~~~tetracenomycin unknown +>ARGMiner~~~tcmA~~~YP_002193132~~~tetracenomycin~~~unknown MTDQTTISTAPKALDNAPPAPARGLRGHPWLTLFAVAIGVMMVALDGTIVAIANPAIANDLDATFAEVQWITNGYFLALAVTLITAGKLGDRFGHRQTFLIGVAGFAVTSAAIGFSDSIAMVITFRVGQGLFGALMMPAALGLLRATFPAAKLNMAIGIWGMVIGASTAGGPILGGLLVEHVSWQSVFFINVPVGVLALGLGLVILKDHRADNAPRSFDLVGILLLSAAMFCLVWAFIKAPEPHWGWGGGRTWGFIAAAVIGFVVFALWEKRVAEPLIPLKLFRSVALSAGVVLMVLMAIAFMGGLFFVTFYLQNVHGLSPVDAGLHLLPLTGMMIVGSPLAGALITKAGPRIPLAGGMILTSIAMFGMSTLDKGTGSGLMSLWFALLGLGLAPVMVGATEVIVGNAPIELSGVAGGLQQSAMQIGGALGTAVLGAVMASRVDSELPGNWAGAGLPPMTPEQMDKAAEAVQVGLPPVTDSTPPELAARMADVAHDTFLSGMGLASLVAAGVAAFAVLVALLTKRGQNAEAGAGVAHI ->ARGMiner~~~MdtM~~~YP_002389784~~~multidrug unknown +>ARGMiner~~~MdtM~~~YP_002389784~~~multidrug~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAVQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~MdtL~~~A7ZTR5~~~multidrug unknown +>ARGMiner~~~MdtL~~~A7ZTR5~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~MdfA~~~YP_001176066~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_001176066~~~multidrug~~~unknown MLNRSSSSGHRLGRQALLFPLCLVLYEFSTYIANDMIQPGMLTVVAQYNAGIEWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLTGVVWFIVTCLAILLAQTIEQFMVLRFLQGVSLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVAPWEGMFILFALLAAISYFGLHRAMPETATRLGEKLSLKELGRDYKEVLKNVRFVAGALATGFVSLPLLAWIAQSPVIIISGEKLSSYEYGLLQVPIFGALIIGNLVLARLTSRRSVRSLIILGGWPIAGGLILAAVATVASSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIEVSKHAYIIGGNGLFSLFNLANGVLWVGLMVIFLKNKRVGNALQP ->ARGMiner~~~TEM-90~~~AAK30619.1~~~beta_lactam unknown +>ARGMiner~~~TEM-90~~~AAK30619.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTGGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-71~~~AAL03985.1~~~beta_lactam unknown +>ARGMiner~~~TEM-71~~~AAL03985.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~vanRN~~~AEP40503.1~~~glycopeptide unknown +>ARGMiner~~~vanRN~~~AEP40503.1~~~glycopeptide~~~unknown MDTIVIVDDEKEIANLMTTFLENEGFKVMTFYSGKEALDYIDQNGASLAILDVMLPDLDGFQILQHIRQTFFFPVLMLTAKGENLDKITGLTLGADDYITKPFNPLEVVARVKTQLRRTQRYDQPSHSQSDEEFTKEGLVLKKNSHQVFLFDQEVLITPLEFKILLYLFEHQGTVVSSETLFEEVWQEKYLDNNNTVMAHIARLREKLGEKPRKPKYIKTVWGVGYIIEK ->ARGMiner~~~PEDO-1~~~AJP77059~~~beta_lactam unknown +>ARGMiner~~~PEDO-1~~~AJP77059~~~beta_lactam~~~unknown MKIKFIFCLLLFPLFVFSQNVQEPTDTPKEWSAAYAPFRIVGNVYYVGTYDLACYLITTPKGNILINTGLRSSAAQIKKNVELLGFNFKDIKILLTTQAHYDHLGAMAEIKKLTGAKMMVDEKDASVMKDGGRTDYALGNGKTTYAPIIPDRLLKDGDQIQLGNTSIVMLHHPGHTKGSCSFMLKTKDETRDYSVLIANMPSIVTEKKFSEIPAYPQIEKDYAYTLSSLKKLSFDIWLSSHASQFCLHEKHKPGDKYNPTAFIDRAGYDKVLNSLQLEFDKKIGKK ->ARGMiner~~~VIM-38~~~AGE83081.2~~~beta_lactam unknown +>ARGMiner~~~VIM-38~~~AGE83081.2~~~beta_lactam~~~unknown MLKVISSLLVYLTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRKAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVLALSRTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVTAHKNRSVVE ->ARGMiner~~~VIM-6~~~AAN84550.1~~~beta_lactam unknown +>ARGMiner~~~VIM-6~~~AAN84550.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATRSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGSEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~rosA~~~AAC60781.1~~~polymyxin unknown +>ARGMiner~~~rosA~~~AAC60781.1~~~polymyxin~~~unknown MTDRSETELPPSVNTQPFDNTKVKRTSFSILGAISVSHLLNDMIQSLILAIYPLLQAEFSLSFAQIGLITLTYQLTASLLQPLIGLYTDKHPQPYSLPIGMGFTLSGILLLAVATTFPGGFTWHAALVGTGSSVFHPESSRVAARLPVAATVWLSLFLGGRQFRQRLGPLLAAILIAPYGKGNVGWFSLAALLAIVVLLQVSKWYQQQQRATYGKVVKVSSAKILPKKTVISALAILMVLIFSKYFYLTSISSYYTFYLMHKFGVSVQNAQIHLFVFLFAVAAGTIIGGPLGDRIGRKYVIWGSILGVAPFTLILPYVSLYWTGVLTVIIGLILASAFSAILVYAQELIPGKVGMVSGLFFGFAFGMGGLGAAVLGYVADLTSIELVYQICAFLPLLGIITVFLPNIEDK ->ARGMiner~~~rmtC~~~AIA09786.1~~~aminoglycoside unknown +>ARGMiner~~~rmtC~~~AIA09786.1~~~aminoglycoside~~~unknown MKTNDNYIEEVTAKVLTSGKYSTLYPPTVRRVTERLFDRYPPKQLEKEVRKKLHQAYGAYIGGIDGKRLEKKIEKIIHEIPNPTTDEATRTEWEKEICLKILNLHTSTNERTVAYDELYQKIFEVTGVPTSITDAGCALNPFSFPFFTEAGMLGQYIGFDLDKGMIEAIEHSLRTLNAPEGIVVKQGDILSDPSGESDLLLMFKLYTLLDRQEEASGLKILQEWKYKNAVISFPIKTISGRDVGMEENYTVKFENDLVGSDLRIMQKLKLGNEMYFIVSRL ->ARGMiner~~~EmrD~~~YP_002047829~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_002047829~~~multidrug~~~unknown MLLMLVLLVAVGQMAQTIYIPAIADMAQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLFAMTTHSLTVLIAASAMQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTLWNWRACYAFLLVLCAGVTFSMARWMPETRPAGAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMLPQTGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~SHV-134~~~ADM25824.1~~~beta_lactam unknown +>ARGMiner~~~SHV-134~~~ADM25824.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLREIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~catB9~~~AAL68645.1~~~chloramphenicol unknown +>ARGMiner~~~catB9~~~AAL68645.1~~~chloramphenicol~~~unknown MNFFTSPFSGIPLDQQVTNPNIIVGKHSYYSGYYHGHSFDDCVRYLHPERDDVDKLVIGSFCSIGSGAVFMMAGNQGHRSDWISTFPFFYQDNDNFADARDGFTRSGDTIIGHDVWIGTEAMIMPGVKIGHGAIIASRSVVTKDVAPYEVVGSNPAKHIKFRFSDVEIAMLLEMAWWNWPESWLKESMQSLCSSDIEGLYLNWQSKART ->ARGMiner~~~OXA-142~~~ABY79006.1~~~beta_lactam unknown +>ARGMiner~~~OXA-142~~~ABY79006.1~~~beta_lactam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPSAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEDQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~mexE~~~YP_002086937~~~multidrug unknown +>ARGMiner~~~mexE~~~YP_002086937~~~multidrug~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLGTLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~IMP-4~~~ACJ76644~~~beta_lactam unknown +>ARGMiner~~~IMP-4~~~ACJ76644~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVDAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFGGVNYWLVKNKIEVFYPGPGHTPDNLVVWLPERKILFGGCFIKPYGLGNLGDANLEAWPKSAKLLISKYGKAKLVVPSHSEAGDASLLKLTLEQAVKGLNESKKPSKLSN ->ARGMiner~~~CMY-31~~~ABR18736.1~~~beta_lactam unknown +>ARGMiner~~~CMY-31~~~ABR18736.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGRLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~OpcM~~~YP_001949526~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~OpcM~~~YP_001949526~~~multidrug~~~Multi-drug efflux pumps MDNMHNTNGLMRIAKVAAASTLLATLLAACAVGPDYKRPDVTTPAAFKEAPTLAPGEQAGTWKPAEPADGAHRGEWWKVFGDPVLDALEEQALAANQNLKAAAARVEEARAATRTARSQWFPQVGVGFGPTREGLSSASQFQPQGTGPTNATLWRAQGTVSYEADLFGRVSRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYRRTVELREEALKLVQRRFNEGDISELDVSRAKNELASAQADAVGVARRRAASEHALAILLGKAPADFAFKETPLVPVAVKIPPGLPSALLERRPDVAAAERAMAAANARIGLAKSAYFPKLDITGSFGYEASTLGNLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQNAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGEAPTAVDGAAAAKAEIAGR ->ARGMiner~~~AAC(6')-Ij~~~AAC41392.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ij~~~AAC41392.1~~~aminoglycoside~~~unknown MNIMPVSESLMADWLGLRKLLWPDHDEAHLQEMQRLLQQTQSLQLLAYSDTQQAIAMLEASIRYEYVNGTQTSPVAFLEGIYVLPDYRRSGIATHLVQQVEAWAKPFGCIEFASDAALDNRISHAMHQALGFHETERVVYFKKHIG ->ARGMiner~~~PDC-5~~~ACQ82810.1~~~beta_lactam unknown +>ARGMiner~~~PDC-5~~~ACQ82810.1~~~beta_lactam~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~arnA~~~B1LLK9~~~polymyxin unknown +>ARGMiner~~~arnA~~~B1LLK9~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLIHDKILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKDGNILEIAQCENEATCFGRRTPEDSFLEWHKSAAVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGGGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~ACC-2~~~AAF86691.1~~~beta_lactam unknown +>ARGMiner~~~ACC-2~~~AAF86691.1~~~beta_lactam~~~unknown MRKKMQNTLKLLSVITCLAATAQGAMAANIDESKIKDTVDGLIQPLMQKNNIPGMSVAVTIRGRNYIYNYGLAAKQPQQPVTENTLFEVGSLSKTFAAILASYAQASGKLSLEQSVSHYVPELRGSSFDHVSVLNVGTHTSGLQLFMPEDIKNTTQLMTYLKAWKPADAAGTHRVYSNIGTGLLGMIAAKSLGVSYEDAIEQTILPLLGMNQTYLKVPADQMENYAWGYNKKDEPVHVNMEILGNEAYGIKTTSSDLLRYVQANMGQLKLDGNAKIQHALTATHTGYFKSGEITQDLMWEQLPYPVSLPNLLTGNDMAMTKSVATPIVPPLPPQENVWINKTGSTNGFGAYIAFVPAKKMGIVMLANKNYSIDQRVTVAYKILSSLEVNK ->ARGMiner~~~CFE-1~~~AAM11670~~~beta_lactam unknown +>ARGMiner~~~CFE-1~~~AAM11670~~~beta_lactam~~~unknown MMKKSLCCALLLTASVSTFAAEKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIAKNRPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTQYWPELTGKQWQGISLLHLATYTAGGLPLQVPDDVTDKAALLRFYQNWQPQWAAGAKRLYANSSIGLFGALAVKPSGMRYEEAMTKRVLQPLKLTHTWITVPQSEQKDYAWGYREGRPVHVSPGQLDAEAYGVKSSLVDMTRWVQANMDASQVQEKTLRQGIEIAQARYWRIGDMYQGLGWEMLNWPVNADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVDAAWRILEKLQ ->ARGMiner~~~CTX-M-92~~~ACY74743.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-92~~~ACY74743.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRTQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~BacA~~~ZP_02007607~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_02007607~~~bacitracin~~~unknown MDIALAIKALILGIVEGLTEFLPISSTGHLILAGQLLDFNDEKGKIFEIVIQFGAILAVCWEFRHKIIDVIKGLPNDPRQQRFAINVIVATIPAITLALIFGKAIKAHLFNPIVVASAFIIGGFVILWAEWRERHRGETHDPRANALLEAAKAGAPRIETLDDLRISDAIKVGFAQCFALIPGTSRSGSTIIGGLLFGLSRKVATEFSFFLAIPVIFGATVYELYKSRALLSADDLSIFAVGFVAAFISAFFCVRWLLKFIATHDFRGFAWYRIIFGIIVLVTAYTHLIAWQA ->ARGMiner~~~baeR~~~YP_490321.1~~~multidrug unknown +>ARGMiner~~~baeR~~~YP_490321.1~~~multidrug~~~unknown MTELPIDENTPRILIVEDEPKLGQLLIDYLRAASYAPTLISHGDQVLPYVRQTPPDLILLDLMLPGTDGLTLCREIRRFSDIPIVMVTAKIEEIDRLLGLEIGADDYICKPYSPREVVARVKTILRRCKPQRELQQQDAESPLIIDEGRFQASWRGKMLDLTPAEFRLLKTLSHEPGKVFSREQLLNHLYDDYRVVTDRTIDSHIKNLRRKLESLDAEQSFIRAVYGVGYRWEADACRIV ->ARGMiner~~~VIM-31~~~AFK24647.1~~~beta_lactam unknown +>ARGMiner~~~VIM-31~~~AFK24647.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIHELSRTSAGNVADADLAEWPTSIERIQQRYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~arnA~~~B7N5M0~~~polymyxin unknown +>ARGMiner~~~arnA~~~B7N5M0~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~IND-8~~~ACZ65152.1~~~beta_lactam unknown +>ARGMiner~~~IND-8~~~ACZ65152.1~~~beta_lactam~~~unknown MKKSIQFFIVSMLLSPFANSQVKDFVIEPPIKSNLYIYKTFGVFGGKEYSANAAYLKTKKGVILFDVPWEKVQYQSLMDTIKKRHNLPVIAVFATHSHDDRAGDLSFFNNKGIKTYATLKTNEFLKKDGKATSTEIIQTGKPYHIGGEEFVVDFLGEGHTADNVVVWFPKYNVLDGGCLVKSNSATDLGYIKEANVEQWPKTMNKLKTKYSKATLIIPGHDEWKGGGHVEHTLELLNKK ->ARGMiner~~~OXA-168~~~ADK35872.1~~~beta_lactam unknown +>ARGMiner~~~OXA-168~~~ADK35872.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLAGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~aadA~~~ZP_03028124~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~ZP_03028124~~~aminoglycoside~~~unknown MRSRNWSRTLTERSGGNGAVAVFMACYDCFFVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTKLDIMRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~MdtO~~~NP_709856~~~multidrug unknown +>ARGMiner~~~MdtO~~~NP_709856~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQIVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPNRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRLSESEAVAARECNLENICQTLLQLGQMNPNTPPTPAAKPPSMVADAFTNPDYIRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAMIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPTSQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~BcI~~~ZP_04273511~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04273511~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNEIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~tet42~~~ACD35503.1~~~tetracycline unknown +>ARGMiner~~~tet42~~~ACD35503.1~~~tetracycline~~~unknown MTSPTSLTRRDQNRAWIMLIVLTMLTVIGMTVVLPVLPFVVLQYVSHESDLAIWVGVLEAINGLCAFLVAPFLGRLSDRFGRRPVIIVAAFGAAFSMALFGFGGALWVLVLARVIQGLTAGDLPALFAYLADITPPEQRAKRFGLLGALSGIGTMIGPAIGGLLAAISIQLPVFLTAAVALTIAILSIFLLPESLKPGNRITAIKLRDVQPFAVFKEAFGRKELRGLMIGFGLLALPFGFFVNNFSVLALDSIQWGPTQIGLLTAAVGIIDILIQGVLLGILLPRIGERGVIVSGIVAQMIGLAALAVVASVFAQPWVFIVGALMLAAGQGASQAAMDGAMSNAVGDDEQGWLGGATQSLNAAMGTAAPLIAGALYALVSHAAPYWLGVALMIVAVTVVSRAHIANTAKRPAGETTGDAPAALVETAG ->ARGMiner~~~OprA~~~YP_001058926~~~multidrug unknown +>ARGMiner~~~OprA~~~YP_001058926~~~multidrug~~~unknown MKAMMKPRARRRGARAARRPNGLRAWPLAVAAALVAGCTLAPRYERPAAPVPAHYWSTAAGAPREAGPAAAGGPRAMPDARGGDAGRDARDARLDDWRAYFTDPALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLPSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFAGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGVDAERLRLAQRRYDSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDGCA ->ARGMiner~~~SHV-96~~~ABN49112.1~~~beta_lactam unknown +>ARGMiner~~~SHV-96~~~ABN49112.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDARVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~bcrC~~~ABB80130~~~bacitracin unknown +>ARGMiner~~~bcrC~~~ABB80130~~~bacitracin~~~unknown MSFSELNIDAFRFINDLGKEYSMLNPVVYFLAEYMMYFLALGLVVYWLTRTTKNRLMVIYAVIAFVIAEILGKIMGFLHSNYQPFATLPNVNKLIEHEIDNSFPSDHTILFFSIGFLIFLFHKKTGWLWLVLAFAVGISRIWSGVHYPLDVAAGALLGVLSALFVFWTAPKLSFIHQMLSLYEKVEHRIVPSKSKSNDKSKNF ->ARGMiner~~~CMY-86~~~AHL39327.1~~~beta_lactam unknown +>ARGMiner~~~CMY-86~~~AHL39327.1~~~beta_lactam~~~unknown MMKKSLCCALLLTAPFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADITNNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEVAWHILEKLQ ->ARGMiner~~~mdta~~~AAC75135.2~~~multidrug multi-drug efflux pumps +>ARGMiner~~~mdta~~~AAC75135.2~~~multidrug~~~multi-drug efflux pumps MKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSRSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLIALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~pbp2~~~ZP_02659083~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~ZP_02659083~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDIADFRRALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGTTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTPAQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQSGQAP ->ARGMiner~~~OXA-254~~~BAN10684.1~~~beta_lactam unknown +>ARGMiner~~~OXA-254~~~BAN10684.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAITVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CARB-3~~~AAB19430.2~~~beta_lactam unknown +>ARGMiner~~~CARB-3~~~AAB19430.2~~~beta_lactam~~~unknown MLLYKMCDNQNYGVTYMKFLLAFSLLIPSVVFASSSKFQQVEQDVKAIEVSLSARIGVSVLDTQNGEYWDYNGNQRFPLTSTFKTIACAKLLYDAEQGKVNPNSTVEIKKADLVTYSPVIEKQVGQAITLDDACFATMTTSDNTAANIILSAVGGPKGVTDFLRQIGDKETRLDRIEPDLNEGKLGDLRDTTTPKAIASTLNKLLFGSALSEMNQKKLESWMVNNQVTGNLLRSVLPAGWNIADRSGAGGFGARSITAVVWSEHQAPIIVSIYLAQTQASMAERNDAIVKIGHSIFDVYTSQSR ->ARGMiner~~~QnrS9~~~AHF20043.1~~~quinolone unknown +>ARGMiner~~~QnrS9~~~AHF20043.1~~~quinolone~~~unknown METYNHTYRHHNFSHKDLSDLTFTACTFIRSDFRRANLRDTTFVNCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIEFRACDLKGANFFRTNFAHQVSNRMYFCSAFISGCNLSYANMERVCLEKCELFENRWIGTNLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIAAWQQELILEALGIVVYPD ->ARGMiner~~~cat~~~AAA26615.1~~~chloramphenicol unknown +>ARGMiner~~~cat~~~AAA26615.1~~~chloramphenicol~~~unknown MTFNIIKLENWDRKEYFEHYFNQQTTYSITKEIDITLFKDMIKKKGYEIYPSLIYAIMEVVNKNKVFRTGINSENKLGYWDKLNPLYTVFNKQTEKFTNIWTESDNNFTSFYNNYKNDLFEYKDKEEMFPKKPIPENTIPISMIPWIDFSSFNLNIGNNSSFLLPIITIGKFYSENNKIYIPVALQLHHAVCDGYHASLFINEFQDIIKKVDDWI ->ARGMiner~~~DHA-1~~~CAA76196.1~~~beta_lactam unknown +>ARGMiner~~~DHA-1~~~CAA76196.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~CTX-M-45~~~BAA28282.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-45~~~BAA28282.1~~~beta_lactam~~~unknown MVTKRVQRMMSAAAACIPLLLGSPTLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTARAGADVASLRWVMRWAKPSGAVGDVAQRQYDRAAGIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~dfrA17~~~ABG91835.1~~~trimethoprim unknown +>ARGMiner~~~dfrA17~~~ABG91835.1~~~trimethoprim~~~unknown MKISLISAVSENGVIGSGPDIPWSVKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISSSNENVLVFPSIENALKELSKVTDHVYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDIKFPIMPENFNLVFEQFFMSNINYTYQIWKKG ->ARGMiner~~~pbp2~~~ZP_04656349~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~ZP_04656349~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDIADFRRALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTAAQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQSGQAP ->ARGMiner~~~OXA-90~~~CAJ77809.1~~~beta_lactam unknown +>ARGMiner~~~OXA-90~~~CAJ77809.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~SRT-2~~~1708210A~~~beta_lactam unknown +>ARGMiner~~~SRT-2~~~1708210A~~~beta_lactam~~~unknown MTKMNRCAALIAALILPTAHAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQSKLSFKDPASHYLPDVRGSAFDGVSLLNLATHTSGLPLFVPDDVTNNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKDASVARRWPRRTSVITSAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVEMLANKWFPNDDRVEAAYHIIQALEKR ->ARGMiner~~~CMY-115~~~AIT76092.1~~~beta_lactam unknown +>ARGMiner~~~CMY-115~~~AIT76092.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYKGKPYYFTWGKADIANNHPVTQHTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDITDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMAHWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~CMY-51~~~AFK73431.1~~~beta_lactam unknown +>ARGMiner~~~CMY-51~~~AFK73431.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLNDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVQPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~QnrVC6~~~AGH08253.1~~~quinolone unknown +>ARGMiner~~~QnrVC6~~~AGH08253.1~~~quinolone~~~unknown MEKSKQLYNQVNFSHQDLQEHIFSNCTFIHCNFKRSNLRDTQFINCTFIEQGALEGCDFSYADLRDASFKDCQLSMSHFKGANCFGIELRDCDLKGANFSQVSFVNQVSNKMYFCSAYITGCNLSYANFEQQLIEKCDLFENRWIGANLRGASFKESDLSRGVFSEDCWEQFRVQGCDLSHSELYGLDPRKIDLTGVKICSWQQEQLLEQLGVIIVPD ->ARGMiner~~~TEM-21~~~CAA76794.1~~~beta_lactam unknown +>ARGMiner~~~TEM-21~~~CAA76794.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLRNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~IMP-12~~~CAD12765.1~~~beta_lactam unknown +>ARGMiner~~~IMP-12~~~CAD12765.1~~~beta_lactam~~~unknown MKKLFVLCIFLFLSITASGEVLPDLKIEKLEEGVYLHTSFEEVSGWGVVTKHGLVVLVNNDAYLIDTPFTNKDTEKLVAWFVGRGFTIKGSVSSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKNGKVQATNSFSGVSYWLVKNKIEIFYPGPGHTQDNVVVWLPENKILFGGCFVKPDGLGNLDDANLKAWPKSAKILMSKYGKAKLVVSGHSEIGNASLLKLTWEQAVKGLKESKKPLLPSN ->ARGMiner~~~BLA1~~~ZP_04284182~~~beta_lactam unknown +>ARGMiner~~~BLA1~~~ZP_04284182~~~beta_lactam~~~unknown MEQIYFGDCLLYVSKKSVKNLKGMMILKNKRLLKIGICVGILGLSLTSLEAFTGGSLQVEAKEKKGQIKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKDLTVGNALPHQKRNILTDWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDVAIVWPPNRSPIIIAILSSKDEKEATYDNQLIAEAAEVVVNAFK ->ARGMiner~~~bacA~~~ZP_03381912~~~bacitracin unknown +>ARGMiner~~~bacA~~~ZP_03381912~~~bacitracin~~~unknown MIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQREGESKGRLTLIHILLGMIPAVVLGLVFHDTIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATVLDLYKSWSFLTAADIPMFAVGFVTAFVVALIAIKTFLQLIKRISFIPFAIYRFVVAAAVYVVFF ->ARGMiner~~~tet37~~~AAN28721.1~~~tetracycline unknown +>ARGMiner~~~tet37~~~AAN28721.1~~~tetracycline~~~unknown MVRYYSNIVGKYGIPVQNALKKLAGIHIDYICSTHGPVWHENVEKVVNLYDRMSKYETDPGLVICYGTMYGNTEDRTPSMYEYIWIKENREAKVVSSFAANIYLGWGR ->ARGMiner~~~DHA-6~~~ADT91161.1~~~beta_lactam unknown +>ARGMiner~~~DHA-6~~~ADT91161.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSTSKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~tetS~~~AAT02170~~~tetracycline unknown +>ARGMiner~~~tetS~~~AAT02170~~~tetracycline~~~unknown MEEIKLKIINIGILAHVDAGKTTLTESLLYSSGAIKELGSVDSGTTKTDTMFLERQRGITIQTAITSFQRENVKVNIVDTPGHMDFLADVYRSLSVLDGAILLISAKDGVQSQTRILFHALRKMNIPIIFFINKIDQNGINLPDVYQDIKDKLSDDIIIKQTVNLNLKPYVIDYTEPEQWETVIVGNDYLLEKYTIGKTLNIAELEKEENERIQSCSLYPVYHGSAKNNIGIKQLIEVITSKLFSPTQLNSDKLCGNVFKVEYSDDGQRLVYVRLYSGTLHLRDSVNISEKEKIKVTEMYTSINGELRQIDKAEPGEIIILKNELLKLNNVLGDKKRLPHREILENPLPMLQTTIEPCKSVQREKLLDALFEISDSDPLLQYYVDTVTHEIVLSFLGEVQMEVTCTLIQEKYHIEIETRKPTVIYMERPLKKSEFTIDIEVPPNPFWASIGLSVTPLPLGSGIQYESLVSLGYLNQSFQNAVMEGIRYGCEQGLYGWKLTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQAFRKSGTELLEPYLSFEIYVPQEYLSRAYNDASKYCANILNTKLKGNEVILIGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~TEM-63~~~AAK17194.1~~~beta_lactam unknown +>ARGMiner~~~TEM-63~~~AAK17194.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~SHV-109~~~ACM04459.1~~~beta_lactam unknown +>ARGMiner~~~SHV-109~~~ACM04459.1~~~beta_lactam~~~unknown MRYIRRCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDMPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~rosa~~~YP_001401993~~~fosmidomycin antibiotic efflux +>ARGMiner~~~rosa~~~YP_001401993~~~fosmidomycin~~~antibiotic efflux MTDRSDTGCQQPVNVSVKRTSFSILGAISVSHLLNDMIQSLILAIYPLLQAEFSLSFAQIGLITLSYQLTASLLQPLIGLYTDKHPQPYSLPIGMGFTLSGILLLAVATTFPVVLLAAALVGTGSSVFHPESSRVARMASGGRHGLAQSVFQVGGNFGSALGPLLAAIIIAPYGKGNVGWFSLAALLAIVVLLQVSKWYKLQQRASYGKVLKTSSAKTLPKNKIISTLAILMVLIFSKYFYLTSISSYYTFYLIHKFGVSVQSAQIHLFVFLFAVAAGTIIGGPLGDKIGRKYVIWGSILGVAPFTLALPYASLYWTGILTVFIGVILASAFSAILVYAQELIPGKVGMVSGLFFGFAFGMGGIGAAVLGYVADLTSIELVYQICAFLPLLGIFTALLPNLDDK ->ARGMiner~~~VanRB~~~ZP_04091278~~~glycopeptide unknown +>ARGMiner~~~VanRB~~~ZP_04091278~~~glycopeptide~~~unknown MNEINLKTTGKIQIAVLSFFWRYTFMDIRILLVEDDEHICNAVKVFLSGAGYKVDACFNGDEAHTKFYDNTYQLVILDILLPGMNGHELLREFRKLNNTPVLMMTALSDDENQIRAFDAEADDYVTKPFKIQLLLKRVEALLRRSGAVAKELHYGKLTILPEDFKVFYDDEELLLTLKEFEILMLLVQNNGRTLSHEVILSRVWGYDFDGDGSTVHTHIKNLRAKLPDNIIKTVRGVGYRLEETS ->ARGMiner~~~BL3_l~~~YP_002028533~~~beta_lactam unknown +>ARGMiner~~~BL3_l~~~YP_002028533~~~beta_lactam~~~unknown MRFSLLAVTLAAVLPVAHASAAEAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTENLTALLVQTAKGGVLLDGGMPQMASHLLDNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRTGAKVAANAESAVLLARGGSDDLHFGDDITYPPASVDRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTREGKPVRIAYADSLSAPGYQLQSNPRYPHLIEDYRRSFATVRALPCDVLLTPHPGASNWDYASGSKASAKALTCKAYADAAEQKFDAQLAKETARAR ->ARGMiner~~~QnrB59~~~AFR46590.1~~~quinolone unknown +>ARGMiner~~~QnrB59~~~AFR46590.1~~~quinolone~~~unknown MLSLLYKNTGIDMTLALVGEKIDRNRFTSEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~CMY-54~~~ADK55604.1~~~beta_lactam unknown +>ARGMiner~~~CMY-54~~~ADK55604.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLELDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~BacA~~~YP_001308137~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001308137~~~bacitracin~~~unknown MGLDILFIIKAIIIAIVEGLTEFIPVSSTGHMILASSIINFKGDFVKMYEVVIQLGAILAVVVLYWKKIKNSVIEFFRYIFTKGKEGKTGFNFGINVIVGCIPMLIIGLLFYKKIKSLFNPEAVVIGFIVGGILLIIIENMFRKSNKHATKSLDSITPMQALKVGVFQVLSVWPGMSRSASTIMGGWIAGLSTPIAAEFSFFLAIPAMIGASAKDLMEFDYSGMNLTSWISLVVGFIVAFVVSVVVMDKFVSYLKKRPMRVFAVYRIGAGIVFGILMFFGFLNFTL ->ARGMiner~~~CTX-M-85~~~ACI29347.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-85~~~ACI29347.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTPAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~catB9~~~ZP_01950974~~~chloramphenicol unknown +>ARGMiner~~~catB9~~~ZP_01950974~~~chloramphenicol~~~unknown MNFFTSPFSGIPLDQQVTNPNIIVGKHSYYSGYYHGHSFDDCVRYLHPERDDVDKLVIGSFCSIGSGAVFMMAGNQGHRSDWISTFPFFYQDNDNFADARDGFTRSGDTIIGHDVWIGTEAMIMPGVKIGHGAIIASRSVVTKDVAPYEVVGSNPAKHIKFRFSDVEIAMLLEMAWWNWPESWLKESMQSLCSSDIEGLYLYWQSKART ->ARGMiner~~~MdtM~~~ZP_03835080~~~multidrug unknown +>ARGMiner~~~MdtM~~~ZP_03835080~~~multidrug~~~unknown MQRIIAFFSQRATTLFFPIALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACTATLFTTSMTQFLVARFIQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKFLFAIIAVMGLIALTGLALAMPETVQRGAVPFSALGVVRDFRDVFRNRVFLFGAATLSLSYIPMMSWVAVSPVILIDAGGMTTSQFAWAQAPVFGAVIVANMVVVRFVKDPTRPRFIWRAAPIQLSGLAVLMAGNILWPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNKLPKGTVSASLNMVILTVMAVSVEIGRWLWFNGGRISFHLLAVVAGIAVVFTLAGLLKRVRQHEANALAAES ->ARGMiner~~~OpcM~~~YP_776581~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~OpcM~~~YP_776581~~~multidrug~~~Multi-drug efflux pumps MDNMYNSNGLMRFAKVAAASTLLATLLAACAVGPDYKRPDVSTPAAFKEAPTLAAGEQAGTWKTAEPADGEHRGEWWKVFGDPVLDSLESQALAANQNLKAAAARVEEARAATRTARSQWFPQVGAGFGPTRQGLSSASQLQPQGTGPTNATLWRAQGTVSYEADLFGRVSRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYRRTVELRDQALKLVQRRFNEGDISELDVSRAKNELATAQADAVGVARRRAASEHALAILLGKAPADFAFKETPIVPVAVKVPAGLPSALLERRPDVSAAERAMAAANARIGLAKSAYFPKLDITGAFGYEASTLGNLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQDAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGNAPAPTAVGDAATGKADVAAR ->ARGMiner~~~NmcR~~~CAA79966.1~~~beta_lactam unknown +>ARGMiner~~~NmcR~~~CAA79966.1~~~beta_lactam~~~unknown MRARLPLNALRAFEASARYLNFTKAGLELHVSQAAVSQQVRTLEQMLGVALFTRVPRGLQLTDEGMHLLPSITEALQMMSSAMDKFHEGKIKEVLTIAVVGTFAIGWLLPRITAFLNENPWIDIRILTHNNVVNLAAEGIDASIRFGTGGWINTENILLFQAPHTVLCSPETSKKLYIPSDLKKVCLLRSYRKEEWNNWFKAAGIDPWTITGPIFDSTRLMIDAVKLGDYAALVPYHMFQKELNERSVAKPFEIYATLGGYWLTLQKSRVNHNSEALNVFKEWIIEHSREFVLKS ->ARGMiner~~~OKP-B-2~~~CAJ19610.1~~~beta_lactam unknown +>ARGMiner~~~OKP-B-2~~~CAJ19610.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISEGQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~norM~~~ZP_01259020~~~multidrug unknown +>ARGMiner~~~norM~~~ZP_01259020~~~multidrug~~~unknown MHRYKEEASSLIKLATPVLIASVAQTGMGFVDTVMAGGVSATDMAAVSVASSIWLPSILFGVGLLMALVPVVAQLNGSGRRVKIPFEIQQGVVLALLISVPIIAVLLQTQFILGLMDVEALMAEKTVGYIHAVILAVPAFLLFQTLRSFTDGMSLTKPAMVIGFIGLLLNIPLNWIFVYGKFGAPELGGVGCGVATTIVYWVMFAMLLLYVMTSERLKSINLFGEWHRPQWKAQVRLFKLGFPVAAALFFEVTLFAVVALLVSPLGPIIVAAHQVAINFSSLVFMLPMSIGAAVSIRVGHRLGEENVDGARVASRVGIMVGLALSVLTAILTVLSREWIAELYTSNPEVITLAMHLLVFAAVYQCTDAVQVIAAGALRGYKDMRAIFNRTFIAYWILGLPIGYVLGRTDWIVEPMGAQGFWLGFIIGLSSAAFMLGVRMRWMHRQEPEVQLNFSVQ ->ARGMiner~~~OXA-389~~~AHL30287.1~~~beta_lactam unknown +>ARGMiner~~~OXA-389~~~AHL30287.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPKWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDKVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~vanSI~~~WP_011461302.1~~~glycopeptide unknown +>ARGMiner~~~vanSI~~~WP_011461302.1~~~glycopeptide~~~unknown MAIKLKSEKSKRKNDYSKLKRKLFLQMLLLVSATAATVILMRSVIQKNFSVGDSIVEFLKNTFYLRDSDAVIIYRYIFLHNIEVITFIVILIFLVILLGFSISWFTKYFDEISDGMDKLVGESTAEIALSAELGFMENKLNQIKSNLEKQKKAALEAEQRKNDLVVYLAHDIKTPLTSVIGYLSLLDEAPDMPPEQKAKYVGITLEKAYRLEQLINEFFEITRFNLQTIVLNKEKINLLFMLQQLADEFYPMLTPAQGKQVSVNVPEGLTLWGDADKLARVFNNILKNALAYSYENSVIDISAQKQDKNTVITFTNQGNPIPQEKLETIFEKFFRLDTSRSTNTGGSGLGLAIAKEIANAHGGNIFVQSNSEKTVFTVVLPQKQEKATDRHC ->ARGMiner~~~bacA~~~YP_002236533~~~bacitracin unknown +>ARGMiner~~~bacA~~~YP_002236533~~~bacitracin~~~unknown MSDIHSLLVAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTANTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPPEHEGKGSGRLSLIHILLGMIPAVVLGLIFHDTIKSLFNPVNVMYALIVGGVLLIAAEVLKPKQPRAVGIDDMTYRQAFVIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATVLDVYKSIGFLNMGDVPMFAVGFVMAFIVALIAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~BacA~~~YP_001616633~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001616633~~~bacitracin~~~unknown MFWFDAVLLGVLEGLTEFLPVSSTGHLILLGAWLGHQSEAAKTLDIVIQLGAVLAVVVYFRERLSTTVRGMVRRDPDSLRLALALAFAFLPAAVVGLLFHKAIKAHLFGPGPVAAALIVGGFLMIGVESLRRRRPDQGAPRVEDVTFQRALAIGFAQCFSLWPGASRSMTTIVGGQLSGLSTAAAAEFSFLLAIPTLGAATVFDLVKNGRALLDAPGGIVALVVGLAVSFAVALLVIAVFLRYLKRYGLAPFGWYRIALGALVLWLWIASRSAPAEAGAASASPAPRGDVAAAVDGLARTGDHPSRP ->ARGMiner~~~bacA~~~YP_218139~~~bacitracin unknown +>ARGMiner~~~bacA~~~YP_218139~~~bacitracin~~~unknown MMSDMHSLLIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRQLFGLIGIHFGRPLQREGESKGRLTLIHILLGMIPAVVLGLVFHDTIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATVLDLYKSWSFLTAADIPMFAVGFVTAFVVALIAIKTFLQLIKRISFIPFAIYRFVVAAAVYVVFF ->ARGMiner~~~tetJ~~~YP_002152117~~~tetracycline unknown +>ARGMiner~~~tetJ~~~YP_002152117~~~tetracycline~~~unknown MNKLIIIILLVTVLDAIGIGLIMPVLPTLLNEFVSENRLANHYGILLALYATMQVIFAPILGKLSDKYGRKPILLFSLLGAALDYLLMACSTSLWMLYIGRIIAGITGATGAVCASAMTDVTHSHERTRYFGFLGGAFGVGLIIGPMLGGLLGEISAHTPFIFAAISHSLLFIFSLLCFQETQTTKISTEISALNQETAPHSATGFIKKSLCFWLIAYFIIQLIGQIPATIWVLFTQVRFAWHTTEVGLSLAFLGVLHIFFQAVLAGKLAQKWGERNTVIISMSIDAFGCLLLAWISHVWVMLPALICLAAGGMGQPALQGYLSKSVDHHVQGQLQGTLVSLTNITGIVGPLLFSFIYSYSVEYWDGLLWFIGAMLYSGLLVASYFKQKSPILKKFPS ->ARGMiner~~~mexB~~~AAA74437.1~~~multidrug unknown +>ARGMiner~~~mexB~~~AAA74437.1~~~multidrug~~~unknown MSKFFIDRPIFAWVIALVIMLAGGLSILSLPVNQYPAIAPPAIAVQVSYPGASAETVQDTVVQVIEQQMNGIDNLRYISSESNSDGSMTTTVTFEQGTDPDIAQVQVQNKLQLATPLLPQEVQRQGIRVTKAVKNFLMVVGVVSTDGSMTKEDLSNYIVSNIQDPLSRTKGVGDFQVFGSQYSMRIWLDPAKLNSYQLTPGDVSSAIQAQNVQISSGQLGGLPAVKGQQLNATIIGKTRLQTAEQFENILLKVNPDGSQVRLKDVADVGLGGQDYSINAQFNGSPASGIAIKLATGANALDTAKAIRQTIANLEPFMPQGMKVVYPYDTTPVVSASIHEVVKTLGEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPREAARKSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVIVALILTPALCATMLKPIEKGDHGEHKGGFFGWFNRMFLSTTHGYERGVASILKHRAPYLLIYVVIVAGMIWMFTRIPTAFLPDEDQGVLFAQVQTPPGSSAERTQVVVDSMREYLLEKESSSVSSVFTVTGFNFAGRGQSSGMAFIMLKPWEERPGGENSVFELAKRAQMHFFSFKDAMVFAFAPPSVLELGNATGFDLFLQDQAGVGHEVLLQARNKFLMLAAQNPALQRVRPNGMSDEPQYKLEIDDEKASALGVSLADINSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPDARMNPDDLSKWYVRNDKGEMVPFNAFATGKWEYGSPKLERYNGVPAMEILGEPAPGLSSGDAMAAVEEIVKQLPKGVGYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSMRGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKELHEQGKGIVEAAIEACRMRLRPIVMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMVTATVLAIFWVPLFYVAVSTLFKDEASKQQASVEKGQ ->ARGMiner~~~OXY-2-4~~~CAB42614.1~~~beta_lactam unknown +>ARGMiner~~~OXY-2-4~~~CAB42614.1~~~beta_lactam~~~unknown MIKSSWRKIAMLAAVPLLLASGALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGDALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGAGDYGTTNDIAVIWPEDHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~ADC-2~~~WP_004746565.1~~~beta_lactam unknown +>ARGMiner~~~ADC-2~~~WP_004746565.1~~~beta_lactam~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFINANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~acrA~~~YP_002636111~~~multidrug unknown +>ARGMiner~~~acrA~~~YP_002636111~~~multidrug~~~unknown MNKNRGLTPLAVVLMLTGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTIAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDSIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGDKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~FOX-4~~~CAB89086.1~~~beta_lactam unknown +>ARGMiner~~~FOX-4~~~CAB89086.1~~~beta_lactam~~~unknown MQQRRALALLMLGSLLLAPCTYASGEAPLTATVDGIIQPMLKAYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFELDDKVSQHAPWLKGSAFDGVTMAELATYSAGGLPLQFPDEVDSNDKMQTYYRSWSPVYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPIRATPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAALKSAIALTHTGFHSVGEMTQGLGWESYDYPVTEQVLLAGNSPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~CMY-19~~~BAE48233.1~~~beta_lactam unknown +>ARGMiner~~~CMY-19~~~BAE48233.1~~~beta_lactam~~~unknown MQQRQSILWGAVATLMWAGLAHAGEASPVDPLRPVVDASIQPLLKEHRIPGMAVAVLKDGKAHYFNYGVANRESGASVSEQTLFDIGSVSKTLTATLGAYAVVKGAMQLDDKASRHAPWLKGSVFDSITMGELATYSAGGLPLQFPEEVDSSEKMRAYYRQWAPVYSPGSHRQYSNPSIGLFGHLAASSLKQPFAQLMEQTLLPGLGMHHTYVNVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANIGGVDDKALQQAISLTHKGHYSVGGMTQGLGWESYAYPVTEQTLLAGNSAKVSLEANPTAAPRESGSQVLFNKTGSTNGFGAYVAFVPARGIGIVMLANRNYPIPARVKAAHAILAQLAG ->ARGMiner~~~AcrB~~~BAC77251~~~multidrug unknown +>ARGMiner~~~AcrB~~~BAC77251~~~multidrug~~~unknown MAKFFIDRPIFAWVIAIIVMLAGVLAIMKLPIAQYPTIAPPAVSISANYPGADAKTVQDTVTQIIEQNMNGIDNLMYMSSTSDSSGSVTITLTFESGTDPDIAQVQVQNKLSLATPLLPQEVQQQGLKVEKSSSSFLMVAGFVSDDPNMTQDDIADYVASNIKDPISRSSGVGEVQLFGAQYAMRIWLDPNKLNNYQLTTTDVTSAITEQNNQIAAGKLGGLPGGRGQQLNASIIAQTRLTSPEEFGKILLKVNTDRFQVRLRDVAHIERGRKAMPFTARYNGKPAAGLGIKLATGANALNTAKGVKDELAKMTPFFPQGMKVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIPKGDHGVKTGFFGWFNRMFEKSTHHYTDSVGNILRSTGRYLIIYLLIVVGMGLLFLRLPSLVLPDEDQGILLTMVQARAGATESRTNKVLEECSDYFLNKEKDNVVSVFTVAGFGFNGNGQNNGLAFVSLKDWGERPGSENKVEAIRGARGAHFSQIKVRPVFPFKLPAIIELGTATGFDFELIDQGGLGHEKLTEARNQLLGMVAQHPYVLVGVRPNGLEDTPLFKLIVDQEKAKALGVSITTINSTLSTALGGSYVNDFIDRGRVKKVYVQADAPFRMLPEDINKWYVRGTSGQMVPFSAFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMNLMEQLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISILVVSLCLAALYESWVVPFSVMLVLPLGVIGALASTMRGMNNDVYSQVGLLTTIGLFAKNAILIVEFAKDLMEKEGKGLTEATLEAVRMRLRPILMTSLAFILGILPLVISSGAGSGAQNAVGTGVMGGMTTATVLAIFFVPVFFVVVRRRFSKKNEDLEHSHPVEQPLIAQ ->ARGMiner~~~golS~~~NP_459349.1~~~multidrug unknown +>ARGMiner~~~golS~~~NP_459349.1~~~multidrug~~~unknown MNIGKAAKASKVSAKMIRYYEQIGLIPAASRTDSGYRAYTQADVNQLHFIRRARDLGFSVAEISDLLNLWNNQSRQSADVKRLAQTHIDELDRRIQNMQHMAQTLKALIHCCAGDALPDCPILHTLGQPDDSEPEARTGAVLRRPRRHGLAKRL ->ARGMiner~~~MacB~~~YP_001588793~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_001588793~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQAHARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLAGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~SHV-24~~~BAA84973.1~~~beta_lactam unknown +>ARGMiner~~~SHV-24~~~BAA84973.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARGTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-256~~~CCE94500.1~~~beta_lactam unknown +>ARGMiner~~~OXA-256~~~CCE94500.1~~~beta_lactam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPDAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~BacA~~~YP_002421432~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002421432~~~bacitracin~~~unknown MDLVLIAKALVLAVVEGATEFIPVSSTGHQLLIGHFIGFHSPNNTFEVLIQLGAILAILFVYFGRLWSIATALPNDPRARRFVLAILIAFLPAAIVGGLFSKYIKLYLFNPWIVCATLVAGGIVLLIIDDTVGEPKAAPNDGTHDGPTEHPRKTDVFEFSLPMALKIGLFQCVAMIPGVSRSGATIVGAMLMGASKRSATEFSFYLAMPTMAGAFAKDLLDNYKNLSSNDALLIVIGFVAAFISALIVVRTVLDYVSRHGFWLFAWWRIIVGSLGFAGLILFS ->ARGMiner~~~tlrC~~~AAA26832.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~tlrC~~~AAA26832.1~~~macrolide-lincosamide-streptogramin~~~unknown MRTSPSSQLSLHGVTKRYDDRVVLSQVSLAISPGEKAGIIGDNGAGKSTLLRLLAGEERPDAGEVTVIAPGGVGYLPQTLGLPPRATVQDAIDLAMTELRVLEAELRRTEAALAEAATDEALQDALTAYARLTEQYEVRDGYGADARVDAALHGLGLPGLPRDRRLGTLSGGERSRLALAATLASQPELLLLDEPTNDLDDRAVHWLEEHLSGHRGTVVTVTHDRVFLDRLTATVLEVDGRGVSRHGDGYAGYLAAKAAERRRRQQQYDEWRAELDRNRRLAEANVARLDGIPRKMGKAAFGHGAFRARGRDHGAMSRVRNAKERVERLTANPVAPPADRLSLTARIATADGPGEAPAAELDGVVVGSRLRVPKLRLGAAERLLITGPNGAGKSTLLSVLAGELSPDAGAVSVPGRVGHLRQEETPWPAKLTVLEAFAHNRPGDRDEQADRRLSLGLFEPEALRLRVGELSYGQRRRIELARLVSEPVGLLLLDEPTNHLSPALVEELEEALTGYGGALVLVTHDRRMRSRFTGSHLELREGVVSGAR ->ARGMiner~~~ACT-28~~~AHL39333.1~~~beta_lactam unknown +>ARGMiner~~~ACT-28~~~AHL39333.1~~~beta_lactam~~~unknown MKTKSLCCALLLSTSCSVLAAPMSEKQLSDVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQHWQPQWKPGATRLYANASIGLFGALAVKPSGMSFEQAMTKRVFKPLKLDHTWINVPKEEEAHYAWGYRDGKAIHVSPGMLDAEAYGVKTNIQDMASWLKANMNPDALPDSTLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVEAKTVVEGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKELGIVMLANKSYPNPARVEAAYRILSALQ ->ARGMiner~~~CTX-M-112~~~AEM44652.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-112~~~AEM44652.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~TEM-115~~~AAN04881.1~~~beta_lactam unknown +>ARGMiner~~~TEM-115~~~AAN04881.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BcII~~~ZP_04234658~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04234658~~~beta_lactam~~~unknown MKERVEKMKKNTLLKVGVCVSLLGLTQFVSTISSVKAEQKLEQKVIKNETETISISQLNKNVWVHTELGYFNGEAVPSNGLVLTTSKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKNGYDEPLGDLQTITNMKFGNMKVETFYPGKGHTEDNIVVWLPQYKILAGGCLVKSAEAKDLGNVADAYVNEWSTSIENVLKRYGNMNVVLPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~DHA-2~~~AAG36927.1~~~beta_lactam unknown +>ARGMiner~~~DHA-2~~~AAG36927.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADVQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMMLNDPAEKYQPELALPQWKGITLLDLATYTTGGLPLQVPDAVKNRAELLHFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~CTX-M-134~~~AFX60298.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-134~~~AFX60298.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGSYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~BacA~~~ZP_03747831~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03747831~~~bacitracin~~~unknown MEQTPPSRSSFLILIPLHPEIQTGKRRLKTKKESNMDILTLLKALIMGIVEGLTEFLPISSTGHLIVLGDLLNFHSNGKVFEIAIQLGAVLAVIFEYRQRFTSVLSGLGKDRAANRFVLNLAIAFVPAALMGFLFRKQIKLYLFNPKTVAIMLVLGGLFILWVERRQSKVKPKVKSVDEMHPIDALVVGCAQVCALVPGTSRSGSTIMGGMLWGIERKAATEFSFFLAVPMMIAATGYDVFKHYELFTLQDIGLIAVGFIAAFLAGLLAIKSLLKFVSSKNYVPFAYYRIVFGGLILLTWAMGWVKWAA ->ARGMiner~~~CTX-M-47~~~AAV97952.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-47~~~AAV97952.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGRRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~tetPA~~~NP_348075~~~tetracycline unknown +>ARGMiner~~~tetPA~~~NP_348075~~~tetracycline~~~unknown MVNKPSAYKIYLLFSAITAMGFSLVSTVMIVYHIENVHLNPLQLILVGTTLEAACFLFEIPTGIVADVYSRKLSIVIGTVLTGLGFILEGSISSFIFVLAAQIVWGLGSTFISGSVEAWIAEEENTKELNQIYIKGAQVGQIGSVIGIVLSTIIANFSVRLPMIVGGVLFVIFSIFLAIYMTENNFKPSAPEGLNTFKKMGYTFKSGLDIIKRKAMVMILLSVTLFYGLSSEGYDRLSNAHFLQDTILPRLWNLKPVTWFGIFGVLGMILSVIAMRLMENKLRNDYKNKNGKLLLYINVFYILFMLVFAITRNFGLMLIAYLATNTLRTINEPIFSAWLNGHIDDKARATILSINGQINALGQILGGPIIGIIATNISISIGIACTSLLLTPVLVLYVISMIMDKKIANKVGGGGNYEENN ->ARGMiner~~~FosB~~~ZP_04314903~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04314903~~~fosfomycin~~~unknown MIQSINHICFSVANLEKAIEFYQNILQAKLLVKGRKLAYFDLNGLWIALNVEESIPRNEIQYSYTHIAFTVTNNEFDSLKEILIQNQVNILPGRERDDRDKRSIYFTDPDGHKFEFHTGTLQDRLQYYKEDKKHMTFY ->ARGMiner~~~BcII~~~ZP_04307065~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04307065~~~beta_lactam~~~unknown MKERVDNMKKNTLLKVGLCVSLLGTTQFVSTISSVQASQKVAQIVIKNETGTISISQLNKNVWVHTELGSFNGEAVPSNGLVLNTSKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKSGYEEPFGDLQTVTNLKFGNMKVETFYPGKGHTEDNIVVWLPQYNILVGGCLVKSTSAKDLGNVADAYVNEWSTSIENVLKRYRNINSVVPGHGEVGDRGLLLHTLDLLK ->ARGMiner~~~MacB~~~YP_001176128~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_001176128~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELNNIRRSYPSGDGAVEVLKGITLQVEPGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDISTLDSDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGVERKKRLERAQALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPAKKNHAGVHKEVLPPPTGWGQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDEPQNQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRKQLFPTKSSVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSTQAEQQIERLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQMFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGIALSMLIAFTLQLFLPGWEIGFSPFALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~OXA-454~~~BAR45714.1~~~beta_lactam unknown +>ARGMiner~~~OXA-454~~~BAR45714.1~~~beta_lactam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIENEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLKGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~AAC(6')-Isa~~~BAD10948.2~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~BAD10948.2~~~aminoglycoside~~~unknown MELRGDDVVLRPVADGEGEVLDRIVREPEVAAWWSPPEDFAGMLAIVFEGEVVGAIQFYEETDPEFHHAGIDVFLTARHQGKGLGTDAVRTLARWLVAERGHHRLTIDPAAANTAAIRSYRKVGFRPVGIMRAYGRDHRTGRWQDALLMDLLADELT ->ARGMiner~~~EmrD~~~YP_002228414~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_002228414~~~multidrug~~~unknown MKRQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMVQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLFAMTTHSLTVLIAASAMQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTLWNWRACYAFLLVLCAGVTFRMARWMPETRPAAAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSALMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMLPQNGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~OXA-78~~~AAW81358.1~~~beta_lactam unknown +>ARGMiner~~~OXA-78~~~AAW81358.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTAVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGTPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-360~~~AGZ83156.1~~~beta_lactam unknown +>ARGMiner~~~OXA-360~~~AGZ83156.1~~~beta_lactam~~~unknown MKILILLPLLSCLSLTACSFAVSNSPSQITSTQSIQAIVKLFDQAQSSGVLVIQRGPHLQVYGNELSRAHTEYVPASTFKMLNALIGLQHGKATTNEIFKWDRKKRSFAAWEKDMTLGQAMQASAVPVYQELARRIGLELMQQEVQRIQFGNQQIGQHIDNFWLVGPLKVTPKQEVKFASALAQEQLAFDPRFQQQVKTMLLLQERQAYRLYAKSGWGMDVEPQVGWLTGWIETPQDEIVAFSLNMQMQSNMDPAIRLKILQQALAELGLYPKAEG ->ARGMiner~~~QnrB27~~~ADM52186.1~~~quinolone unknown +>ARGMiner~~~QnrB27~~~ADM52186.1~~~quinolone~~~unknown MTLALVSEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGSDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVAGATFSGSDLSGGEFSAFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDSYQAALLMERLGIAVIG ->ARGMiner~~~NDM-8~~~BAM84089.1~~~beta_lactam unknown +>ARGMiner~~~NDM-8~~~BAM84089.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMGALHAAGIATYANALSNQLAPQEGLVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~mexf~~~CAY49157~~~multidrug multidrug efflux pumps +>ARGMiner~~~mexf~~~CAY49157~~~multidrug~~~multidrug efflux pumps MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYALLNVKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAQPAPTATAFQLSVNTQGRLVTEEEFENIVIRSGANGEITRLKDIARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIEISNEVRGKMDELKKSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPFAATEKAMSEVTGPIVATALVLCAVFIPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLRSHDAPKDRFSKVLDKLFGGWLFKPFNRFFDKASHGYVGTVRRVIRGSGIALFLYAGLMVLTWFGFAHTPTGFVPAQDKQYLVAFAQLPDAASLDRTEDVIKRMSDIALKQPGVEAAVAFPGLSINGFTNSPNSGIVFVTLKPFDERKDPSMSAGAIAGALNGKYSDIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKEVQNIITKSRTTPELFGLFTSYTVNVPQVDAAIDREKAKTHGVAISDIFDTLQIYLGSLYANDFNRFGRTYQVNVQAEQQFRLDEDQIGQLKVRNNKGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGNAAPGYSSGQAQAAIEKLLKEELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIISGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRYVERSEARKAAKALKLETQQ ->ARGMiner~~~CMY-34~~~AAM11664~~~beta_lactam unknown +>ARGMiner~~~CMY-34~~~AAM11664~~~beta_lactam~~~unknown MMKKSLCCVLLLTASFSTLAASKTEQQITDIVNRTITPLMKEQAIPGMAVAIIYQGKPYYFTWGKADIANNRPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLNDPVTRYWPELTGKQWQGISLLHLATYTAGGLPLQIPDEVTDKAALLHFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMGYEEAMTNRILQPLKLKHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSIMDMARWVQVNMDASHVQEKTLQQGIELAQSRYWRIGEMYQGLGWEMLNWPVKADIVINGSDSKIALAALPAVEVNPPAPVVKASWVHKTGSTGGFGSYVAFIPEKNLGIVMLANKSYPNPARVDAAWRILEKLQ ->ARGMiner~~~MdtK~~~ZP_03282274~~~multidrug unknown +>ARGMiner~~~MdtK~~~ZP_03282274~~~multidrug~~~unknown MIEARQLLALAIPVIVAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRVAHQVRQGFWLAGFVSVLIMVVLWNAGHIIRAMQNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFFSMLTFIKRARSMRDIRNDQAFSTPDWHVVTRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGFRLGQGSTLDAQTAARTGLGVGVCMAACTALFTVALREQIALLYNDNPEVVKLASHLMLLAAIYQLSDSIQVIGSGVLRGYKDTRSIFFITFIAYWVLGLPCGYVLALTDLVVDRMGPAGFWMGFIIGLTSAAIMMMLRMRFLQRQPSTVILQRAAR ->ARGMiner~~~EmrD~~~YP_002245675~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_002245675~~~multidrug~~~unknown MKRQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMAQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLFAMTTHSLTVLIAASAMQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTLWNWRACYAFLLVLCAGVTFSMARWMPETRPAAAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSALMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMLPQNGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~VIM-4~~~CAE51025~~~beta_lactam unknown +>ARGMiner~~~VIM-4~~~CAE51025~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFVPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSRTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~mdtF~~~ZP_02901439~~~multidrug unknown +>ARGMiner~~~mdtF~~~ZP_02901439~~~multidrug~~~unknown MANYFIDRPVFAWVLAIILMLAGGLAIMNLPIAQYPQIAPPTITISATYPGADAQTVENSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEEVQQQGISVNKSSSSILMVAAFISENGSLNQYDIADYVASNIQDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLIPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALETARAVKDELNHLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNIRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEDKLPPREATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSIFVAMSLTPALCATILKATPEGGHKPNALFARFNHLFEQSTQHYTDSTRSLLRCTGRYMVVYLLIGAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGSTMVNTTKVLQQVTDYYLTKEKKNVESVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSRINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPAMEILGEAAAGKSTGDAMKFMAELVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVIGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~CfxA~~~AAB17891.1~~~beta_lactam unknown +>ARGMiner~~~CfxA~~~AAB17891.1~~~beta_lactam~~~unknown MEKNRKKQIVVLSIALVCIFILVFSLFHKSATKDSANPPLTNVLTDSISQIVSACPGEIGVAVIVNNRDTVKVNNKSVYPMMSVFKVHQALALCNDFDNKGISLDTLVNINRDKLDPKTWSPMLKDYSGPVISLTVRDLLRYTLTQSDNNASNLMFKDMVNVAQTDSFIATLIPRSSFQIAYTEEEMSADHNKAYSNYTSPLGAAMLMNRLFTEGLIDDEKQSFIKNTLKECKTGVDRIAAPLLDKEGVVIAHKTGSGYVNENGVLAAHNDVAYICLPNNISYTLAVFVKDFKGNKSQASQYVAHISAVVYSLLMQTSVKS ->ARGMiner~~~CMY-7~~~CAB36900.1~~~beta_lactam unknown +>ARGMiner~~~CMY-7~~~CAB36900.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGELAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~npmA~~~BAF80809.1~~~aminoglycoside unknown +>ARGMiner~~~npmA~~~BAF80809.1~~~aminoglycoside~~~unknown MLILKGTKTVDLSKDELTEIIGQFDRVHIDLGTGDGRNIYKLAINDQNTFYIGIDPVKENLFDISKKIIKKPSKGGLSNVVFVIAAAESLPFELKNIADSISILFPWGTLLEYVIKPNRDILSNVADLAKKEAHFEFVTTYSDSYEEAEIKKRGLPLLSKAYFLSEQYKAELSNSGFRIDDVKELDNEYVKQFNSLWAKRLAFGRKRSFFRVSGHVSKH ->ARGMiner~~~APH(3')-IIb~~~CAA62365.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIb~~~CAA62365.1~~~aminoglycoside~~~unknown MHDAATSMPPQAPSTWADYLAGYRWRGQGEGCSAATVHRLEAARRPTLFVKQEVLSAHAELPAEIARLRWLHGAGIDCPQVLNETQSDGRQWLLMSAMPGDTLSALAQRDELEPERLVRLVAAALRRLHDLDPAACPFDHRLERRLDTVRQRVEAGLVDEADFDDDHRGRSATELYRLLLDRRPAVEDLVVAHGDACLPNLLAEGRRFSGFIDCGRLGVADRHQDLALAARDIEAELGAAWAEAFLVEYGGDIDGERLAYFRLLDEFF ->ARGMiner~~~OXA-143~~~ACX70402.1~~~beta_lactam unknown +>ARGMiner~~~OXA-143~~~ACX70402.1~~~beta_lactam~~~unknown MKKFILPILSISTLLSVSACSSIQTKFEDTFHTSNQQHEKAIKSYFDEAQTQGVIIIKKGKNISTYGNNLTRAHTEYVPASTFKMLNALIGLENHKATTTEIFKWDGKKRSYPMWEKDMTLGDAMALSAVPVYQELARRTGLDLMQKEVKRVGFGNMNIGTQVDNFWLVGPLKITPIQEVNFADDFANNRLPFKLETQEEVKKMLLIKEFNGSKIYAKSGWGMDVTPQVGWLTGWVEKSNGEKVAFSLNIEMKQGMPGSIRNEITYKSLENLGII ->ARGMiner~~~TEM-132~~~AAR84298.1~~~beta_lactam unknown +>ARGMiner~~~TEM-132~~~AAR84298.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAVPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-130~~~CAI29263.1~~~beta_lactam unknown +>ARGMiner~~~TEM-130~~~CAI29263.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKPAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGTGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BacA~~~ZP_02884769~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_02884769~~~bacitracin~~~unknown MDWLLACKALILGIVEGLTEFLPVSSTGHLIVAGSLLNFTDEHAKTFDVVIQLGAILAVCWEFRRRIASVVTGLPSRPEARRFTLNVIIATIPAIVLGLLLEKSIKAALFSPVPVAFALVVGGVVILWAEARQRGQGGEGKAARVHDVDNMTPMDALKVGLAQCFALIPGTSRSGSTIIGGMLFGLDRRVATEFSFFLAIPIMFGATAYELYKDWHLLSVDALGIFALGFVAAFVSAFLCVRWLLRYIAGHDFTAFAWYRIGFGLLILLVGYSGALSWTD ->ARGMiner~~~IND-6~~~CAJ32373.2~~~beta_lactam unknown +>ARGMiner~~~IND-6~~~CAJ32373.2~~~beta_lactam~~~unknown MKRRIQFFMVSMMLTPLFSAQVKDFVIEPPIKKNLYIYKTFGVFGGKEYSANSVYLVTKTGVVLFDVPWEKAQYQSLMDTIKKRHNLPVVAVFATHSHDDRAGDLSFFNNKGIKTYATPKTNQFLKRDGKATSTELIKPGKPYRFGGEEFVVDFLGEGHTADNVVVWFPKYKVLDGGCLVKSNSATDLGYIKEANLEQWPKTMHKLKTKYSEAVLIIPGHDEWKGGGHVEHTLELLDKK ->ARGMiner~~~BacA~~~ZP_03466816~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03466816~~~bacitracin~~~unknown MTSLFTAAILGIVEGLTEFLPVSSTGHLIITGHLLGFTGEKAASFEVAIQLGAILAVVVLYWSRFWGLLFPKPGQQFSGIRGLYLLFLTSLPASVLGLLAHDFIKQHLFNPYTVAWALGVGAIMILIVEKKETTPSCFTLDEVTPKLALGIGCFQCLALWPGFSRSAATIMGGMLLGAKRKIAAEYSFIAAVPIMFAATGYDMLKSYKLFTMADMPFLAVGFIVSFLSAWAAVKGFIYLLGKLTLRPFAYYRLALAPLVLFFWS ->ARGMiner~~~MdtL~~~Q0SYP9~~~multidrug unknown +>ARGMiner~~~MdtL~~~Q0SYP9~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKMADRSGRKPVAIPGSALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTFSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~CblA-1~~~ACT97415.1~~~beta_lactam unknown +>ARGMiner~~~CblA-1~~~ACT97415.1~~~beta_lactam~~~unknown MKAYFIAILTLFTCIATVVRAQQMSELENRIDSLLNGKKATVGIAVWTDKGDMLRYNDHVHFPLLSVFKFHVALAVLDKMDKQSISLDSIVSIKASQMPPNTYSPLRKKFPDQDFTITLRELMQYSISQSDNNACDILIEYAGGIKHINDYIHRLSIDSFNLSETEDGMHSSFEAVYRNWSTPSAMVRLLRTADEKELFSNKELKDFLWQTMIDTETGANKLKGMLPAKTVVGHKTGSSDRNADGMKTADNDAGLVILPDGRKYYIAAFVMDSYETDEDNANIIARISRMVYDAMR ->ARGMiner~~~mexD~~~YP_793057~~~multidrug unknown +>ARGMiner~~~mexD~~~YP_793057~~~multidrug~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGSAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTTLGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTIMAVSPPPIDGLGNSGGFALRLMDRGGVGREALLQARDTLLGEVQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVAGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVVPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSRPAPIEQAATAGE ->ARGMiner~~~CTX-M-39~~~AAX54694.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-39~~~AAX54694.1~~~beta_lactam~~~unknown MMRKSVRRAMLMTTACVSLLLASVPLCAQANDVQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAAAAVLKQSETQKGLLSQRVEIKPSDLINYNPIAEKHVNGTMTFGELSAAALQYSDNTAMNKLIAHLGGPDKVTAFARTIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQALRNLTLGNALGDTQRAQLVMWLKGNTTGAASIQAGLPTSWVVGDKTGSGDYGTTNDIAVIWPEGRAPLVLVTYFTQSEPKAESRRDVLAAAARIVTDGY ->ARGMiner~~~FosA3~~~AEG78825.1~~~fosfomycin unknown +>ARGMiner~~~FosA3~~~AEG78825.1~~~fosfomycin~~~unknown MLQGLNHLTLAVSDLASSLAFYQQLPGMRLHASWDSGAYLSCGALWLCLSLDEQRRKTPPQESDYTHYAFSVAEEEFAGVVALLAQAGAEVWKDNRSEGASYYFLDPDGHKLELHVGNLAQRLAACRERPYKGMVFFD ->ARGMiner~~~catB2~~~NP_848167.1~~~chloramphenicol unknown +>ARGMiner~~~catB2~~~NP_848167.1~~~chloramphenicol~~~unknown MTNYFESPFKGKLLTEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLLPDRDDVDQLIIGSFCSIGSGAAFIMAGNQGHRYDWVSSFPFFYMNEEPAFAKSVDAFQRAGDTVIGSDVWIGSEAMIMPGIKIGHGAVIGSRALVTKDVEPYTIVGGNPAKSIRKRFSEEEISMLLDMAWWDWPLEQIKEAMPFLCSSGIASLYRRWQGTSA ->ARGMiner~~~mdtG~~~ZP_03221593~~~multidrug unknown +>ARGMiner~~~mdtG~~~ZP_03221593~~~multidrug~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGCVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~aadA4~~~AAN34365.1~~~aminoglycoside unknown +>ARGMiner~~~aadA4~~~AAN34365.1~~~aminoglycoside~~~unknown MGEFFPAQISEQLSHARGVIERHLAATLDTIHLFGSALDGGLKPDSNIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGNGGPWRPLEVTVVARSEVVPWRYPARRGLQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAVTFFEPVPNEHFSKALFDTIAQWNSESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPIICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~FosB~~~ZP_03227726~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_03227726~~~fosfomycin~~~unknown MIKGVNHFCFSVSDLDLSITFYERVLEARLLVKGRSTAYFDLNGIWLALNVEKDIPRNEINESYTHIAFTVEENDLNILHKRLIDWNVSILQGRDRDEKDRNSIYFADPDGHKFEFHSGTLEERVEFYKNRKKHMEFY ->ARGMiner~~~acrB~~~YP_002383661~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_002383661~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKYQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTNYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDLLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDLEHSHKVEHH ->ARGMiner~~~TEM-86~~~CAC43230.1~~~beta_lactam unknown +>ARGMiner~~~TEM-86~~~CAC43230.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGTGKRGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~APH(2'')-IIIa~~~AAB49832.1~~~aminoglycoside unknown +>ARGMiner~~~APH(2'')-IIIa~~~AAB49832.1~~~aminoglycoside~~~unknown MKQNKLHYTTMIMTQFPDISIQSVESLGEGFRNYAILVNGDWVFRFPKSQQGADELNKEIQLLPLLVGCVKVNIPQYVYIGKRSDGNPFVGYRKVQGQILGEDGMAVFPDDAKDRLALQLAEFMNELSAFPVETAISAGVPVTNLKNKILLLSEAVEDQVFPLLDESLRDYLTLRFQSYMTHPVYTRYTPRLIHGDLSPDHFLTNLNSRQTPLTGIIDFGDAAISDPDYDYVYLLEDCGELFTRQVMAYRGEVDLDTHIRKVSLFVTFDQVSYLLEGLRARDQDWISEGLELLEEDKANNFGANSA ->ARGMiner~~~OXA-420~~~BAP28835.1~~~beta_lactam unknown +>ARGMiner~~~OXA-420~~~BAP28835.1~~~beta_lactam~~~unknown MKLLKILSLVCLSISIGACAEHSMSRAKTSTIPQVNNSIIDQNVQALFNEISADAVFVTYDGQNIKKYGTHLDRAKTAYIPASTFKIANALIGLENHKATSTEIFKWDGKPRFFKAWDKDFTLGEAMQASTVPVYQELARRIGPSLMQSELQRIGYGNMQIGTEVDQFWLKGPLTITPIQEVKFVYDLAQGQLPFKPEVQQQVKEMLYVERRGENRLYAKSGWGMAVDPQVGWYVGFVEKADGQVVAFALNMQMKDGDDIALRKQLSLDVLDKLGVFHYL ->ARGMiner~~~TEM-73~~~CAB65358.1~~~beta_lactam unknown +>ARGMiner~~~TEM-73~~~CAB65358.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSSGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~AAC(6')-Iad~~~BAD12078.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iad~~~BAD12078.1~~~aminoglycoside~~~unknown MIRKATVQDPPLLARLAMNVWKESSLKELVAEFEQMTKSNDAVAFILFIEDQAVGFAQCQLRHDYVEGTNTSPVGYLEGIFVEKEFRHRGYASELLLKCEDWVKTKGCLQFASDCELDNIDSLAFHLKVGFTEANRMICFTKQL ->ARGMiner~~~aadA5~~~AAF17880.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~AAF17880.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~BcI~~~ZP_04228022~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04228022~~~beta_lactam~~~unknown MKGMMILKNKRMLKIGMCVGILGLSVTSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRHNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFHKIGGPKGYEKALRQIGDRVTMSDRFETELNEAIPGDIRDTSTAKAIASNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKSLK ->ARGMiner~~~SHV-27~~~AAG01039.1~~~beta_lactam unknown +>ARGMiner~~~SHV-27~~~AAG01039.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIDDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~VEB-1b~~~AAK14294.1~~~beta_lactam unknown +>ARGMiner~~~VEB-1b~~~AAK14294.1~~~beta_lactam~~~unknown MKIVKRILLVLLSLFFTVEYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKTWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~BacA~~~YP_001896735~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001896735~~~bacitracin~~~unknown MDWLLACKALILGVVEGLTEFLPVSSTGHLIVAGSLLNFTDEHAKTFDVVIQLGAILAVCWEFRRRIGSVVSGLPTRPDARRFTLNVIIATIPAIVLGLLFEKSIKAALFSPVPVAFALVAGGVVILWAEARQRAQGETQARVQSVDDLSALDALKVGLAQCFALIPGMSRSGSTIIGGMLFGLDRRVATEFSFFLAIPIIFGATAYELYKDWHLLSVDALGTFAIGFAAAFVSAFACVRWLLRYIAAHDFTAFAWYRIGFGLLILLVGYSGALSWTE ->ARGMiner~~~OXA-324~~~AGW16406.1~~~beta_lactam unknown +>ARGMiner~~~OXA-324~~~AGW16406.1~~~beta_lactam~~~unknown MYKKTLIVTTSILFLSACSSNSVKQHQIHSMSANKNSEEIKSLFDQAQTTGVLVIKRGKTEEIYGNDLKRASTAYVPASTFKMLNALIGLEHHKATATEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDNFWLVGPLKITPQQETQFAYQLAHKTLPFSKDVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLAIL ->ARGMiner~~~OCH-1~~~CAC04522.1~~~beta_lactam unknown +>ARGMiner~~~OCH-1~~~CAC04522.1~~~beta_lactam~~~unknown MRKSTTLLIGFLTTAAIIPNSGALAASKVNDGDLRRIVDETVRPLMAEQKIPGMAVAITIDGKSHFFGYGVASKESGQKVTEDTIFEIGSVSKTFTAMLGGYGLATGAFSLSDPATKWAPELAGSSFDKITMRDLGTYTPGGLPLQFPDAVTDDSSMLAYFKKWKPDYPAGTQRRYSNPSIGLFGYLAARSMDKPFDVLMEQKLLPAFGLKNTFINVPASQMKNYAYGYSKANKPIRVSGGALDAQAYGIKTTALDLARFVELNIDSSSLEPDFQKAVAATHTGYYHVGANNQGLGWEFYNYPTALKTLLEGNSSDMALKSHKIEKFDTPRQPSADVLINKTGSTNGFGAYAAFIPAKKTGIVLLANRNYPIDERVKAAYRILQALDNKQ ->ARGMiner~~~TEM-215~~~AJO16045.1~~~beta_lactam unknown +>ARGMiner~~~TEM-215~~~AJO16045.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLRNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-423~~~AIY30332.1~~~beta_lactam unknown +>ARGMiner~~~OXA-423~~~AIY30332.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAAPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~QnrB13~~~ABX72042.1~~~quinolone unknown +>ARGMiner~~~QnrB13~~~ABX72042.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRRVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~IMP-2~~~CAB94707.1~~~beta_lactam unknown +>ARGMiner~~~IMP-2~~~CAB94707.1~~~beta_lactam~~~unknown MKKLFVLCVCFLCSITAAGARLPDLKIEKLEEGVYVHTSFEEVNGWGVVSKHGLVVLVNTDAYLIDTPFTATDTEKLVNWFVERGYKIKGTISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFSGVSYWLVKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGNLGDANLEAWPKSAKILMSKYVKAKLVVSSHSEIGDASLLKRTWEQAVKGLNESKKPSQPSN ->ARGMiner~~~OXA-415~~~AIG94927.1~~~beta_lactam unknown +>ARGMiner~~~OXA-415~~~AIG94927.1~~~beta_lactam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNADPSTSNGDYWIEDSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~PDC-3~~~ACQ82808.1~~~beta_lactam unknown +>ARGMiner~~~PDC-3~~~ACQ82808.1~~~beta_lactam~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~BacA~~~ZP_04185253~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04185253~~~bacitracin~~~unknown MADWLIGIIMGAVEGLTEFLPVSSTGHMILTGHLLGFDDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTEGPSLNLLHIIIGMIPAGVLGVLFHSAIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSTADIPLFATGFITAFVVAMLAIVSFLKLLARVKLTPFAYYRFILAAVFYFFFIM ->ARGMiner~~~OXA-2~~~AAS20534~~~beta_lactam unknown +>ARGMiner~~~OXA-2~~~AAS20534~~~beta_lactam~~~unknown MAIRIFAILSSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNADPSTSNGDYWIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~OXA-421~~~AIZ00987.1~~~beta_lactam unknown +>ARGMiner~~~OXA-421~~~AIZ00987.1~~~beta_lactam~~~unknown MTKKTLFFAIGTMFLSACSFNTVEQHQIQSISTNKNSEKIQSLFDQAQTTGVLIIKRGQTEEVYGNDLKRASTEYVPASTFKMLNALIGLEHHKATPTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIDFGNADIGSKIDNFWLVGPLKITPQQEAQFAYELAHKTLPFSKNVQEQVQSMLFIEEKNGRKIYAKSGWGWDVEPQVGWFTGWVVQPQGEIVAFALNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~KPC-6~~~ACB71165.1~~~beta_lactam unknown +>ARGMiner~~~KPC-6~~~ACB71165.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGGYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~mexf~~~YP_001187672~~~multidrug multidrug efflux pump +>ARGMiner~~~mexf~~~YP_001187672~~~multidrug~~~multidrug efflux pump MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRADFPGANPKVIGETVASPLEQAIVGVEGMLYMSSQSTIDGRLTLTVTFALGTDLDNAQVQVQNRVTRTMPTLPTEVQRLGVTVDKASPDLTMVVHLTSPDQRYDMLYLSNYAALNVKDELARLDGVGDVQLFGMGNYSLRVWLDPNKVASRGLTATDVVTAIREQNRQVAAGALGAPPSDAGNSFQLSINAQGRLVSEEEFENIIIRVGDNGEITRLRDIARVELGSNQYALRSLLNNQPAVAIPVFQRPGSNAIEISDSVRERMAELKKSFPQGMDYEVVYDPTIFVRGSIEAVVHTLLEAVVLVVLVVVLFLQTWRASIIPLAAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIALGKSPVEATRQAMKEVTGPIVATALVLCAVFVPTAFISGLSGQFYQQFALTIAISTVISAINSLTLSPALAAILLKDHHAPKDGFSRLLDRLFAGWLFTPFNRMFERASNRYVGTVRRVLRGSSIAMLVYGGLLVLGYLGFSSTPTGFVPQQDKQYLVAFAQLPDAATLDRTEVVIKRMSEIASKHPGVENTVAFPGLSINGFTNSPNSGIVFVTLKDFGLRKDESLSAGAIAAELNGQFGEIQEAYLAIFPPPPVQGLGTIGGFRLQIQDRGNLGYDELYVQTQNILNKARQLPELNPMSVFTSYQVNVPQVDAAIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQADQQFRLEPEQIGQLKVRNNRGEMVPLSTFVKVDDSAGPDRVMHYNGFLTAEINGAAAPGYSSGQAEAAIAKLLNEELPIGMTFEWTDLTYQQILAGNTAIFIFPLCVLLAFLVLAAQYESWSLPLAVILIVPIVLFTAITGVIIAGLDNNIFTQIGLIVLVGLACKNAILIVEFAKEKQEEGLDRVAAVLEACRLRLRPILMTSIAFIMAVVPLVLSSGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRGFVEKREARKVARLQESHA ->ARGMiner~~~AAC(6')-Ih~~~AAC41391.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ih~~~AAC41391.1~~~aminoglycoside~~~unknown MNIMPISESQLSDWLALRCLLWPDHEDVHLQEMRQLITQAHRLQLLAYTDTQQAIAMLEASIRYEYVNGTQTSPVAFLEGIFVLPEYRRSGIATGLVQQVEIWAKQFACTEFASDAALDNQISHAMHQALGFHETERVVYFKKNIG ->ARGMiner~~~TEM-121~~~AAQ01671.1~~~beta_lactam unknown +>ARGMiner~~~TEM-121~~~AAQ01671.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGTGKRGSSGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~CMY-53~~~ADQ38362.1~~~beta_lactam unknown +>ARGMiner~~~CMY-53~~~ADQ38362.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGICLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~BcI~~~ZP_04168943~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04168943~~~beta_lactam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKDDLVEYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNKLIADAAEVIVKALK ->ARGMiner~~~KsgA~~~YP_001175338~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~YP_001175338~~~kasugamycin~~~unknown MNTRVHQGHLARKRFGQNFLNDQFVIESIVSAINPQKGQAMVEIGPGLAALTEPVGERLDEMTVIELDRDLAARLKTHPFLGPKLTIYQQDAMTMNFAELSEKLGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCQIIPVLEVPPTAFTPAPKVESAVVRLVPHAVMPHPVKELRVLSRITTEAFNQRRKTIRNSLGNTFTVDVLTELGIDPAMRAENISVEQYCKLANYISDNAPPKES ->ARGMiner~~~ErmU~~~AAB23456~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmU~~~AAB23456~~~macrolide-lincosamide-streptogramin~~~unknown MPSRYGSRQDLGQNFLVDPDIIKLIRRAPNERKVPSLIWRRRGHVTLPLSRLGRPVTAVELDPRRVKRLSARAPENVKVVGEDILRFRLPTVPHTVVGNIPFHVTTATMRRILVAPAWVSAVLVVQWEVARRRAGIGGCSLVTAESWPWFDFSVLKRVPRFAFRPAPSVDGGILVIERRPEPLVRERREYQDFVRQVFTGRGHGLREILQRIGRVQDSDLSAWFRAHGVSPQALPKDLTAEQWASLWGMARGGRSVPRTRRPRGLPPRTSRGPRRNSG ->ARGMiner~~~GES-1~~~AAF27723.1~~~beta_lactam unknown +>ARGMiner~~~GES-1~~~AAF27723.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~APH(3')-VI~~~AGI04227.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-VI~~~AGI04227.1~~~aminoglycoside~~~unknown MELPNIIQQFIGNSVLEPNKIGQSPSDVYSFNRNNETFFLKRSSTLYTETTYSVSREAKMLSWLSEKLKVPELIMTFQDEQFELMITKAINAKPISALFLTDQELLAIYKEALNLLNSVAIIDCPFISNIDHRLKESKFFIDNQLLDDIDQDDFDAELWGDHRTYLSLWNELTETRVEERLVFSHGDITDSNIFIDKFNEIYFLDLGRAGLADEFVDISFVERCLREDASEETAKIFLKHLKNDRPDKRNYFLKLDELN ->ARGMiner~~~AcrA~~~YP_002240036~~~multidrug unknown +>ARGMiner~~~AcrA~~~YP_002240036~~~multidrug~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKPAQQGAQHMPEVGIVTLKSAPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFVEGSDIQAGVSLYQIDPATYQASYDSAKGDLAKAQAAANMDQLTVKRYQKLLGTKYISQQDYDTAVATAQQSNAAVVAAKAAVETARINLAYTKVTSPISGRIGKSAVTEGALVQNGQTTALATVQQLDPIYVDVTQSSNDFLRLKQELADGRLKQENGKAKVELVTNDGLKYPQAGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGVNPDALLVPQQGVTRTPRGDASVMVVGEGDKVEVRQVTASQAIGDKWLVTDGLKTGDRVIVTGLQKIKPGVQVKAQEVASDDKQQATGNAPSEQTKS ->ARGMiner~~~CARB-19~~~AIL92328.1~~~beta_lactam unknown +>ARGMiner~~~CARB-19~~~AIL92328.1~~~beta_lactam~~~unknown MKKLFLLAGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~MdtK~~~YP_001438116~~~multidrug unknown +>ARGMiner~~~MdtK~~~YP_001438116~~~multidrug~~~unknown MQKYFVEARQLLALAIPVIFAQIAQTSMGVVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQVQQGFVLAGLVSVLIMLVLWNAGYIIHAMHNIDPVLANKAVNYLRALLWGAPGYLFFQVMRNQCEGLAKTTPGMAMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFFCMRFWVKRAGSMRDIRPEPASRRFDWPVIRRLAQIGMPVALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSMSAAVTIRVGFRLGQGSTLEAQTSARTGIIVGICLAVLTALFTVVFREPIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGVLRGYKDTRSIFFITFIAYWVLGLPSGYILGLTDWVVEPMGPAGFWFGFILGLTSAAIMMMWRMRYLQRQPSETILARAAR ->ARGMiner~~~FOX-7~~~CAG28256.1~~~beta_lactam unknown +>ARGMiner~~~FOX-7~~~CAG28256.1~~~beta_lactam~~~unknown MQQRRAFALLTLGSLLLAPCTYARGEAPLTAAVDGIIQPMLKEYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFELDDKVSQHAPWLKGSAFDGVTMAELATYSAGGLPLQFPDEVDSNDKMRTYYRHWSPVYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAIANYAYGYSKEDKPVRATPGVLAAEAYGIKTGSADLLKFTEANMGYQGDAALKSAIALTHTGFYSVGDMTQGLGWESYAYPLTEQALLAGNSPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~tcmA~~~NP_733568~~~tetracenomycin unknown +>ARGMiner~~~tcmA~~~NP_733568~~~tetracenomycin~~~unknown MTSQTTINKPGPGDGSPAGSAPAKGWRGHPWVTLVTVAVGVMMVALDGTIVAIANPAIQDDLDASLADVQWITNAYFLALAVALITAGKLGDRFGHRQTFLIGVAGFAASSGAIGLSGSIAAVIVFRVFQGLFGALLMPAALGLLRATFPAEKLNMAIGIWGMVIGASTAGGPILGGVLVEHVNWQSVFFINVPVGIVAVVLGVMILLDHRAANAPRSFDVVGIVLLSASMFALVWALIKAPEWGWGSGQTWVYIGGSVVGFVLFSVWETKVKEPLIPLAMFRSVPLSAGVVLMVLMAIAFMGGLFFVTFYLQNVHGMSPVDAGLHLLPLTGMMIVASPLAGAMITKVGPRIPLAGGMVCTAVAMFGISTLETDTGSGLMSIWFGLLGLGLAPVMVGATEVIVGNAPMELSGVAGGLQQAAMQIGGSLGTAVLGAVMASKVDSDLAGNWKDAGLPELTPQQADQASEAVRVGVPPVAPGTPAEVAGKITDVAHDTFISGMSLASLVAAGVAVVAVFVAFLTKRGENAEAGAGVGHI ->ARGMiner~~~OXA-251~~~AER57903.1~~~beta_lactam unknown +>ARGMiner~~~OXA-251~~~AER57903.1~~~beta_lactam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVDEVRMQKYLKNFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLTVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~MOX-9~~~WP_042649345~~~beta_lactam unknown +>ARGMiner~~~MOX-9~~~WP_042649345~~~beta_lactam~~~unknown MQQQVRMTLLMASTLLWAGLAQATADTQADPLRPLVDASIRPVLKEHRIPGMAVAVLKDGKAHYFNYGVANRESGARVSEQTLFEIGSVSKTLTATLGAYAVVKGALKLDDKVSQHGPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSIDKMRAYYRQWTPAYRAGSHRQYSNPSIGLFGHLAAQSLGQPFEQLMSQTLLPGLGLEHTYLKVPAEAMANYAYGYSKEDKPIRVNPGVLADEAYGIKTSSADLLKFVGANMTGTGDEAMQQAIALTHKGVYSVGAMTQGLGWESYAYPVTEETLLAGNSGKVILEANPTAPASNETGSQVLFNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPIQARVETAHAILSKLAE ->ARGMiner~~~tet40~~~ZP_03730065~~~tetracycline unknown +>ARGMiner~~~tet40~~~ZP_03730065~~~tetracycline~~~unknown MFSKNSKAYSVYLLFRFVYSLAASMATVLSIVYHLEVVQLDAFQLVLVGTVLETSCFLFEIPTGVVADLYSRRRSVLIGMFLYGLGFLMEGALPWFATVLLAQVVWGCGDTFITGALEAWIASEEEDKPMDKVFLRGIQMGQIGGVLGVVLGTLLGNINLQMPIILGGSLCLLLGLVLVRIMPETNFSPAIEERQGLLKDFVCLFKLNLGFVKGAPVLLALLAITLCGGLASEGFDRLSTAHFLDDTVIPVIGPLNSVTWFGVISLIGNGLGILASQLLIARMEKKGTVSRTSVVMSTSAGYILCLVLFAVGRSFWFMLLMFLLAGLMRTIKEPVLAAWMNDHVEEKMRATVFSTSGQLDSFGQIIGGPIVGLVAQQVSIPWGLVCTAFLLLPALFLVPVAGKKRD ->ARGMiner~~~vgaB~~~AAB95639.1~~~multidrug unknown +>ARGMiner~~~vgaB~~~AAB95639.1~~~multidrug~~~unknown MLKIDMKNVKKYYADKLILNIKELKIYSGDKIGIVGKNGVGKTTLLKIIKGLIEIDEGNIIISEKTTIKYISQLEEPHSKIIDGKYASIFQVENKWNDNMSGGEKTRFKLAEGFQDQCSLMLVDEPTSNLDIEGIELITNTFKEYRDTFLVVSHDRIFLDQVCTKIFEIENGYIREFIGNYTNYIEQKEMLLRKQQEEYEKYNSKRKQLEQAIKLKENKAQGMIKPPSKTMGTSESRIWKMQHATKQKKMHRNTKSLETRIDKLNHVEKIKELPSIKMDLPNREQFHGRNVISLKNLSIKFNNQFLWRDASFVIKGGEKVAIIGNNGVGKTTLLKLILEKVESVIISPSVKIGYVSQNLDVLQSHKSILENVMSTSIQDETIARIVLARLHFYRNDVHKEINVLSGGEQIKVAFAKLFVSDCNTLILDEPTNYLDIDAVEALEELLITYEGVVLFASHDKKFIQNLAEQLLIIENNKVKKFEGTYIEYLKIKDKPKLNTNEKELKEKKMILEMQISSLLSKISMEENEEKNKELDEKYKLKLKELKSLNKNI ->ARGMiner~~~QnrB21~~~ACM50952.1~~~quinolone unknown +>ARGMiner~~~QnrB21~~~ACM50952.1~~~quinolone~~~unknown MTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAILKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKAVLEKCELWENRWMGTQVLGATLSGSDLSGGEFSSFDWRTANFTHCDLTNSELGDLDIRGVDLQGVKLDSYQAALLMERLGIAVIG ->ARGMiner~~~QnrB5~~~ABC17628.1~~~quinolone unknown +>ARGMiner~~~QnrB5~~~ABC17628.1~~~quinolone~~~unknown MTPLLYKNTGIDMTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDSYQASLLMERLGIAIIG ->ARGMiner~~~CARB-3~~~AAA25979~~~beta_lactam unknown +>ARGMiner~~~CARB-3~~~AAA25979~~~beta_lactam~~~unknown MLLYKMCDNQNYGVTYMKFLLAFSLLIPSVVFASSSKFQQVEQDVKAIEVSLSARIGVSVLDTQNGEYWDYNGNQRFPLTSTFKTIACAKLLYDAEQGKVNPNSTVEIKKADLVTYSPVIEKQVGQAITLDDACFATMTTSDNTAANIILSAVGGPKGVTDFLRQIGDKETRLDRIEPDLNEGKLGDLRDTTTPKAIASTLNKFLFGSALSEMNQKKLESWMVNNQVTGNLLRSVLPAGWNIADRSGAGGFGARSITAVVWSEHQAPIIVSIYLAQTQASMEERNDAIVKIGHSIFDVYTSQSR ->ARGMiner~~~MdtL~~~B7NF27~~~multidrug unknown +>ARGMiner~~~MdtL~~~B7NF27~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIAVLMLSLFILKETRPAAPTNSDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~CMY-64~~~ADW84690.1~~~beta_lactam unknown +>ARGMiner~~~CMY-64~~~ADW84690.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASLSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAIAVIYQGKPYYFTWGKADITNNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKAVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~OXA-57~~~CAF74882.1~~~beta_lactam unknown +>ARGMiner~~~OXA-57~~~CAF74882.1~~~beta_lactam~~~unknown MKFRHALSSAFVLLGCIAASAHAKTICTAIADAGTGKLLVQDGDCGRRASPASTFKIAISLMGYDAGFLRNEHDPVLPYRDSYIAWGGEAWKQPTDPTRWLKYSVVWYSQQVAHHLGAQRFAQYAKAFGYGNADVSGDPGQNNGLDRAWIGSSLQISPLEQLEFLGKMLDRKLPVSPTAVDMTERIVESTTLADGTVVHGKTGVSYPLLADGTRDWARGSGWFVGWIVRGKQTLVFARLTQDERKQPVSAGIRTREAFLRDLPRLLAAR ->ARGMiner~~~CTX-M-77~~~CAQ42480.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-77~~~CAQ42480.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQTNSVQQQLKALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIQAGLPKSWVVGDKTGSGDYGTTNDIAIIWPENHAPLVLVTYFTQPEQKAESRRDVLAAAAKIVTRGF ->ARGMiner~~~QnrB34~~~AEL00452.1~~~quinolone unknown +>ARGMiner~~~QnrB34~~~AEL00452.1~~~quinolone~~~unknown MMTLALVGEKIDRNRFTGAKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAILKDAIFKSCDLSMAGFRNVSALGIEIRHCRAQGSDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSSFDWRAANFTHCDLTNSELGDLDVRGVDLQGVKLDSYQASLILERLGIAVIG ->ARGMiner~~~BacA~~~ZP_04433866~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04433866~~~bacitracin~~~unknown MYFAFISERNGDMLFANLWKAIILGIIEGITEWLPISSTGHLILVDEFIKLDLSKDFMEMFNVVIQLGAIMAVVILYFHKLNPFSPKKNGEEKKDTWILWSKVLVACLPAAVIGLKFDDYLDAHFYNFLTVSIMLIVYGIAFIIIEKRNKNVAPKCTNLKDFTYKAALIVGAFQVLALIPGTSRSGATILGAILIGASRFVATEFSFFLGIPVMFGASFLKIFKFLAKGNTFGSEEIIILLTGSIVAFVVSIIAIKFLLNYLKKNDFTVFGWYRVILGAILIGYWLFS ->ARGMiner~~~blaI~~~ABU39978.1~~~beta_lactam unknown +>ARGMiner~~~blaI~~~ABU39978.1~~~beta_lactam~~~unknown MSNQTPSISEAEWEVMKVLWKKGPQTANQVISAIQEQTDWKPKTIRTLLDRLTKKKVVGVDKEQKIYVFFPLYSEEACKHAEAQSFVKRVYGGTVKPLLVQFLEEESLTKEELDELYAILDQKRKE ->ARGMiner~~~MdtN~~~YP_001465586~~~multidrug unknown +>ARGMiner~~~MdtN~~~YP_001465586~~~multidrug~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYKANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASTMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~TEM-206~~~AGK82336.1~~~beta_lactam unknown +>ARGMiner~~~TEM-206~~~AGK82336.1~~~beta_lactam~~~unknown MSIQHFRVTLIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~PER-2~~~CAA63714.1~~~beta_lactam unknown +>ARGMiner~~~PER-2~~~CAA63714.1~~~beta_lactam~~~unknown MNVITKCVFTASALLMLGLSSFVVSAQSPLLKEQIETIVTGKKATVGVAVWGPDDLEPLLLNPFEKFPMQSVFKLHLAMLVLHQVDQGKLDLNQSVTVNRAAVLQNTWSPMMKDHQGDEFTVAVQQLLQYSVSHSDNVACDLLFELVGGPQALHAYIQSLGVKEAAVVANEAQMHADDQVQYQNWTSMKAAAQVLQKFEQKKQLSETSQALLWKWMVETTTGPQRLKGLLPAGTIVAHKTGTSGVRAGKTAATNDAGVIMLPDGRPLLVAVFVKDSAESERTNEAIIAQVAQAAYQFELKKLSAVSPD ->ARGMiner~~~iri~~~AAB41059.1~~~rifampin unknown +>ARGMiner~~~iri~~~AAB41059.1~~~rifampin~~~unknown MSDVIIVGAGPTGLMLAGELRLQGVDVVVVDKDEEPTQFVRALGIHVRSIEIMEQRGLLDKFLAHGRKYPLGGFFAGISKPAPAHLDTAHGYVLGIPQPEIDRILAEHATEVGADIQRGKRVVAIRQDTDNVAAELSDGTTLHARYLVGCDGGRSTVRKLRSTSVFPASRTSADTLIGEMDVTMPADELAAVVAEIRETHKRFGVGPAGNGAFRVVVPAAEVADGRATPTTLDDIKQQLLAIAGTDFGVHSPRWLSRFGDATRLADDYRRDRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPVGLLDTYESERRPVAADVLDNTRAQAELISTAAGPQAVRRLISELMEFEDVKRYLTEKITAISIRYDFGEGDDLLGRRLRNIALTRGNLYDLMRSGRGLLLDQGGQLSVDGWSDRADHIVDTSTELEAPAVLLRPDGHVAWIGDAQAELDTQLSTWFGRSARDRA ->ARGMiner~~~OXA-225~~~AEP43731.1~~~beta_lactam unknown +>ARGMiner~~~OXA-225~~~AEP43731.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKSQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~mexD~~~AAB41957.1~~~multidrug unknown +>ARGMiner~~~mexD~~~AAB41957.1~~~multidrug~~~unknown MSEFFIKRPNFAWVVALFISLGGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVPARRCRAPGTRQGELQHFLATERHAHRGRGYPAVARGQRDPDPTLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLENVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLFKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSSAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLASQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~QnrB61~~~BAN04737.1~~~quinolone unknown +>ARGMiner~~~QnrB61~~~BAN04737.1~~~quinolone~~~unknown MTLALVGEKIDRNRFTSEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~OXA-208~~~CCA94644.1~~~beta_lactam unknown +>ARGMiner~~~OXA-208~~~CCA94644.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~APH(3')-IIc~~~ADQ43421.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIc~~~ADQ43421.1~~~aminoglycoside~~~unknown MEASNPFTDGLRLPRAWQEALADAHIERQSIGVSRADVARVHRPGQTDAFLKSEVIDAFSELGDEIARLRWLQAQGQSAPTVIATTEEGGRRWLLMSALPGRDLASSPELAPRRVAELLADALRGLHAVPVANCPFDQQLASRLQAAQARVEAGLVDADDFDDERLGQSPQQVFAELRATRPAHEDLVVSQGDACLPNLTVTDGRFTGFIDCGRLGVADRYQDLALAARSLVHNFGESRCVAALFQRYGAVPDERRLAFYRLLDEFF ->ARGMiner~~~BacA~~~ZP_03041263~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03041263~~~bacitracin~~~unknown MTEVIKAIILGIIEGLTEFLPVSSTGHLILAGNLLNFEGDAAITFKIVIQLGAVMAVLILYWKRYLEIGANLIRLDFSQSKGLNVVHMILAMLPALIIYLLFKDTIKSQLFGPTPVLIGLVAGGLLMIIAARSRRTETADTMDGINYKQAFGIGLFQCLALWPGFSRSGSTISGGLLLGTSQKAAADFTFLISVPVMFGASLLDLYDSRDLLNSEDFFLMIIGFTTSFLVAMIAVATFIKLIKRLRLEWFALYRFVLAALFYLIVIQ ->ARGMiner~~~LEN-26~~~WP_025712239~~~beta_lactam unknown +>ARGMiner~~~LEN-26~~~WP_025712239~~~beta_lactam~~~unknown MRYVRLCVISLLANLPLAVYAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGVEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTIGELCAAAITMSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDMRDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGARGIVALLGPDGKAERIVVIYMRDTPATIAERNQQIAAIGAALIEHWQR ->ARGMiner~~~GES-20~~~AEZ05108.1~~~beta_lactam unknown +>ARGMiner~~~GES-20~~~AEZ05108.1~~~beta_lactam~~~unknown MRFIHALLLAAIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~TEM-139~~~AAZ23494.1~~~beta_lactam unknown +>ARGMiner~~~TEM-139~~~AAZ23494.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIEMDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BacA~~~ZP_02643483~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_02643483~~~bacitracin~~~unknown MGIDFLFILKALIIAIVEGLTEFVPVSSTGHMILVGDLIHFNTQSGGFPEMYEVVIQLGAILAVVVLYWRKISSSVVEFLSYIFSFIGLKTSGDKRKYEKRLAESKTGFRFGINVIIGTIPAAILGLLFHDEIKEYLFSTKTVAIGFIVGGILLIVIENNFRKRAKRSKIVKDIDKMTYGQSLLVGCFQCLSLWPGMSRSASTIMGGWISGLSTTVATEFTFFLAIPAMVGASGLDLFKFDYSQMNATNWISLILGFIVAFIVSLVVIDKFINYLKKKPMRIFAIYRVFAGIVLAILIFTKVIS ->ARGMiner~~~ACT-23~~~AGU38146.1~~~beta_lactam unknown +>ARGMiner~~~ACT-23~~~AGU38146.1~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALAAPVSEKQLAEVVANTVTPLMKAQSIPGMAVAVIYQGKPHYYTFGKADIAASKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNAALLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMGYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMVPEKVADASLKQGISLAQSRYWRIGSMYQGLGWEMLNWPVEANTVIEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILDALQ ->ARGMiner~~~smeD~~~YP_002706076~~~multidrug unknown +>ARGMiner~~~smeD~~~YP_002706076~~~multidrug~~~unknown MLLSRIRPFALSLAIAATVAACGGQPQAPEQGPGDVTVVTLKSETVGLTRELPGRTNAFLVAEVRPQVNGIVAKRLFTEGGMVKAGEPLYQLDDASYRAQANNARAQLARAEATANAARLSAKRITELAKVDAVSQQDLENAVAAQKQAEADVGAAKASLDAANVTLGYARITAPISGRIGKSSVTQGALVSAGQANALATVQQLDPIYVDLTQSSAELLQLRRELAAGRLQDNQTLPVSILMEDGSTFEHKGTLEFSEVSVDPATGSFGLRVKVDNPDGLLMPGMYVRAVIGGGVRSDAVLVPMQGIARDPKGDTTAMVVDKDNKVEVRPVKVSRTVGDKWLVDDGLKAGDKVIVEGLQKIGPGMPVKATEKGAAPAKPAAAAQPAAPAGDAK ->ARGMiner~~~OXA-63~~~AAU88145.1~~~beta_lactam unknown +>ARGMiner~~~OXA-63~~~AAU88145.1~~~beta_lactam~~~unknown MSKKNFILIFIFVILISCKNTEKISNETTLIDNIFTNSNAEGTLVIYNLNDDKYIIHNKERAEQRFYPASTFKIYNSLIGLNEKAVKDVDEVFYKLMAKSFLESWAKDSNLRYAIKNSQVPAYKELARRIGIKKMKENIEKLDFGNKSIGDSVDTFWLEGPLEISAMEQVKLLTKLAQNELQYPIEIQKAISDITITRANLHITLHGKTGLADSKNMTTEPIGWFVGWLEENDNIYVFALNIDNINSDDLAKRINIVKESLKALNLLK ->ARGMiner~~~OXA-75~~~YP_001707429.1~~~beta_lactam unknown +>ARGMiner~~~OXA-75~~~YP_001707429.1~~~beta_lactam~~~unknown MNIQALLLITSAIFISACSPYIVTANPNYSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRIGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGISSSVRKEITYRGLEQLGIL ->ARGMiner~~~Erm(41)~~~ABW06859.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~Erm(41)~~~ABW06859.1~~~macrolide-lincosamide-streptogramin~~~unknown MDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRVAEADLLAFRWPRRPFRVVASPPYQVTSALIRSLLTPESRLLAADLVLQRGAVHKHAKRAPVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~IND-14~~~ADK38716.1~~~beta_lactam unknown +>ARGMiner~~~IND-14~~~ADK38716.1~~~beta_lactam~~~unknown MKKRIQFFMVSMMLSPLFSAQVKDFVIEPPIKKNLHIYKTFGVFGGKEYSANSVYLVTQKGVVLFDVPWEKVQYQSLMDTIQKRHNLPVIAVFATHSHDDRAGDLSFFNNKGIKTYATAKTNEFLKKDGKATSTEIIKTGKPYRIGGEEFVVDFLGEGHTADNVVVWFPKYNVLDGGCLVKSKAATDLGYIKEANVEQWPKTINKLKSKYSKASLVIPGHDEWKGGGHIEHTLELLNKK ->ARGMiner~~~QnrA2~~~ADU33193.1~~~quinolone unknown +>ARGMiner~~~QnrA2~~~ADU33193.1~~~quinolone~~~unknown MDIIDKVFQQEDFSRQDLSDSRFRRCRFYQCDFSHCQLRDASFEDCSFIESGAVEGCHFSYADLRDASFKACRLSLANFSGANCFGIEFRECDLKGANFSRARFYNQVSHKMYFCAAYISGCNLAYANLSGQCLEKCELFENNWSNANLSGASLMGSDLSRGTFSRDCWQQVNLRGCDLTFADLDGLDPRRVNLEGVKICAWQQEQLLEPLGIIVLPD ->ARGMiner~~~SHV-165~~~AFQ23970.1~~~beta_lactam unknown +>ARGMiner~~~SHV-165~~~AFQ23970.1~~~beta_lactam~~~unknown MRYIRLCIISLLAPLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~vanN~~~AEP40500.1~~~glycopeptide unknown +>ARGMiner~~~vanN~~~AEP40500.1~~~glycopeptide~~~unknown MKKIALIFGGTSAEYEVSLKSAASVLSVLENLNVEIYRIGIASNGKWYLTFSDNETIANDLWLQDKKLNEITPSFDGRGFYDQAEKVYFKPDVLFPMLHGGTGENGTLQGVFECMQIPYVGCGVASSAICMNKYLLHQFAKSVGVMSTPTQLISSTDEQQVIKNFTELYGFPIFIKPNEAGSSKGISKVHTEAELTKALTEAFQFSQTVILQKAVSGVEIGCAILGNDQLLVGECDEVSLATDFFDYTEKYQMTTAKLTVPAKIPVATSREIKRQAQLLYQLLGCQGLARIDFFLTEAGEILLNEINTMPGFTNHSRFPAMMAATGITYQELISTLITLAEDK ->ARGMiner~~~BL1_ec~~~ZP_04004609~~~beta_lactam unknown +>ARGMiner~~~BL1_ec~~~ZP_04004609~~~beta_lactam~~~unknown MAGRFVWKPDPMFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~SHV-89~~~ABA60809.1~~~beta_lactam unknown +>ARGMiner~~~SHV-89~~~ABA60809.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITVSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~ANT(6)-Ib~~~ZP_01994501~~~aminoglycoside unknown +>ARGMiner~~~ANT(6)-Ib~~~ZP_01994501~~~aminoglycoside~~~unknown MRSEKEVYDIVLNFAKTDKRIRMVTLEGSRTNTNIPPDDFQDFDITFFVTDMDSFTSDDKWLDIFGERLILQKPEDMELFPAVEKGFSYLMLFTDDVKIDLTLLPLELIDEYFTWDKLVKLLLDKDNRIVKPPIPTDIDYHLQKPTQRMFDDCCNEFWNTTTYVVKGLCRKEILFAIDHMNDIVRKELLRMISWLIGIKQGFHFSLGKNYKFMKQYAPEELWERLMSTYNMDSYPHMWESFEQCMALFREVSSEVACQLDYQYPLYDEKISNYVIRQKKKYGIEDDNK ->ARGMiner~~~dfrA12~~~CAZ44321~~~trimethoprim unknown +>ARGMiner~~~dfrA12~~~CAZ44321~~~trimethoprim~~~unknown MNSESVRIYLVAAMGANRVIGNGPNIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRHTLVISRQANYRATGRVVVSTLSHAIALASELGNELYVAGGAEIYTLALPHAHGVFLSEVHQTFEGDAFFPMLNETEFELVSTETIQAVIPYTHSVYARRNG ->ARGMiner~~~mdtE~~~ACI76101~~~multidrug unknown +>ARGMiner~~~mdtE~~~ACI76101~~~multidrug~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENTAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~CTX-M-36~~~BAD16611.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-36~~~BAD16611.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~SHV-164~~~CCK86744.1~~~beta_lactam unknown +>ARGMiner~~~SHV-164~~~CCK86744.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGEQGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~SHV-39~~~AAN77730.1~~~beta_lactam unknown +>ARGMiner~~~SHV-39~~~AAN77730.1~~~beta_lactam~~~unknown ALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDS ->ARGMiner~~~IMP-18~~~AEQ73520.1~~~beta_lactam unknown +>ARGMiner~~~IMP-18~~~AEQ73520.1~~~beta_lactam~~~unknown MKKLFVLCVFFLCNIAAADDSLPDLKIEKLEKGVYVHTSFEEVKGWGVVTKHGLVVLVKNDAYLIDTPITAKDTEKLVNWFIEHGYRIKGSISTHFHGDSTAGIEWLNSQSISTYASELTNELLKKDNKVQATNSFSGVSYSLIKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGNLGDANLEAWPKSAKILMSKYGKAKLVVSSHSEIGNASLLQRTWEQAVKGLNESKKPLQPSS ->ARGMiner~~~EmeA~~~ABX75558~~~multidrug unknown +>ARGMiner~~~EmeA~~~ABX75558~~~multidrug~~~unknown MKNKKSMMNLAIPYLFLVFLGAGLVIPVLPTLKEQMHFSGTTMGMMISIFAIAQLVASPVAGALSDKIGRKKLIAIGMIIFSFSELLFGLAQAKTGFYISRALGGVAAAMLMPSVTAYVADMTTIAERPKAMGLVSAAISGGFIIGPGVGGFIAHFGIRVPFYVAAILAFLGFILTITILREPERTIESHQEIEKVSFLDILKNPLFGSLFIIILISSFGLQAFESIYSIMASINFGFSMSEIALVITVSGILALFFQLFLFDAIVNKIGELGLIQLTFFASAIFIAVIAFTKSNLVVALSTFVVFLAFDLFRPAVTTYLSKHAGNRQGVINGLNSAFTSFGNILGPMAAGYMFDLNHLFPYYISAIILLGTGFLSLFLNRKNFSKI ->ARGMiner~~~BacA~~~YP_001374431~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001374431~~~bacitracin~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLLGFDDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTEGPSLNLLHIIIGMIPAGVLGVLFHSTIKEVLFGPGPVVISLIAGGILMIVAEKFSKPSTARTLDEITYKQAFAIGMFQCLALWPGFSRSGSTISGGLIARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDVLSVADLPLFASGFITAFIVAMLAIVSFLKLLARVKLTPFAYYRFILAAVFYFFLM ->ARGMiner~~~smeR~~~AAD51348.1~~~multidrug unknown +>ARGMiner~~~smeR~~~AAD51348.1~~~multidrug~~~unknown MSTSPATSTKILIVEDEPRLASVLRDYLAAAGMASEWVDDGGQVIDAFARYQPDLVLLDLMLPQRDGVDLCRELRASSDVPVIMVTARVEEIDRLLGLEIGADDYICKPFSPREVVARVMAVLRRYRPDPGARANGGLHIDEPAARATWNGKGLDLTPVEYRLLRTLLATPGRIWARDELLDRLYLDHRVVVDRTVDSHVRNLRRKLADAGMEGEPIRSVYGMGYSYEP ->ARGMiner~~~OXA-217~~~AEO44980.1~~~beta_lactam unknown +>ARGMiner~~~OXA-217~~~AEO44980.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTAVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~tetM~~~CAJ67339.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~CAJ67339.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYTSGAIAESGSVDTGTTRTDTTFLERQRGITIQTAVTSFQWKDIKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISARDGVQAQTRILFHALNKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSMEIIIKQKVELHPNMCVMSCTEPEQWDVVIEGNDYLLEKYTLGKSLEILELEQEEIRRFQNCSLYPVYHGSAKSNIGIEQLIEVITNKFYSSTYRKKSELCGNVFKIEYSEERQRLAYVRLYGGILHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLQYYVDSTTHEIILSFLGKVQMEVTCALLQEKYHVEVKIKKPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRNDLTFFTNGRSVCLTELKGYYVTTGESVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~Bcr~~~ZP_03283366~~~multidrug unknown +>ARGMiner~~~Bcr~~~ZP_03283366~~~multidrug~~~unknown MTTRPHSSFKIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSAQMTLSTYILGFAIGQLLYGPLADSLGRKPVILGGTLIFAGAAVACALAQTIDHLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLVAPMVGGAVLVWFSWHAIFWILAIAALLASAMIFFFIDETLPVERRQKFHIRTTLGNFASLFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIELNHVSPQHFGYYFALNIVFLFVMTIINSRFVRRVGALNMFRAGLWIQFVMAIWLVVSAFFGVGFWALVIGVAAFVGCVSMISSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNTAWPMLWAIAFCATSSVLFYLYASRSRKVAN ->ARGMiner~~~ACT-20~~~CAA06639~~~beta_lactam unknown +>ARGMiner~~~ACT-20~~~CAA06639~~~beta_lactam~~~unknown MMIKSLCCALLLGLSCSALAAPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKSHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPEAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILEALQ ->ARGMiner~~~OKP-B-4~~~CAJ19612.1~~~beta_lactam unknown +>ARGMiner~~~OKP-B-4~~~CAJ19612.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTPATMVERNQQIAGIGAALIEHWQR ->ARGMiner~~~MIR-11~~~AIT76112.1~~~beta_lactam unknown +>ARGMiner~~~MIR-11~~~AIT76112.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVTSSAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWLIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~CTX-M-90~~~ACQ99521.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-90~~~ACQ99521.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAVAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~vanXYE~~~AAL27443.1~~~glycopeptide unknown +>ARGMiner~~~vanXYE~~~AAL27443.1~~~glycopeptide~~~unknown MKKNYLRLINENNEIKDSERPSHLVQAPFAQTNILVDPMVAIQLEKLIKTTGLDSQIITIDGYRSKETQQALWDETIQEKGLEFAHKYVAKPGCSEHEIGLAVDLGLATKENDFIRPSFTDSPIVDKFLKHMTDFGFILRYQKGKESITNINYEPWHFRYVGTPHSSIMVQQNWVLEEYIEFIESIRGTAYEA ->ARGMiner~~~vanHD~~~AAM09850.1~~~glycopeptide unknown +>ARGMiner~~~vanHD~~~AAM09850.1~~~glycopeptide~~~unknown MQEKIDITVFGCERDEAAVFRKLSSEYGVTVSLIEDVVSEHNAKLADGCQCVSVSHKAELSEQLLLALKHAGVKYISTRSIGFNHIDIQAAGQLGMAVGTVAYSPGSVADYTVMLMLMLLRGTKSVLRGTQKQNYCLNDCRGKELQDLTVGVLGTGRIGQAVMERLEGIGCKVLAYDRTHKAGANYVSFCELLKSSDIVTLHVPLAEDTRHMIGREQLEMMKREALLINTARGALVDTAALVAALKEQKIGGAALDVLEGEEGIFYHECTQKTIGHPYLSVLQKMPNVIVTPHTAYHTDRVLVDTVSNTIRNCLNFERSLGNV ->ARGMiner~~~OXA-309~~~CCW28916.1~~~beta_lactam unknown +>ARGMiner~~~OXA-309~~~CCW28916.1~~~beta_lactam~~~unknown MKTLQLALIALITTFGSACTTISPSVETAKNHQQQSAQQQIQQAFDQLQTTGVIVIKDKHGLHSYGNDLSRAQTPYVPASTFKMLNALIGLEHGKATRTEVFKWDGQKRSFPAWEKDMTLGQAMQASAVPVYQELARRIGVDLMQKEVQRIGYGNQQIGTVVDNFWLVGPLQITPVQEVLFVEKLANTQLAFKPDVQHTVQDMLLIEQKPNYKLYAKSGWGMDLEPQVGWWAGWVETSTGEKAYFALNMQMKTGISASVREQLVKQSLTALGII ->ARGMiner~~~BacA~~~ACQ53948~~~bacitracin unknown +>ARGMiner~~~BacA~~~ACQ53948~~~bacitracin~~~unknown MLLILKAIIIGIVEGITEFLPVSSTGHLIIVGSLIGFDGTVYRKAYTDMFSVVIQLGAILAVVVLYWDKIMSTLKNFLPSDRVPVKKCGLKFWINIGIASIPAAAIGMPFNDKIEEKLFYPLPVTIALIVGAIWMIYAENRYRNNSKTTSIDDINAKQAIIIGLFQCLALWPGMSRSASTIIGAWIAGLSTVAGAEFSFFLAIPIMIGASGVSLIKHNVFSTCSSIELIALAIGFIVSFVVALVVIDKFIAFLKKKPMKVFAVYRIALGIVLMVLIYSNIISWH ->ARGMiner~~~DHA-14~~~AIT76107.1~~~beta_lactam unknown +>ARGMiner~~~DHA-14~~~AIT76107.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLHFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~CTX-M-94~~~ADK11041.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-94~~~ADK11041.1~~~beta_lactam~~~unknown MMRKSVRRAMLMTTACVSLLLASVPLCAQANDVQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAAAAVLKQSETQKGLLSQRVEIKPSDLINYNPIAEKHVNGTMTLGELSAAALQYSDNTAMNKLIAHLGGPDKVTAFARTIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQALRNLTLGNALGDTQRAQLVMWLKGNTTGAASIQAGLPTSWVVGDKTGSGGYGTTNDIAVIWPEGRAPLVLVTYFTQSEPKAESRRDVLAAAARIVTDGY ->ARGMiner~~~APH(6)-Ic~~~AAB00446~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Ic~~~AAB00446~~~aminoglycoside~~~unknown MERWRLLRDGELLTTHSSWILPVRQGDMPAMLKVARIPDEEAGYRLLTWWDGQGAARVFASAAGALLMERASGAGDLAQIAWSGQDDEACRILCDTAARLHAPRSGPPPDLHPLQEWFQPLFRLAAEHAALAPAASVARQLLAAPREVCPLHGDLHHENVLDFGDRGWLASDPHGLLGERTFDYANIFTNPDLSDPGRPLAILPGRLEARLSIVVATTGFEPERLLRWIIAWTGLSAAWFIGDGDGEGAAIDLAVNAMARRLLD ->ARGMiner~~~mexh~~~YP_788913~~~multidrug multi drug efflux pumps +>ARGMiner~~~mexh~~~YP_788913~~~multidrug~~~multi drug efflux pumps MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAISAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~catI~~~P58777~~~chloramphenicol unknown +>ARGMiner~~~catI~~~P58777~~~chloramphenicol~~~unknown MEKKITGYTTVDISQWHRKEHFEAFQSVAQCTYNQTVQLDITAFLKTVKKNKHKFYPAFIHILARLMNAHPEFRMAMKDGELVIWDSVHPCYTVFHEQTETFSSLWSEYHDDFRQFLHIYSQDVACYGENLAYFPKGFIENMFFVSANPWVSFTSFDLNVAAMDNFFAPVFTMGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRMLNELQQYCDEWQGGA ->ARGMiner~~~TEM-55~~~ABB97007.1~~~beta_lactam unknown +>ARGMiner~~~TEM-55~~~ABB97007.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAEPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MacB~~~YP_001453760~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_001453760~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELSNIRRSYPSGEEQVEVLKGISLQIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRIAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKRRLERAEELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGDEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIHDGEIVRNPPSQKTQAGQGIAEPVVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLNVIQKQPWVTSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGNTFNREQLNGRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGIGLSMLIAFTLQMFLPGWEIGFSPVALLTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~cat-TC~~~AAB53259.1~~~chloramphenicol unknown +>ARGMiner~~~cat-TC~~~AAB53259.1~~~chloramphenicol~~~unknown MNFNKIDLDNWKRKEIFNHYLNQQTTFSITTEIDISVLYRNIKQEGYKFYPAFIFLVTRVINSNTAFRTGYNSDGELGYWDKLEPLYTIFDGVSKTFSGIWTSVKNDFKEFYDLYLSDVEKYNGSGKLFPKTPIPENAFSLSIIPWTSFTGFNLNINNNSNYLLPIITAGKFINKGNSIYLPLSLQVHHSVCDGYHAGLFMNSIRNCQIGLMTGFYNIDKPTVLFTVGFLMSLTCPLI ->ARGMiner~~~VIM-13~~~ABC94518.1~~~beta_lactam unknown +>ARGMiner~~~VIM-13~~~ABC94518.1~~~beta_lactam~~~unknown MLKVISSLLFYMTASLMAVASPLAHSGESRGEYPTVSEIPVGEVRLYQIDDGVWSHIATHTFDGVVYPSNGLIVRDGDELLLIDTAWGTKNTVALLAEIEKQIGLPVTRSVSTHFHDDRVGGVDALRAAGVATYASPSTRRLAEAEGNEVPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVLELSRTSAGNVADADLAEWPGSVERIQQHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHTNRSVAE ->ARGMiner~~~KsgA~~~A7ZW03~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~A7ZW03~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTFNFGELAEKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPHPVKDVRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTGMGIDPAMRAENISVAQYCQMANYLAENAPLQES ->ARGMiner~~~acrA~~~YP_002396538~~~multidrug unknown +>ARGMiner~~~acrA~~~YP_002396538~~~multidrug~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQAAYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETSRINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~QnrB74~~~AHX97725.1~~~quinolone unknown +>ARGMiner~~~QnrB74~~~AHX97725.1~~~quinolone~~~unknown MALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNSSALGIEIRHCRAQGADFRGASFMNMIITRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWIGAQVLGATFSGSDFFGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~AmrB~~~YP_001579800~~~multidrug unknown +>ARGMiner~~~AmrB~~~YP_001579800~~~multidrug~~~unknown MARFFIDRPVFAWVIALFIMLGGAFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTALIEREMNGAPGLLYTSATSSAGMASLYLTFRQGVNADLAAVEVQNRLKTVEARLPEPVRRDGIQVEKAADNIQLVVSLTSDDGRMTGVQLGEYASANVVQALRRVDGVGRVQFWGAEYAMRIWPDPVKLAGHGLTASDIAAAVRAHNARVTVGDIGRSAVPDSAPIAATVFADAPLKTPADFGAIALRSQADGAALYLRDVARIEFGGSDYNYPSYVNGKVAVGMGIKLAPGSNAVATEKRVRAAMDELSAYFPPGVKYQIPYETSSFVRMSMNKVVTTLIEAAVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGVMYAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEERLAPYDATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFALSLAVSIAFSAFLALSLTPALCATLLKPVDDGHHDKRGFFGWFNRFVARSTQRYATRVGAMLNKPLRWLVVYGALTAVAALMLTRLPSAFLPDEDQGNFMVMVIRPQGTPLAETMQSVREVESYLRREEPAAYTFALGGFNLYGEGPNGGMIFVTLKNWNARQAARDHVQAIVARVNERFAGTPNTTVFAMNSPALPDLGSTGGFDFRMQNRGGLDYAAFSAAREQLLAAGAKDAALTDLMFAGTQDAPQLKLDIDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVIVQADGQHRLDPDDVKKLRVRNARGEMVPLAAFATLHWTLGPPQLTRYNGYPSFTINGSAAPGHSSGEAMAAMERIAATLPAGIGHAWSGQSFEERLSGAQAPLLFALSVLVVFLALAALYESWSIPLAVMLVVPLGVIGAVLGVTLRAMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLLAQRMSLAQAALEAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQTAIGTGVLGGVIAATVLAVFLVPLFFVVVGRLFGFGTRRRGNAPAVNVEGSR ->ARGMiner~~~rif~~~YP_717427~~~rifampin unknown +>ARGMiner~~~rif~~~YP_717427~~~rifampin~~~unknown MNNTIINSLIGGDDSIKRSNVFAVDSQIPTLYMPQYISLSGVMTNDGPDNQAIASFEIRDQYITALNHLVLSLELPEVKGMGRFGYVPYVGYKCINHVSVSSCNGVIWEIEGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYSQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGIVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTVFAHISHSINIIDTSIPVSLWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~CTX-M-61~~~ABN09669.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-61~~~ABN09669.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~smeC~~~YP_001974111~~~multidrug unknown +>ARGMiner~~~smeC~~~YP_001974111~~~multidrug~~~unknown MKPMLLRALAAATMTAVLGGCVSMAPHYQRPEAPVPAQFGNAGTGEAEPALAMPAWRDVFLEPRLQQVIALALQNNRDLRVAVLQVEKERAQYRIQRAALLPSVDASGSVTRSRVSDANSETGVTQQTESDAVQVGISSWELDLFGRIRSLKNEALQNWLASAENQRAARTSLVAEVATAWLALAADEQSLAFTQQTLDSQQQTLQRTEARHAQGLASGLDLSQVQTSVEAARGALAKLQTQQAQDRDALQLLVGAPLDPALLPTAQALDGSVALAPLPANLPSSVLLQRPDVLSAEHALQAANADIGAARAAFFPTLALTANYGHSSTALSTLFSAGTRGWSFAPSITAPIFHAGALKASLDASKIGKDIGIAQYEKAIQQAFSEVADALATRDHLTAQLDAQRALVADSQRSYTLAEARYRTGLDGYLQSLDAQRSLYAAQQDLIALQQQEAGNRVTLFKVLGGGADAR ->ARGMiner~~~CMY-87~~~BAL63057.1~~~beta_lactam unknown +>ARGMiner~~~CMY-87~~~BAL63057.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLNDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVQPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~CTX-M-22~~~AAL86924.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-22~~~AAL86924.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~MdtM~~~YP_001882916~~~multidrug unknown +>ARGMiner~~~MdtM~~~YP_001882916~~~multidrug~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVFRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGSLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mexH~~~YP_001346278~~~multidrug unknown +>ARGMiner~~~mexH~~~YP_001346278~~~multidrug~~~unknown MQKPVLIASAALLCAAVVGIAVYATGSAKKDTGGFAGYPPVKVALATVERRVVPRLFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVERGQLLVQLNDAVEQADLIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIEQKAIRAPFSGRLGIRRVHLGQYLGIAEPVASLVDARTLKSNFSLDESTSPELKVGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQAVLENPEGLLAAGMFASVRVSRKADAPSLSVPETAVTYTAYGDTVFVARQEGDQPLSARRVSVRVGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAVPPVPVAGR ->ARGMiner~~~ANT(3'')-Ii-AAC(6')-IId~~~AAL51021~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-Ii-AAC(6')-IId~~~AAL51021~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAAQLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKVRQHSLALAGSAAEDFFNPVPEGDLFKALSDTLKLWNSQPDWEGDERNVVLTLSRIWYSAATGKIAPKDIVANWAIERLPDQHKPVLLEARQAYLGRGEDCLASRADQLAAFVHFVKHEATKLLGAMPVMSKTKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFEKQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~CTX-M-26~~~AAN75444.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-26~~~AAN75444.1~~~beta_lactam~~~unknown MMRKSVRRAMLMTTACVSLLLASVPLCAQANDVQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAAAAVLKQSETQKGLLSQRVEIKPSDLINYNPIAEKHVNGTMTFGELSAAALQYSDNTAMNKLIAHLGGPDKVTAFARTIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQALRNLTLGNALGDTQRAQLVMWLKGNTTGAASIRAGLPTSWVVGDKTGSGDYGTTNDIAVIWPEGRAPLVLVTYFTQSEPKAESRRDVLAAAARIVTDGY ->ARGMiner~~~BacA~~~YP_002852100~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002852100~~~bacitracin~~~unknown MGLDFIYILKAIVIAIVEGLTEFVPVSSTGHMILVGSLIGFRSGAVGAEEFAKMFEVVIQLGAILAVVVLYWNKLWGAVVDFFTYIFTLGKKGQSGFRFGINVIIASIPALIVGLTLYDDIKSLFKPSAVVVGFIVGGILLLVIENRFRNRASKRGKTTTVDVFDLTPMQSLKVGLFQVLSMWPGMSRSASTIMGGWIAGLATPVAAEFSFFLAVPAMIGSSAMDLFKFDYSIMNSTYIVALIIGFIVAFIVSIIVMDMFVSYLKKKPMRIFAIYRIIAGIVLLALSLAGIVTLTM ->ARGMiner~~~mdtE~~~ZP_02901198~~~multidrug unknown +>ARGMiner~~~mdtE~~~ZP_02901198~~~multidrug~~~unknown MNRKRKLLIPLLFCSAMLTACDDKSTENAAAMTPEVGVVTLSPGSVNVMSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQGDALVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREINATKAIGNQWIVTAGLQAGDRVIVSGLQRIHPGIKARAISSSQENASTESKQ ->ARGMiner~~~catIII~~~CAA30695.1~~~chloramphenicol unknown +>ARGMiner~~~catIII~~~CAA30695.1~~~chloramphenicol~~~unknown MNYTKFDVKNWVRREHFEFYRHRLPCGFSLTSKIDITTLKKSLDDSAYKFYPVMIYLIAQAVNQFDELRMAIKDDELIVWDSVDPQFTVFHQETETFSALSCPYSSDIDQFMVNYLSVMERYKSDTKLFPQGVTPENHLNISALPWVNFDSFNLNVANFTDYFAPIITMAKYQQEGDRLLLPLSVQVHHAVCDGFHVARFINRLQELCNSKLK ->ARGMiner~~~CMY-69~~~AGE45504.1~~~beta_lactam unknown +>ARGMiner~~~CMY-69~~~AGE45504.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALPALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~OXA-246~~~AHC31001.1~~~beta_lactam unknown +>ARGMiner~~~OXA-246~~~AHC31001.1~~~beta_lactam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKNFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~vanRD~~~AAM09851.1~~~glycopeptide unknown +>ARGMiner~~~vanRD~~~AAM09851.1~~~glycopeptide~~~unknown MNEKILVVDDEKELADLVEVYLKNDGYTVYKFYNGRDALNCIESVELDLAILDIMLPDIDGFQICQKIREKFYFPVIMLTAKVEDGDKIMGLSVADDYITKPFNPLEVVARVKAQLRQYMRYKQPCIKQEAERTEYDIRGMTISKSSHKCILFGKEIQLTPTEFSILWYLCERQGTVVSTEELFEAVWGERYFDSNNTVMAHIGRLREKMKEPSRNPKFIKTVWGVGYTIEK ->ARGMiner~~~catB7~~~CAW29378~~~chloramphenicol unknown +>ARGMiner~~~catB7~~~CAW29378~~~chloramphenicol~~~unknown MGNYFESPFRGKLLSEQVSNPNIRVGRYSYYSGYYHGHSFDDCARYLMPDRDDVDKLVIGSGAAFIMAGNQGHRAEWASTFPFHFMHEEPAFAGAVNGYQPAGDTLIGHDVWIGTEAMFMPGVRVGHGAIIGSRALVTGDVEPYAIVGGNPARTIRKRFSDGDIQNLLEMAWWDWPLADIEAAMPMLCTGDIPALYRHWKQRQATA ->ARGMiner~~~OXA-137~~~ABW76138.1~~~beta_lactam unknown +>ARGMiner~~~OXA-137~~~ABW76138.1~~~beta_lactam~~~unknown MSKKNFILIFIFVILTSCKNTEKISNETTLIDNIFTNSNAEGTLVIYNLNDDKYIIHNKERAEQRFYPASTFKIYNSLIGLNEKAVKDVDEVFYKYNGEKVFLESWAKDSNLRYAIKNSQVPAYKELARRIGLKKMKENIEKLDFGNKSIGDSVDTFWLEGPLEISAMEQIKLLTKLAQNELPYPIEIQKAVSDITILEQTYNYTLHGKTGLADSKNMTTEPIGWFVGWLEENDNIYVFALNIDNINSDDLAKRINIVKESLKALNLLK ->ARGMiner~~~VanRE~~~AAL27445.1~~~glycopeptide VanE-type regulator +>ARGMiner~~~VanRE~~~AAL27445.1~~~glycopeptide~~~VanE-type regulator MAKILILDDEKEIVSLLSTLLSNEGYEVYEAMSGKESLEIIENNKIDLAILDVMLPDISGFDVLQSIREKQFFPVLMLTARGQDMDKITGLSMGADDYIVKPFNPFEVLARVKTQLRRYQTYNSQSIDETNEYAKNGLNISVNSRKVFLYDEEIKLTPIEFDILWYLCRNEGRVISSEELFEKVWKEDYLENNNTVMAHIAKIREKMHEKPRQPNIIKTVWGVGYTIEK ->ARGMiner~~~RosB~~~YP_001605795~~~polymyxin unknown +>ARGMiner~~~RosB~~~YP_001605795~~~polymyxin~~~unknown MHHSTPLITTIVGGLVLAFLFGTLAHRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKSIAIPGAIAQIAVATLLGMGLSHFLGWDLITGLVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFAGVMGNETTNLNQLFTELAITIGKVIAFITLMIVVGRLVPWILAKTASTGSRELFTLAVLVLALGIAYGAVELFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILVNEPLAVIASLAIIIFGKSAIAFALVRLFGHSKRTALTISVSLAQIGEFAFILAGLGISLGLLSGHGRNLVLASAILSIMLNPLLFTLLDRYLAKTETMEDLILEEAVEEEKQIPVDLCNHVLLVGYGRVGSLLGAKLHAEGIPLVVIENSRPRVEALREQGINAVFGNAANADVMALGRLDCARWLLLTIPNGYEAGEIVASARIKRPDLEIIARAHYDDEVVYISDRGANQVVMGEREIANSMLNMLKIETLTEEDKMPVCPI ->ARGMiner~~~TolC~~~CAR61098~~~multidrug unknown +>ARGMiner~~~TolC~~~CAR61098~~~multidrug~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNAAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNVHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~MdfA~~~ZP_02685589~~~multidrug unknown +>ARGMiner~~~MdfA~~~ZP_02685589~~~multidrug~~~unknown MQNRLQSGGRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLATLLAKNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFILFAALAAIAFFGLQRAMPETATRRGETLSFKALGRDYRLVIKNRRFVAGALALGFVSLPLLAWIAQSPIIIISGEQLNSYEYGLLQVPVFGALIAGNLVLARLTSRRTVRSLIVMGGWPIVAGLIIAAAATVVSSHAYLWMTAGLSVYAFGIGLANAGLVRLTLFSSDMSKGTVSAAMGMLQMLIFTVGIEVSKHAWLSGGNGLFSLFNLANGILWLLLMLVFLKDKRTGNLQTV ->ARGMiner~~~OXA-165~~~ADK35869.1~~~beta_lactam unknown +>ARGMiner~~~OXA-165~~~ADK35869.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDVKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~MdtP~~~YP_002415221~~~multidrug unknown +>ARGMiner~~~MdtP~~~YP_002415221~~~multidrug~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDTGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~acrA~~~ACB17731~~~multidrug unknown +>ARGMiner~~~acrA~~~ACB17731~~~multidrug~~~unknown MTNLKSDTRGLHMNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQAAYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~OXA-22~~~AAD12233.1~~~beta_lactam unknown +>ARGMiner~~~OXA-22~~~AAD12233.1~~~beta_lactam~~~unknown MKRRHAAIGALLAALATFAHAEHPICTIVADAATGKAVLHEGKCDERVTPASTFKLALAVMGFDHGFLKDEHTPVEHFRHGDPDWGGEAWHQPIDPALWLKYSVVWYSQRITHAMGAQTFQAYVRKLGYGNMDVSGDPGKNNGMDRSWITSSLKISPEEQVGLMRRIVNRQLPVSAHTYEMLDRTVQTWQVPGGWAVQGKTGTAGPAPGNTSPDGTWDQAHAYGWFVGWARKGDKTYVFANLIQDDKVEPTSGGIRSRDALFARLSEVLAFAGH ->ARGMiner~~~BacA~~~YP_001527590~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001527590~~~bacitracin~~~unknown MRPPETTGCRRAGCGAFPVPFCGTKAPMTLGTLMEALVLGLLEGLTEFIPVSSTAHLLLAAHFIGFESTGKTFEVLIQLGAVLAILVVYFQRFLRVAVQLPTSPGARRFVIGIVLAFLPAAIIGVALHGFIKEVLFESPKVVCAALIFGGIVLVLLDRIPFKPRFNNAMGFPLTTALGIGFFQCLALIPGMSRSGSTIVGAMVLGADKRSAAEFSFFLALPTMLGAFVYDFWKNRNALSMDDGLLIAVGFVAAFCAALLVIRTLLDFVSRHGYAVFGWWRILIGGVSLIALYLGH ->ARGMiner~~~VIM-32~~~AEZ49857.1~~~beta_lactam unknown +>ARGMiner~~~VIM-32~~~AEZ49857.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAAGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSSTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~TEM-8~~~CAA46344.1~~~beta_lactam unknown +>ARGMiner~~~TEM-8~~~CAA46344.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~FosB~~~ZP_01172195~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_01172195~~~fosfomycin~~~unknown MLFHNPKYIVSTNFFLFCRFYSDGKVKKAGKGMQLINHFCFSVSNLERSISFYQEAFNAKLLISGRTTAYFDLDGLWIALNEEKDIPRGEIKDSYTHIAFHAEEGELAGLEKRLIKAGAVILSGRSRHEKDGRSIYFEDPDGHKFEYHTGTLQDRLDYYRKEKPYMTFY ->ARGMiner~~~ErmO~~~1815179A~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmO~~~1815179A~~~macrolide-lincosamide-streptogramin~~~unknown MARPTQRARTLSQNFIADRATAERVARLAVPDRGRPPLLLEVGAGNGALTEPLARRSRELHAYEIDPRLVPGLRARFARSPHVHVVAGDFLTARPPRTPFAVAGNVPFSRTADIVDWCLTAPGLTDATLLTQLEYARKRTGDYGRWTLLTVLTWPRHEWRLVGRVGRSRFCPAPRVDAGILRIERRPTALLTGAAARRDWADLVELGFSGVGGSLHASLRRAHSRRRVDAAFRAARLDPGVLVGEVAPDRWLRLHEELTA ->ARGMiner~~~BcII~~~ZP_04073068~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04073068~~~beta_lactam~~~unknown MKERVDNMKKNTLLKVGLCVSLLGTTQFVSTISSVQASQKVEQKVIKNEKGTISISQLNKKVWVHTELGYFNGEAVPSNGLVLNTSKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKSGYEEPLGDLQTITSLKFGNTKVETLHPGKGHTEDNIVVWLPQYKILAGGCLVKSAEAKDLGNVADAYVNEWSTSIENVLKRYGNINSVVPGHGEVGDRGLLLHTLDLLK ->ARGMiner~~~SHV-156~~~AFQ23962.1~~~beta_lactam unknown +>ARGMiner~~~SHV-156~~~AFQ23962.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQPERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTTFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~MdtO~~~ZP_03069403~~~multidrug unknown +>ARGMiner~~~MdtO~~~ZP_03069403~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~CeoA~~~ZP_02465588~~~multidrug unknown +>ARGMiner~~~CeoA~~~ZP_02465588~~~multidrug~~~unknown MAILRTSRSRIAAAALAVVVIAGLGTFGAIRVNASAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAADAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNQDGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIAGGLAAGDRIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRAQAAQGNARA ->ARGMiner~~~CTX-M-79~~~ABO09821.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-79~~~ABO09821.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~MdtH~~~YP_001570858~~~multidrug unknown +>ARGMiner~~~MdtH~~~YP_001570858~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCAMGAILFIVCAIFNAWLLPAWKLSTVRTPVREGMRRVISDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLIMSLSMIPIGLAGNLQQLFTLICAFYIGSVIAEPARETLSASLTDARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKTLAQPELPWMMLGIIGFITFLALGWQFSHKRTPRQYTGARRLI ->ARGMiner~~~EmrD~~~YP_002217746~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_002217746~~~multidrug~~~unknown MKRQRNVNLMLMLVLLVAVGQMAQTIYIPAIADMAQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLFAMTTHSLTVLIAASAMQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTLWNWRACYAFLLVLCAGVTFSMARWMPETRPAGAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQYIGSGVLAWLSAMLPQTGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~catB10~~~CAI47810.1~~~chloramphenicol unknown +>ARGMiner~~~catB10~~~CAI47810.1~~~chloramphenicol~~~unknown MTNYFESPFKGKLLADQVKNPNIKVGRYSYYSGYYHGHSFDECARFLLPDRDDIDQLIVGSFCSIGTGASFIMAGNQGHRYDWASSFPFFYMKEEPAFSGALDAFQKAGDTVIGSDVWIGSEAMIMPGINVGHGAVIGSRALVTKDVEPYTIVGGNPAKPIKKRFSDEEIAMLLKMNWWDWPTEKIEEAMPLLCSSNIVGLHRYWQGFAV ->ARGMiner~~~OXA-46~~~AFP97030.1~~~beta_lactam unknown +>ARGMiner~~~OXA-46~~~AFP97030.1~~~beta_lactam~~~unknown MAIRFFTILLSTFFLTSFVYAQEHVVIRSDWKKFFSDLQAEGAIVIADERQAKHTLSVFDQERAAKRYSPASTFKIPHTLFALDADAVRDEFQVFRWDGVNRSFAGHNQDQDLRSAMRNSTVWVYELFAKDIGEDKARRYLKQIDYGNVDPSTIKGDYWIDGNLKISAHEQILFLRKLYRNQLPFKVEHQRLVKDLMITEAGRSWILRAKTGWEGRFGWWVGWIEWPTGPVFFALNIDTPNRTDDLFKREAIARAILRSIDALPPN ->ARGMiner~~~BacA~~~YP_695835~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_695835~~~bacitracin~~~unknown MGIDFLFILKALIIAIVEGLTEFVPVSSTGHMILVGDLIHFNTQSGGFPEMYEVVIQLGAILAVVVLYWRKISSSVVEFLCYIFSFIGLKTSGDKRKYEKRLAESETGFRFGINVIIGTIPAAILGLLFHDEIKEYLFSTKTVAIGFIVGGILLIVIENNFRKRAKRSKIVKDIDKMTYGQSLLVGCFQCLSLWPGMSRSASTIMGGWISGLSTTVATEFTFFLAIPAMVGASGLDLFKFDYSQMNATNWISLILGFIVAFIVSLVVIDKFINYLKKKPMRVFAIYRVFAGIVLAILIFTKVIS ->ARGMiner~~~NDM-7~~~AFQ31613.1~~~beta_lactam unknown +>ARGMiner~~~NDM-7~~~AFQ31613.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMNALHAAGIATYANALSNQLAPQEGLVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~QnrB14~~~ABX72044.1~~~quinolone unknown +>ARGMiner~~~QnrB14~~~ABX72044.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIDNSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLTERLGIAIIG ->ARGMiner~~~CTX-M-156~~~AIS67612.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-156~~~AIS67612.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPNAESRRDVLASAAKIVTDGL ->ARGMiner~~~APH(7'')-Ia~~~CAA27276.1~~~aminoglycoside unknown +>ARGMiner~~~APH(7'')-Ia~~~CAA27276.1~~~aminoglycoside~~~unknown MTQESLLLLDRIDSDDSYASLRNDQEFWEPLARRALEELGLPVPPVLRVPGESTNPVLVGEPDPVIKLFGEHWCGPESLASESEAYAVLADAPVPVPRLLGRGELRPGTGAWPWPYLVMSRMTGTTWRSAMDGTTDRNALLALARELGRVLGRLHRVPLTGNTVLTPHSEVFPELLRERRAATVEDHRGWGYLSPRLLDRLEDWLPDVDTLLAGREPRFVHGDLHGTNIFVDLAATEVTGIVDFTDVYAGDSRYSLVQLHLNAFRGDREILAALLDGAQWKRTEDFARELLAFTFLHDFEVFEETPLDLSGFTDPEELAQFLWGPPDTAPGA ->ARGMiner~~~tolC~~~ZP_03043238~~~multidrug unknown +>ARGMiner~~~tolC~~~ZP_03043238~~~multidrug~~~unknown MKKLLPILIGLSLYGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~pbp1a~~~EDK10390~~~beta_lactam unknown +>ARGMiner~~~pbp1a~~~EDK10390~~~beta_lactam~~~unknown MRIAKLILNTLLTLCILGLVAGGMLYFHLKSELPSVETLKTVELQQPMQIYTADGKLIGEVGEQRRIPVKLADVPQRLIDAFLATEDSRFYDHHGLDPIGIARALFVAVSNGGASQGASTITQQLARNFFLTSEKTIIRKAREAVLAVEIENTLNKQEILELYLNKIFLGYRSYGVAAAAQTYFGKSLNELTLSEMAIIAGLPKAPSTMNPLYSLKRSEERRNVVLSRMLDEKYISKEEYDAALKEPIVASYHGAKFEFRADYVTEMVRQEMVRRFGEENAYTSGYKVFTTVLSKDQAEAQKAVRNNLIDYDMRHGYRGGAPLWQKNEAAWDNDRIVGFLRKLPDSEPFIPAAVIGIAKGGADILLASGEKMTLSTNAMRWTGRSNPVKVGEQIWIRQRANGEWQLGQIPAANSALVSLNSDNGAIEAVVGGFSYEQSKFNRATQSLVQVGSSIKPFIYAAALEKGLTLSSVLQDSPISIQKPGQKMWQPKNSPDRYDGPMRLRVGLGQSKNMIAIRAIQTAGIDFTAEFLQRFGFKRDQYFASEALALGAASFTPLEMARAYAVFDNGGFLIEPYIIEKIQDNTGKDLFIANPKIACIECNDIPVIYGETKDKINGFANIPLGENALKPTDDSTNGEELDQQPETVPELPELQSNFTALKEDAIDLMAAAKNASSKIEYAPRVISGELAFLIRSALNTAIYGEQGLDWKGTSWRIAQSIKRSDIGGKTGTTNSSKVAWYAGFGANLVTTTYVGFDDNKRVLGRGEAGAKTAMPAWITYMKTALSDKPERKLSLPPKIVEKNIDTLTGLLSPNGGRKEYFIVGTEPTRTYLSEMQERGYYVPTELQQRLNNEGNTPATQPQELF ->ARGMiner~~~SHV-37~~~AAL82593.1~~~beta_lactam unknown +>ARGMiner~~~SHV-37~~~AAL82593.1~~~beta_lactam~~~unknown MRYIRLCIISLLAALPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITVSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~MdfA~~~YP_688360~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_688360~~~multidrug~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLAILLAQNIEQFTLLRFLQGISFCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETAMRIGEKLSLKELGRDYKLVLKNGRFVAGALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHGG ->ARGMiner~~~pbp1a~~~YP_001290033~~~beta_lactam unknown +>ARGMiner~~~pbp1a~~~YP_001290033~~~beta_lactam~~~unknown MRIAKLILSTLLTLCILGLVAGGMLYFYLKSELPSVETLRTVELQQPMQIYTADGKLIGEVGEQRRIPVKLADVPQRLIDAFLATEDSRFYEHHGLDPIGIARALFVAVSNGGASQGASTITQQLARNFFLTPEKTIIRKAREAVLAVEIENTLNKQEILELYLNKIFLGYRSYGVAAAAQTYFGKSLNELTLSEMAIIAGLPKAPSTMNPLYSLKRSEERRNVVLSRMLDEKYISKEEYDAALKEPIVASYHGAKFEFRADYVTEMVRQEMVRRFGEENAYTSGYKVFTTVLSKDQDEAQKAVRNNLIDYDMRHGYRGGAPLWQKNEAAWDNDRIVGFLRKLPDSEPFIPAAVIGITKGGADILLASGEKMTLSTNAMRWTGRSNPVKVGEQIWIRQRANGEWQLGQIPSANSALVSLNSDNGAIEAVVGGFSYEQSKFNRATQSLVQVGSSIKPFIYAAALEKGLTLSSVLQDSPISIQKLGQKLWQPKNSPDRYDGPMRLRVGLGQSKNMIAIRAIQTAGIDFTAEFLQRFGFKRDQYFASEALALGAASFTPLEMARAYAVFDNGGFLIEPYIIEKIQDNTGKELFVANPKIACIECNDIPVIYGETKDKINGFANIPLGESALKPTDDSTNGEELDQQPETVPELPELQSNMTALKEDAIDLMAAAKNASSKIEYAPRVISGELAFLIRSALNTAIYGEQGLDWKGTSWRIAQSIKRSDIGGKTGTTNSSKVAWYAGFGANLVTTTYVGFDDNKRVLGRREAGAKTAMPAWITYMKTALSDKPERKLTLPPKIVEKSIDTLTGLLSPNGGRKEYFIVGTEPTRTYLSEMQERGYYVPTELQQRLNSEGNTPTSAAQPEELF ->ARGMiner~~~SHV-179~~~AHA80962.1~~~beta_lactam unknown +>ARGMiner~~~SHV-179~~~AHA80962.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMNTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~catI~~~ZP_02433069~~~chloramphenicol unknown +>ARGMiner~~~catI~~~ZP_02433069~~~chloramphenicol~~~unknown MFDSLSSISFNELSRFSGAKEAKMEKKITGYTTVDISQWHRKEHFEAFQSVAQCTYNQTVQLDITAFLKTVKKNKHKFYPAFIHILARLMNAHPEFRMAMKDGELVIWDSVHPCYTVFHEQTETFSSLWSEYHDDFRQFLHIYSQDVACYGENLAYFPKGFIENMFFVSANPWVSFTSFDLNVANMDNFFAPVFTMGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRMLNELQQYCDEWQGGA ->ARGMiner~~~mdtE~~~YP_002384137~~~multidrug unknown +>ARGMiner~~~mdtE~~~YP_002384137~~~multidrug~~~unknown MSPGGCLSLVNHPPVQTVTVMPLFCKLSSNNQTIKQAIRYSRNMMNKKIKLLIPLLVCTTMLTACDNKPAENTSAALPKVGVVTITPGTVSVTSELPGRTVPFEIAEIRPQVGGIIVKRNFIEGDKVKQGDSLYQIDPAPLKAALDSAKGNLAKAQSAASNARLTFNRQSSLLHSNYVSRQDYDNARSQLNEAEANVTVAKADVEQAAINLKYANVTSPITGISGKSSVTVGALVTANQSEALVTVQRLDPIYVDLTQSVQEFLRLKEEIASGQIQQVKGQTPVALNLENGKRYAQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALLDEGSRQNVLMVPQEGVTHNAQGKATALILDKDDVVQQREINAVKAIGNQWLVTAGLQPGDRVIVSGLQRIRPGIKAQAISSDENTASNPAKQ ->ARGMiner~~~smeE~~~CAC14595.1~~~multidrug unknown +>ARGMiner~~~smeE~~~CAC14595.1~~~multidrug~~~unknown MARFFIDRPIFAWVIAIIIMLAGGLALFKLPVSMYPNVAPPAVEISATYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNAIAFVSENGSMDANDIADYVGSNVVDRLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYGLSVPEVTAAIKAQNAQVAIGQLGGAPSVKGQQLNATINAQSRLQTPEQFRNIIVRGAQDGAELRLGDVARVELGAESYDFVTRYNGQPASGLAVTLATGANALDTAAGVDAALEDMKGFFPAGLKAEIPYDTTPFVRVSIKGVVQTLIEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAMLGFSVNMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHRGLAGRFFNGFNRGFDRTSESYQRGVRGIIHRPWRFMGIVAALFVLMGVLFVRLPSSFLPNEDQGVLMALVQAPVGATQERTLESIAALENHFLQNEKDAVDSVFSVQGFSFAGMGQNAGMAFVKLKDWSERDADNGVMPITGRAMAALGQIKDAFIFAFPPPAIPELGTASGYTFFLKDNSGQGHEALVAARNQLLGLAAGSKKLANVRPNGQEDTPQFRIDIDAAKATSLGLSIDQINGTLAAAWGSSYIDDFVDRGRVKRVFVQADQAFRMVPEDFDLWSVKNDKGEMVPFSAFATKHWDYGSPRLERYNGVSAMEIQGEPAPGVASGDAMAEIEQLAKQLPAGFGIEWTAMSYQERQAGSQTPLLYTLSLMIVFLCLAAMYESWSVPTAVLLAAPLGILGAVLANTFKGLERDIYFQVAMLTTVGLTSKNAILIVEFAKENLEKGASLIESIMHAVRDRLRPIVMTSLAFGMGVVPLAISTGAGSGAKQAIGTGVLGGMIVGTVLGVFFVPLFFVVVQRVFKRRSTT ->ARGMiner~~~SHV-60~~~BAF92780.1~~~beta_lactam unknown +>ARGMiner~~~SHV-60~~~BAF92780.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMTATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BacA~~~ZP_04207693~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04207693~~~bacitracin~~~unknown MADWLIGIIMGAVEGLTEFLPVSSTGHMILTGHLLGFDDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGILGVLFHSTIKKVLFGPGPVVISLIAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSTADIPLFATGFITAFVVAMLAIVSFLKLLARVKLTPFAYYRFILAAVFYFFFIM ->ARGMiner~~~MdtL~~~YP_405598~~~multidrug unknown +>ARGMiner~~~MdtL~~~YP_405598~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAIMGIAVLMLSLFILKETRPAAPAASDKSRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAISLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~CTX-M-13~~~AAF72531.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-13~~~AAF72531.1~~~beta_lactam~~~unknown MVTKRMQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTKDNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFAREIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CeoA~~~ZP_02377226~~~multidrug unknown +>ARGMiner~~~CeoA~~~ZP_02377226~~~multidrug~~~unknown MAILRTPRSRIAAAVATLAVVGLGTFGAMRVGASAPEKPAAPLPEVDVATVVPQTVTDWQAYSGRLEAVEKVDVRPQVPGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAGAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVAGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGARDGRKVPVELGLANEAGYSRSGVIDSVDNRLDTSSGTIRVRARFDNADGSLVPGLYARVKVGGSAPHQALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQPGMQHGNRRVIVSGIAAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDAPSAPSAPLADNAKPAAPAKANS ->ARGMiner~~~LRA-2~~~ACH58985.1~~~beta_lactam unknown +>ARGMiner~~~LRA-2~~~ACH58985.1~~~beta_lactam~~~unknown MMDGIKKKTAAGAAAGSLLMMLGVFATPAAGGEAAFKDCPQCAQWNQQRKPFRIYGNTYFVGTAGLSSILVTSDYGHVLIDGGLAQSAPLIKANIEALGFKLTDVKAILVSHVHPDHAGGVAELQRQSGAQVYALRTAEAVLRTGRLTQDDPQSASKTATITPVPQVWVVQDDQLLGVGALRMRAIATPGHTPGGTSWTWDACEDGNCLKMIYADSLSAVAAGKYRFKDHPEVLQAFASSFSRAESAPCDVLLTPHPDASQLFQRLDPEGGTRAASIKDDTACRRYVQAARDTLARKLASEG ->ARGMiner~~~FosA~~~NP_249820.1~~~fosfomycin unknown +>ARGMiner~~~FosA~~~NP_249820.1~~~fosfomycin~~~unknown MLTGLNHLTLAVADLPASIAFYRDLLGFRLEARWDQGAYLELGSLWLCLSREPQYGGPAADYTHYAFGIAAADFARFAAQLRAHGVREWKQNRSEGDSFYFLDPDGHRLEAHVGDLRSRLAACRQAPYAGMRFAD ->ARGMiner~~~cmeC~~~BAO79432.1~~~multidrug unknown +>ARGMiner~~~cmeC~~~BAO79432.1~~~multidrug~~~unknown MNKIISISAIASFTLLISACSLSPNLNIPEANYSIDNKLGALSWEKENNSSITKNWWKDFDDENLNKVVDLALKNNNDLKLAFIHMEQAAAQLGIDFSSLLPKFDGSASGSRAKTAINAPSNRTGEVSYGNDFKMGLNLSYEIDLWGKYRDTYRASKSSFKASEYDYEAARLSVISNTVQTYFNLVNAYENENALKEAYESAKEIYRINDEKFQVGAVGEYELAQARANLESMALQYNEAKLNKENYLKALKILTSNDLNDILYKNQSYQVFNLKEFDIPTGISSTILLQRPDIGSSLEKLTQQNYLVGVARTAFLPSLSLTGLLGFESGDLDTLVKGGSKTWNIGGNFTLPIFHWGEIYQNVNLAKLNKDEAFVNYQNTLITAFGEIRYALVARKTIRLQYDNAQASEQSYKRIYEIAKERYDIGEMSLQDYLEARQNWLNAAVAFNNTKYSYANSIIDVIKAFGGGFEQSEDTSKNIKEESKNLDMSFRE ->ARGMiner~~~BacA~~~B2VGJ3~~~bacitracin unknown +>ARGMiner~~~BacA~~~B2VGJ3~~~bacitracin~~~unknown MTDIHQLWIAAILGLVEGLTEFLPVSSTGHMILVGHLLGFEGNKAETFEVVIQLGSILAVVVMFWRRLFGLIGIHFGEVPHEGSGQGRLTLIHILLGMVPAVVIGLLLHDQIKTLFNPVNVMYALVVGGVLLIAAELLKPKRPKSPGVDDITYRQAFMIGCFQCLALWPGFSRSGATISGGMLVGVSRYAASEFSFLLAVPMMIGATGLDLYKSMGFLSMADFPMFAVGFVTAFIVALIAIKSFLHIIKRISFIPFAIYRFIVAAAVYALFVL ->ARGMiner~~~MdfA~~~YP_002401983~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_002401983~~~multidrug~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIITCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQQAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIIIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGQFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~CMY-80~~~AFK73449.1~~~beta_lactam unknown +>ARGMiner~~~CMY-80~~~AFK73449.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEPQIADIVNRTITPLMQEQAIPGMAVAVIYQGKSYYFTWGKADITNNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWIKVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-46~~~CBH19182.1~~~beta_lactam unknown +>ARGMiner~~~CMY-46~~~CBH19182.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADITNNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPKNEQKDYAWGYREGKAVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~SHV-11~~~CAA66729.1~~~beta_lactam unknown +>ARGMiner~~~SHV-11~~~CAA66729.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~mdtE~~~BAE77781.1~~~multidrug unknown +>ARGMiner~~~mdtE~~~BAE77781.1~~~multidrug~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~OXY-5-1~~~CAI43417.1~~~beta_lactam unknown +>ARGMiner~~~OXY-5-1~~~CAI43417.1~~~beta_lactam~~~unknown MLKSSWRKTALMAAAVPLLLASGSLWASADAIQQKLADLEKHSGGRLGVALINTADDSQTLYRGDERFAMCSTGKVMAAAAVLKQSESNPEVVNKRLEIKKADLVVWSPITEKHLQSGMTLAELSAAALQYSDNTAMNKIIGYLGGPEKVTAFAQSIGDVTFRLDRMEPALNSAIPGDKRDTTTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPASWAVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKSRKEVLAAAAKIVTEGL ->ARGMiner~~~Bcr~~~BAH64410~~~multidrug unknown +>ARGMiner~~~Bcr~~~BAH64410~~~multidrug~~~unknown MTLKQNSSLGIVFILGLLAMLMPLSIDMYLPALPVIAAQYNVPDGSAQMTLSTYILGFALGQLLYGPMADSLGRKPVILGGTLVFAAAAVACALSQTVDMLIVMRFFHGLAAAAASVVINALMRDIYPKDEFSRMMSFVMLVTTIAPLVAPMVGGAVLVWFSWHAIFWILALVALLASLMIGLFVRETLPAERRQPFHLRTTLGNFATLFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYININHVAPQHFGYYFALNIVFLFLMTMFNSRFVRRVGALRMFRAGLWIQFAMAVWMVVCALLDVGFWSLVIGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIIGALLSMATFTTAWPMLISIAFCATCSIFFSLYASRRRKIAR ->ARGMiner~~~CTX-M-137~~~BAO37256.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-137~~~BAO37256.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~MdtP~~~YP_001572363~~~multidrug unknown +>ARGMiner~~~MdtP~~~YP_001572363~~~multidrug~~~unknown MIRTSSRLLLCCLLGSATALSGCALIREDTAAHQQLQPENSQLANDIHLASSGWPQAQWWRQFNDPQLNALIQQTLSGSHTLAEAKLREKKSQSQAELLEAGSQLQVAALGMLNRQRASANGFLGPYALDAPKLGMDGPYYTEATIGLFAGIDLDFWGVHRSAVAAAIGAQNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLQQTRDVVDYAIQAHQSKVAHGLEAKVPYHGARAQMLAVDKQIAAVKGQIKETRESLRALMGVEAMPDIKSASLPQVNTGIPSTLSYELLARRPDLQAMRWYVQASLNQVDAARALFYPSFDIKAFFGLDSIHLDSLFKNTSKQINFIPGLRLPLFDGGRLNANLASTRAASNILIERYNQSVLNAVRDVAINGARLQTLNDERDMQVQRVDATRYTQASAEAALKQGLGSRLQATEARLPVLSEQVSLLMLDTQRIIQSIQLIKSLGGGYQAA ->ARGMiner~~~BacA~~~NP_831165~~~bacitracin unknown +>ARGMiner~~~BacA~~~NP_831165~~~bacitracin~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFDDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGVLGVLFHSTIKEGLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDVLSSADITLFVTGFVTAFVVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~MdfA~~~ZP_03836027~~~multidrug unknown +>ARGMiner~~~MdfA~~~ZP_03836027~~~multidrug~~~unknown MQNRLSSGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYNAGLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLTGVLWFIVTCLATLLAQNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHILPWEGMFILFAALASISFFGLQRAMPETATRLGEKLSIKELGKDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIISGEHLSSYEYGLLQVPIFGALIAGNLALARLTSRKTVRSLIIMGGWPIAVGLVIAAAATVVSSHAYLWMTAGLSVYAFGIGLANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIELSKHAYLLGGNGLFSLFNLASGVLWLILMVIFLKDKRVGNSRKI ->ARGMiner~~~acrB~~~ABR13271~~~multidrug unknown +>ARGMiner~~~acrB~~~ABR13271~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDIIAKFNGKPASGLGIKLATGANALDTATAIRAELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAIFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFSGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGGHGEHKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYIIIVVGMAFLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTDYFLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGAENKVEAITGRAMGTFSHIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQYKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAQYRMLPNDINNWYVRGSNGQMVPFSASSTSRWEYGSPRLERYNGLPSMEILGQAAPGRSTGEAMNLMEELASKLPAGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDVEHNHPVDHH ->ARGMiner~~~ACT-14~~~AFU25647.1~~~beta_lactam unknown +>ARGMiner~~~ACT-14~~~AFU25647.1~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALAAPVSEKQLAEVVANTVTPLMKAQSIPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNAALLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMGYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVIEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYLAFIPEKQIGIVMLANKSYPNPARVEAAYHILDALQ ->ARGMiner~~~MdtO~~~YP_002389553~~~multidrug unknown +>ARGMiner~~~MdtO~~~YP_002389553~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATMLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRAMGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAAQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~BacA~~~YP_274443~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_274443~~~bacitracin~~~unknown MMDLWTAAQALILGVVEGLTEFLPISSTGHQIIVADLIDFGGERAMAFNIIIQLGAILAVVWEFRRKILDVVTGLPKQQQAQRFTLNLLIAFMPAVVLGVIFADTIHHYLFNAITVATALVVGGVIMLWAERREHTVRTETVDDMTWSDALKVGLVQCLAMIPGTSRSGSTIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRDMFRPDDFAVFAIGFITSFIFAMIAVRALLKFIATHSYAVFAWYRIAFGLLILATWQFGWIDWASAKA ->ARGMiner~~~VgbA~~~AAA98349.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~VgbA~~~AAA98349.1~~~macrolide-lincosamide-streptogramin~~~unknown MEFKLQELNLTNQDTGPYGITVSDKGKVWITQHKANMISCINLDGKITEYPLPTPDAKVMCLTISSDGEVWFTENAANKIGRITKKGIIKEYTLPNPDSAPYGITEGPNGDIWFTEMNGNRIGRITDDGKIREYELPNKGSYPSFITLGSDNALWFTENQNNAIGRITESGDITEFKIPTPASGPVGITKGNDDALWFVEIIGNKIGRITPLGEITEFKIPTPNARPHAITAGAGIDLWFTEWGANKIGRLTSNNIIEEYPIQIKSAEPHGICFDGETIWFAMECDKIGKLTLIKDNME ->ARGMiner~~~OXY-2-1~~~CAI43414.1~~~beta_lactam unknown +>ARGMiner~~~OXY-2-1~~~CAI43414.1~~~beta_lactam~~~unknown MIKSSWRKIAMLAAAVPLLLASGALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFAHSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGDALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGAGDYGTTNDIAVIWPEDHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~EBR-1~~~AAN32638.1~~~beta_lactam unknown +>ARGMiner~~~EBR-1~~~AAN32638.1~~~beta_lactam~~~unknown MKKLFSLIALIGSFAFGQIKPIQIDPINNNLFVYQTFNSFNGVEYNANGMYLVTNKGIVLFDVPWQKSQYQELNDMLQEKYNLPVIAVFATHSHDDRAGDLSFYNELNIPTYATSLTNSKLKKEGKATSKFEIELGKTYKFGNEKFVFEYFGEGHTSDNVVVWFPKYKVLNGGCLIKGADAVNLGYTGEANVVEWPKTVHKLVAKHPTIKQVIPGHDNWKATGHIENTFKLLEKK ->ARGMiner~~~GES-7~~~AAP22974.1~~~beta_lactam unknown +>ARGMiner~~~GES-7~~~AAP22974.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVKWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~FosB~~~YP_001700011~~~fosfomycin unknown +>ARGMiner~~~FosB~~~YP_001700011~~~fosfomycin~~~unknown MSDLEKSIAFYENVFGAKLLVKGNSTAYFDVNGLWLALNVEKDIPRNDIQYSYTHIAFTISEDEFDKMYDKLVQLKVLILDGRQRDERDKKSIYFTDPDGHKFEFHTGTLQDRLDYYKKEKLHMEFFNH ->ARGMiner~~~VIM-43~~~AJP67511.1~~~beta_lactam unknown +>ARGMiner~~~VIM-43~~~AJP67511.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLVHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSRTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~tolC~~~ZP_02900740~~~multidrug unknown +>ARGMiner~~~tolC~~~ZP_02900740~~~multidrug~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQALILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPKLAALNVENFKTDKPQPVNTLLKEAEKRNLTLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTRGANSAQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYTPDSPAPVVQPASVRTNTSTGKNPFRN ->ARGMiner~~~robA~~~AFK13827.1~~~multidrug unknown +>ARGMiner~~~robA~~~AFK13827.1~~~multidrug~~~unknown MDQAGIIRDLLTWLEGHLDQPLSLDNVAAKAGYSKWHLQRMFKDVTGHAIGAYIRARRLSKSAVALRLTARPILDIALQYRFDSQQTFTRAFKKQFSLTPALYRRSPDWSSFGMRPPLRLGEFAMPKYEIISLPETHLVGTTQSYSCSLEQISEFRHQMRVQFWREFLSHAPAIPPILYGLNETHPSQEKDDEQEVFYTTALTPDMANGYIHGSKPVVLEGGEYVMFSYEGLGTGVQEFILTVYGTCMPMLNLNRRKGQDIERYYPAQDAKPEEGPINLRMEFLIPVRR ->ARGMiner~~~OXA-353~~~AGW83451.1~~~beta_lactam unknown +>ARGMiner~~~OXA-353~~~AGW83451.1~~~beta_lactam~~~unknown MYKKTLIVTTSILFLSACSSNMVKQHQIHSMSANKNSEEIKSLFDQAQTTGVLVIKRGKTEEIYGNDLKRASTAYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPDWKKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDDFWLVGPLKITPQQETQFAYQLAHKTLPFSKNVQEQVQSMVFIEKKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTSSSIRKEIAYKGLEQLGIL ->ARGMiner~~~QnrB28~~~ADM52188.1~~~quinolone unknown +>ARGMiner~~~QnrB28~~~ADM52188.1~~~quinolone~~~unknown MTLALVSEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGSDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVAGATFSGSDLSGGEFSAFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDSYQAALLMERLGIAVIG ->ARGMiner~~~AcrB~~~ZP_04639026~~~multidrug unknown +>ARGMiner~~~AcrB~~~ZP_04639026~~~multidrug~~~unknown MAKFFIDRPIFAWVIAIILMLAGILAIMKLPVAQYPTIAPPAISISANYPGADATTVQNTVTQVIEQNMNGIDNLLYMSSSSDSSGNVQLTLTFNSGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVAGFISEDGTMQQEDIADYVGSNVKDPISRTTGVGDVQLFGSQYAMRIWMDPHKLNNYNLTPVDVINAIKVQNNQVAAGQLGGTPPVPGQELNSSIIAQTRLTNAEEFSQIMLKVNTDGSQVRLKDVAIVQLGAESYNIIARYNGKPAAGIGIKLATGANALNTSAAVKAELAKLQPFFPAGLKVVYPYDTTPFVKISINEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMQEEGLPPKEATKKSMEQIQGALVGIAMVLSAVFIPMAFFGGATGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPIAKGEHGPKTGFFGWFNRMFEKSTHHYTDSVANILRSTGRYLVIYLVIVIGMGVLFLRLPSSFLPEEDQGVFLTMVQMPAGATQERTQKVLNQVTDYYLDKEKDVVNSVFTVNGFGFSGQGQNTGLAFVSLKNWDERPGEQNKVPAIVGRASAAFSQIKDGLVFAFNLPAIVELGTATGFDFQLIDQGNVGHQKLTAARNELLGMVAQHPDMLVGVRPNGLEDTPQFKVEVDQEKAQALGVAISDINTTLGSAMGGSYVNDFIDRGRVKKVYVQAGAPFRMLPGDIDKWYVRNNAGQMVSFATFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMDLMQELAAKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVESTLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRRNEDVEHAHAVDHKAK ->ARGMiner~~~BcII~~~ZP_04301662~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04301662~~~beta_lactam~~~unknown MKERVEKMKKNTLLKLGVCVSLLGTTQFVSTVSSVKAEQKLEQKVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLVLTTSKGLVLVDSSWDDKLTKELIEMVEKKFKKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKNGYEEPLGDLQTITKLKFENMKVETFYPGKGHTEDNIVVWLPQYNILAGGCLVKSAEAKNLGNVADAYVNEWSTSIENVLKRYGNINAVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~tetT~~~AAF01499.1~~~tetracycline unknown +>ARGMiner~~~tetT~~~AAF01499.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTVTEGLLYKSGAINKIGRVDNATTTTDSMELERDRGITIRASTVSFNYNDTKVNIIDTPGHMDFIAEVERTLKVLDGAILVISAKEGIQVQTKVIFNTLVKLNIPTLIFVNKIDRKGVCLDEIYTQIQEKLTSNLAIMQSVKIKDKGDFELTNVRDDKVIQSQIIEKLLDINDYLAEKYINGDVIAEKEYNDVFLDEINNCNLYPVFHGSALKNIGIDELLFAITKYLPTKSYNTEDLLSAYVYKIDRDEKSRKMTFLRVFSGNIRTRQDVYINGTEETFKIKSLESIMNGEIVKVGQVNSGDIAIISNANSLKIGDYIGKKYDGILDIKIAQPALRASIKPCDLSKRSKLIEALFELTEEDPFLDCEINGDTGEIILRLFGNIQMEVIESLLKSRYKIDARFGELKTIYKERPKRNSKAVIHIEVPPNPYWASIGLSIEPLPIGSGLLYKTEVSYGYLNNSFQNAVKDAVEKACKEGLYGWEVTDLKVTFDYGLYYSPVSTPSDFRNLTPYVFWEALRKAGTEILEPYLKYTVQVPNDFCGRVMSDLRKMRASIEDIIAKGEETTLSGKIPVDTSKSYQSELLSYSNGKGIFITEPYGYDIYNDKPIINDIGNDNNDSNKEGLRYLFQKQDEN ->ARGMiner~~~PDC-4~~~ACQ82809.1~~~beta_lactam unknown +>ARGMiner~~~PDC-4~~~ACQ82809.1~~~beta_lactam~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDEMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~DHA-13~~~AIT76108.1~~~beta_lactam unknown +>ARGMiner~~~DHA-13~~~AIT76108.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADVQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSWKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~AadD~~~ACC96132~~~aminoglycoside unknown +>ARGMiner~~~AadD~~~ACC96132~~~aminoglycoside~~~unknown MKLRNEYWINMGMMLMAIGVYGSLGRQTDGPYSDIEMMCVMSTEEAEFSHEWTTGEWKVEVNFDSEEILLDYASQVESDWPLTHGQFFSILPIYDSGGYLEKVYQTAKSVEAQTFHDAICALIVEELFEYAGKWRNIRVQGPTTFLPSLTVQVAMAGAMLIGLHHRICYTTSASVLTEAVKQSDLPSGYDHLCQFVMSGQLSDSEKLLESLENFWNGIQEWTERHGYIVDVSKRIPF ->ARGMiner~~~TEM-45~~~CAA64682.1~~~beta_lactam unknown +>ARGMiner~~~TEM-45~~~CAA64682.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDEQNRQIAEIGASLIKHW ->ARGMiner~~~OXA-358~~~AGZ83154.1~~~beta_lactam unknown +>ARGMiner~~~OXA-358~~~AGZ83154.1~~~beta_lactam~~~unknown MYKKALIVATSILFLSACSSNTVKQHQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTAYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSQEVKRVGFGNANIGSKVDNFWLVGPLKITPQQETQFAYQLAHKTLPFSQDVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~QnrVC5~~~AEM62764.1~~~quinolone unknown +>ARGMiner~~~QnrVC5~~~AEM62764.1~~~quinolone~~~unknown MDKTDQLYVQADFSHQDMSGQYFKNCKFFCCSFKRANLRDTQFVDCSFIERGELEGCDFSYSDLRDASFKNCSLSMSYFKGANCFGIEFRECDLKGANFSQASFMNQVSNRMYFCSAYITGCNLSYANFERQCIEKCDLFENRWIGANLSGASFKESDLSRGVFSEGCWSQCRLQGCDLSHSELYGLDPRKVDLTGVKICSWQQEQLLEQLGLIVVPD ->ARGMiner~~~CMY-116~~~AIT76093.1~~~beta_lactam unknown +>ARGMiner~~~CMY-116~~~AIT76093.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDITDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPVPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~KsgA~~~YP_001476958~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~YP_001476958~~~kasugamycin~~~unknown MNNKVHQGHFARKRFGQNFLTDQFVIDSIVSAIHPMPGEAVVEIGPGLGALTEPVGARMDRMTVIELDRDLAARLANHPQLKDKLTIHQQDAMTVNFAEMAEQAGQPLRVFGNLPYNISTPLMFHLFSYTQAIRDMHFMLQKEVVNRLVAGPNSKAYGRLTVMAQYYCNVIPVLEVPPTSFTPAPKVDSAVVRLVPHLVNPNPVGDVRMLSRITTQAFNQRRKTVRNSLGDLFTPEQLTELGIDPILRAENISVAQYCKLANWLSANPAPQQ ->ARGMiner~~~BacA~~~ZP_04144736~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04144736~~~bacitracin~~~unknown MADWLIGIIMGAVEGLTEFLPVSSTGHMILTGHLLGFDDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGILGVLFHSAIKKVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSAADIPLFATGFITAFVVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~CMY-42~~~ADM21467.1~~~beta_lactam unknown +>ARGMiner~~~CMY-42~~~ADM21467.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHSSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~TEM-106~~~AAM52207.1~~~beta_lactam unknown +>ARGMiner~~~TEM-106~~~AAM52207.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-374~~~AHL30274.1~~~beta_lactam unknown +>ARGMiner~~~OXA-374~~~AHL30274.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKTTTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPKGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~Erm(34)~~~AAP74657.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~Erm(34)~~~AAP74657.1~~~macrolide-lincosamide-streptogramin~~~unknown MTKKMNKYNGKKLSRGEPPNFSGQHFMHNKRLLKEIVDKADVSVRDTVLELGAGKGALTTILSERADRVLAVEYDQKCIEALQWKLVGSKNVSILHQDIMKVALPTEPFVVVSNIPYSITTAIMKMLLNNPKNKLQRGAIVMEKGAAKRFTSVSPKDAYVMAWHMWFDIHYERGISRSSFSPPPKVDSALVRIVRKQHPLFPYKEAKAMHDFLSYALNNPRAPLDQVLRGIFTAPQAKKVRQAIGVKPETPVAMLHARQWAMVCDAMVRHVPKVYWPRRKR ->ARGMiner~~~Ant3Ia~~~BAA78801~~~aminoglycoside unknown +>ARGMiner~~~Ant3Ia~~~BAA78801~~~aminoglycoside~~~unknown MRSRNWSRTLTERSGGNGAVAVFMACYDCFFGVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTKLNIMREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~VIM-2~~~CAU08343~~~beta_lactam unknown +>ARGMiner~~~VIM-2~~~CAU08343~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFGIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~MdtN~~~NP_709857~~~multidrug unknown +>ARGMiner~~~MdtN~~~NP_709857~~~multidrug~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMTTVRAPFDGRIISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~QnrB50~~~AFU25656.1~~~quinolone unknown +>ARGMiner~~~QnrB50~~~AFU25656.1~~~quinolone~~~unknown MTLALVGEKIDRKRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~AmrB~~~ZP_02367088~~~multidrug unknown +>ARGMiner~~~AmrB~~~ZP_02367088~~~multidrug~~~unknown MARFFIDRPVFAWVISLFIMLGGIFAIRALPVAQYPDIAPPVVSIYATYPGASAQVIEESVTAVIEREMNGVPGLLYTSATSSAGQASLYLTFKQGVSADLAAVDVQNRLKTVEARLPEPVRRDGISVEKAADNIQLVVSLTSEDGRMSAVQLGEYASANVVQALRRVEGVGKVQFWGAEYAMRIWPDPVKLAALNLTASDIATAVRAHNARVTIGDIGRSAVPDSAPIAATVLADAPLSTPDAFGAIALRARADGSTLYLRDVAKIEFGGNDYNYPSFVNGKTATGMGIKLAAGSNAVATEKRVRATMDELSKFFPPGVTYQIPYETSSFVRVSMQKVVTTLIEAGVLVFAVMFLFMQNFRATLIPTLVVPVALLGTFGAMLAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEKLPPYEATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFALALAVSIGFSAFLALSLTPALCATLLKPVADDHHEKRGFFGWFNRFVARATNRYTRRVGQVLKRPLRWLVVYGALTAGAALLLTKLPTAFLPDEDQGNFMVMVIRPQGTPLAETMQSVRRVEEYVRKKEPSAYTFALGGFNLYGEGPNGGMIFVTLKDWKERKRAHDQVQAIVARINEHFAGTPNTTVFAMNSPALPDLGSTGGFDFRLQDRGGLGYAALVAAREKLLAEGHANAVLTDLMFAGTQDAPQLKLDIDRAKASALGVSMDEINATLAVMFGSDYIGDFMHGAQVRRVIVQADGLHRLDPGDVTKLRVRNAKGEMVPLSAFATLHWTMGPPQLTRYNGFPSFTINGSAAAGHSSGEAMAAIERIASTLPAGVGYAWSGQSFEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVAGVTLRGMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLADAALEAARLRLRPIVMTSLAFGVGVLPLAFATGAASGAQVAIGTGVLGGVVSATLFAIFLVPLFFVCVGRVFDVGPRRRGGAHAALEIK ->ARGMiner~~~SME-3~~~AAS92558.1~~~beta_lactam unknown +>ARGMiner~~~SME-3~~~AAS92558.1~~~beta_lactam~~~unknown MSNKVNFKTASFLFSVCLALSAFNAHANKSDAAAKQIKKLEEDFDGRIGVFAIDTGSGNTFGYRSDERFPLCSSFKGFLAAAVLERVQQKKLDINQKVKYESRDLEYYSPITTKYKGSGMTLGDMASAALQYSDNGATNIIMERFLGGPEGMTKFMRSIGDNEFRLDRWELELNTAIPGDKRDTSTPKAVANSLNKLALGNVLNAKVKAIYQNWLKGNTTGDARIRASVPADWVVGDKTGSCGAYGTANDYAVIWPKNRAPLIVSIYTTRKSKDDKHSDKTIAEASRIAIQAID ->ARGMiner~~~GES-22~~~AFU25739.1~~~beta_lactam unknown +>ARGMiner~~~GES-22~~~AFU25739.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPELGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGARNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~TEM-126~~~AAT45742.1~~~beta_lactam unknown +>ARGMiner~~~TEM-126~~~AAT45742.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERETTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~KsgA~~~ACI71754~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~ACI71754~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTFNFGELAEKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPHPVKDVRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTGMGIDPAMRAENISVAQYCQMANYLAENAPFQES ->ARGMiner~~~TEM-114~~~AAS89984.1~~~beta_lactam unknown +>ARGMiner~~~TEM-114~~~AAS89984.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGTGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-151~~~ABI74448.1~~~beta_lactam unknown +>ARGMiner~~~TEM-151~~~ABI74448.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMVSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERDRQIAEIGGSLIKHW ->ARGMiner~~~TEM-49~~~CAA71324.1~~~beta_lactam unknown +>ARGMiner~~~TEM-49~~~CAA71324.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASKRGSRGIIAALGPDGKPSRIVVIYMTGGQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~SHV-108~~~ADR80606.1~~~beta_lactam unknown +>ARGMiner~~~SHV-108~~~ADR80606.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLAIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~NDM-5~~~AEN03071.1~~~beta_lactam unknown +>ARGMiner~~~NDM-5~~~AEN03071.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLLVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGLVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~msrC~~~AAK01167.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~msrC~~~AAK01167.1~~~macrolide-lincosamide-streptogramin~~~unknown MENLAVNITNLQVRFGNQLELSIDSLRVYQQDRIGIIGENGVGKTTLLKLIVGELIPNQGKIQTEITFNYLPQLTYLAEAKDLNLELASHFQLKLEETSERKWSGGEERKIELIRLLSSYEQGMLLDEPTTHLDRKSMDRLIEELRYYYGTLIFVSHDRYFLDELATKVWEVKDGEIREFPENYSAYLTQRELEKKTQLREAESIMKEKKRLEKSIQEKKKQAEKLEKVSSKKKKQQIRPDRLSSSKQKDSVQKAIQKNTKTLERRLQKIGETTKPQQMKQIRFPVPKSLELHNRYPIMGQNIQLERSGRTLLINSDFQFSLGKKIAIVGENGSGKTTLLEHIRKQGEGILLSPKVSFQVYQQKDYQMTSEESVIRFVMRQTEFSESLVRSLLNHLGFAQETLTKPLCTLSGGEATRLTIALLFTKPSNVLLLDEPTNFIDVATIEALEQLMQVYPGTILFTSHDSYFVERTADEVYEIKGQKIKKVLTRNF ->ARGMiner~~~acrB~~~YP_309460~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_309460~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTSLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~OXA-96~~~ABF47919.1~~~beta_lactam unknown +>ARGMiner~~~OXA-96~~~ABF47919.1~~~beta_lactam~~~unknown MKLLKILSLVCLSISIGACAEHSMSRAKTSTIPQVNNSIIDQNVQALFNEISADAVFVTYDGQNIKKYGTHLDRAKTAYIPASTFKIANALIGLENHKATSTEIFKWDGKPRFFKAWDKDFTLGEAMQASTVPVYQELARRIGPSLMQSELQRIGYGNMQMGTEVDQFWLKGPLTITPIQEVKFVYDLAQGQLPFKPEVQQQVKEMLYVERRGENRLYAKSGWGMAVDPQVGWYVGFVEKADGQVVAFALNMQMKAGDDIALRKQLSLDVLDKLGVFHYL ->ARGMiner~~~OXA-230~~~AFM55002.1~~~beta_lactam unknown +>ARGMiner~~~OXA-230~~~AFM55002.1~~~beta_lactam~~~unknown MKFKMKGLFCVILSSLAFSGCVYDSKLQRPVISERETEIPLLFNQAQTQAVFVTYDGIHLKSYGNDLSRAKTEYIPASTFKMLNALIGLQNGKATNTEVFQWNGEKRAFSAWEKDMTLAEAMQASAVPVYQELARRIGLELMREEVKRVGFGNAEIGQQVDNFWLVGPLKISPEQEVQFAYQLAMKQLPFDRNVQQQVKDMLYIERRGDSKLYAKSGWGMDVEPQVGWYTGWVEQPNGKVTAFALNMNMQAGNDPAERKQLTLSILDKLGLFFYLR ->ARGMiner~~~catI~~~CAA67774~~~chloramphenicol unknown +>ARGMiner~~~catI~~~CAA67774~~~chloramphenicol~~~unknown MEKKITGYTTVDISQWHRKEHFEAFQSVAQCTYNQTVQLDITAFLKTVKKNKHKFYPAFIHILARLMNAHPEFRMAMKDGELVIWDSVHPCYTVFHEQTETFSSLWSEYHDDFRQFLHIYSQDVACYGENLAYFPKGFIENMFFVSANPWVSFTSFDLNVANMDNFFAPVFTMGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRILNELQQYCDEWQGGA ->ARGMiner~~~mdtE~~~YP_405005~~~multidrug unknown +>ARGMiner~~~mdtE~~~YP_405005~~~multidrug~~~unknown MNRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGASGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~MdtK~~~YP_001176513~~~multidrug unknown +>ARGMiner~~~MdtK~~~YP_001176513~~~multidrug~~~unknown MQKYMLEARQLLALAIPVIIAQVAQTSMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSILIMVVLWNAGYIIRSMDNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFFSMISYVKRARSMRDIRNEQRFSKPDMDVLKRLAQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGVCMAFCTALFTVTLREQIALLYNDNPEVITLASQLMLLAAIYQLSDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPTGYILALTDLVVDRMGPAGFWMGFIIGLTSAAILMMLRMRFLQRQPSSVILQRAAR ->ARGMiner~~~bacA~~~YP_002847905~~~bacitracin unknown +>ARGMiner~~~bacA~~~YP_002847905~~~bacitracin~~~unknown MSDMHSLLVAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGKAPHEGTGKGRLTLGHILLGMIPAVVLGLIFHDTIKSLFNPINVMYALVVGGVLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATALDLYKSWSFLSASDIPMFAVGFVTAFIVALVAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~TEM-156~~~CAQ00120.1~~~beta_lactam unknown +>ARGMiner~~~TEM-156~~~CAQ00120.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNIGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-315~~~AGU69253.1~~~beta_lactam unknown +>ARGMiner~~~OXA-315~~~AGU69253.1~~~beta_lactam~~~unknown MNIQALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPIYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPHGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~smeA~~~YP_002030220~~~multidrug unknown +>ARGMiner~~~smeA~~~YP_002030220~~~multidrug~~~unknown MSLPRPLSRSPHPLLLPLLMSLAACSAGRSETPATPEVGVITASAQPLALQQTLPGRAVPFEVSEVRPQIGGLIRQRLFTEGQQVKAGQLLYQVDPAPYQAAFDTARGQLAQAEATVLSAQPKAERTRTLLGLDAASKQDADDATSALKQAQANVIAARAALQAARINLDYTRISAPIDGRIGTSSVTAGALVAAGQDAALATIQRLDPVYLDVTQSSTQMLALRKQLDAGLVKAIDGKAQVKVLLEDGSTYAHEGTLEFVGSAVDPGTGNVVLRAVIPNPDGLLLPGMYLKAVLPMATDARALLVPQKAVLRNERGEPLLRLLDARDHVVERRVGIGQVVGNQWQITQGLKAGERVIVSNGSAVSLGQQVKAIAATTAQLAAMPAIDPNGNTDETSH ->ARGMiner~~~catB~~~AAA73865.1~~~chloramphenicol unknown +>ARGMiner~~~catB~~~AAA73865.1~~~chloramphenicol~~~unknown MNFNLIDINHWSRKPYFEHYLNNVKCTYSMTANIEITDLLYEIKLKNIKFYPTLIYMIATVVNNHKEFRICFDHKGSLGYWDSMNPSYTIFHKENETFSSIWTEYNKSFLRFYSDYLDDIKNYGNIMKFTPKSNEPDNTFSVSSIPWVSFTGFNLNVYNEGTYLIPIFTAGKYFKQENKIFIPISIQVHHAICDGYHASRFINEMQELAFSFQEWLENK ->ARGMiner~~~CTX-M-103~~~CDG50843.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-103~~~CDG50843.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGNGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~LEN-21~~~CAP12349.2~~~beta_lactam unknown +>ARGMiner~~~LEN-21~~~CAP12349.2~~~beta_lactam~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGVEQLVRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~mecC~~~BAG06200~~~beta_lactam unknown +>ARGMiner~~~mecC~~~BAG06200~~~beta_lactam~~~unknown MMKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLRDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~tetM~~~YP_001694718~~~tetracycline unknown +>ARGMiner~~~tetM~~~YP_001694718~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESKRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~TEM-208~~~AGL39384.1~~~beta_lactam unknown +>ARGMiner~~~TEM-208~~~AGL39384.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDFVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BacA~~~ZP_04431003~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04431003~~~bacitracin~~~unknown MHLWNLFVAAILGLVEGLTEFAPVSSTGHMIIVDDLILKSNHLYGTEAANVFKVVIQLGSIMAMVVVFWDRFLNLLGLKKNITREQMYGERLRLTHVITGLVPAAVLGFLFEDYIDAHLFSVNTVLVALVAGAVLMIVADLTRPRRPKTETVDEITYRQAFVIGLFQCLALWPGFSRSGSTISGGVLLGMSHRAAADFTFIMAVPIMFGASFLSLVKHWGAVTPETIPFFITGFISAFIFALIAIKFFLALINKVKLIPFAVYRILLAIVLLLLIWNR ->ARGMiner~~~CTX-M-11~~~AAF93177.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-11~~~AAF93177.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAGLERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSPAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRHVLAS ->ARGMiner~~~SRT-2~~~AAS07017.3~~~beta_lactam unknown +>ARGMiner~~~SRT-2~~~AAS07017.3~~~beta_lactam~~~unknown MTKMNRLAAALIAALILPTAQAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEETLFEVGSLSKTFTATLAVYAQQQGKLSFNDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTNDAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAATHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIVQALEKR ->ARGMiner~~~TEM-28~~~AAC32891.1~~~beta_lactam unknown +>ARGMiner~~~TEM-28~~~AAC32891.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~CARB-4~~~AAC09012.1~~~beta_lactam unknown +>ARGMiner~~~CARB-4~~~AAC09012.1~~~beta_lactam~~~unknown MKLLLVFSLLIPSMVFANSSKFQQVEQDAKVIEASLSAHIGISVLDTQTGEYWDYNGNQRFPLTSTFKTIACAKLLYDAEQGEINPKSTIEIKKADLVTYSPVIEKQVGQAITLDDACFATMTTSDNAAANIILNALGGPESVTDFLRQIGDKETRLDRIEPELNEGKLGDLRDTTTPNAIVNTLNELLFGSTLSQDGQKKLEYWMVNNQVTGNLLRSVLPEGWNIADRSGAGGFGARSITAVVWSEAQSPIIVSIYLAQTEASIADRNDAIVKIGRSIFEVYSSQSR ->ARGMiner~~~APH(3'')-Ib~~~ABK33456.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3'')-Ib~~~ABK33456.1~~~aminoglycoside~~~unknown MNRTNIFFGESHSDWLPVRGGESGDFVFRRGDGHAFAKIAPASRRGELAGERDRLIWLKGRGVACPEVINWQEEQEGACLVITAIPGVPAADLSGADLLKAWPSMGQQLGAVHSLLVDQCPFERRLSRMFGRAVDVVSRNAVNPDFLPDEDKSTPQLDLLARVERELPVRLDQERTDMVVCHGDPCMPNFMVDPKTLQCTGLIDLGRLGTADRYADLALMIANAEENWAAPDEAERAFAVLFNVLGIEAPDRERLAFYLRLDPLTWG ->ARGMiner~~~OKP-B-18~~~CAP12358.1~~~beta_lactam unknown +>ARGMiner~~~OKP-B-18~~~CAP12358.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISEGQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~AcrB~~~ZP_02684554~~~multidrug unknown +>ARGMiner~~~AcrB~~~ZP_02684554~~~multidrug~~~unknown MANFFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRLKDPEEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLSPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGFFGWFNTRFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMAVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIDQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAPGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVISRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~EmrE~~~YP_002398143~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~EmrE~~~YP_002398143~~~multidrug~~~Multi-drug efflux pumps MLIGRVNSFVSLGFLLIIIVPAFISCHARAPWIHIHQDENGELCSNCSTILSSMNRKEYAMNPYIYLGGAILAEVIGTTLMKFSEGFTRLWPSVGTIICYCASFWLLAQTLAYIPTGIAYAIWSGVGIVLISLLSWGFFGQRLDLPAIIGMMLICAGVLVINLLSRSAPH ->ARGMiner~~~dfrA22~~~CAX16467.1~~~trimethoprim unknown +>ARGMiner~~~dfrA22~~~CAX16467.1~~~trimethoprim~~~unknown MNPESVRIYLVAAMGANRVIGNGPDIPWNIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRRTVVLSRQASYSAAGCAVVSTLSQAIAIAAEHGKELYVAGGAEVYALALPRADGVFLSEVHQTFEGDAFFPALDAAEFDVVSAETVQATITYTHSVYARRNG ->ARGMiner~~~IMP-4~~~AAK13078.1~~~beta_lactam unknown +>ARGMiner~~~IMP-4~~~AAK13078.1~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAEPLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVDAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFGGVNYWLVKNKIEVFYPGPGHTPDNLVVWLPERKILFGGCFIKPYGLGNLGDANLEAWPKSAKLLISKYGKAKLVVPSHSEAGDASLLKLTLEQAVKGLNESKKPSKLSN ->ARGMiner~~~CMY-34~~~ABN51006.1~~~beta_lactam unknown +>ARGMiner~~~CMY-34~~~ABN51006.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~MacB~~~YP_001880923~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_001880923~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~VatB~~~YP_001389621~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~VatB~~~YP_001389621~~~macrolide-lincosamide-streptogramin~~~unknown MNKFGPNPNSIYPNENIKSICYIKNVIKNPNIQVGDYTYYDDINGAEKFEEHVTHHYEFIGDKLIIGKFCAIAKGIEFVMNGANHRMKSITTYPFNIMGGGWEKAMPTLEDLPLKGDTVVDNDVWIGQNVTVMPGVHIGDGSIIAANSLVTKDVPPYHIAGGNPCKIIKKRFDDELIDYLLNLKWWDWSEEKIFKNLEVLCSPDLDKIKSIK ->ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~NP_863643.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~NP_863643.1~~~aminoglycoside~~~unknown MNIVENEICIRTLIDDDFPLMLKWLTDERVLEFYGGRDKKYTLESLKKHYTEPWEDEVFRVIIEYNNVPIGYGQIYKMYDELYTDYHYPKTDEIVYGMDQFIGEPNYWSKGIGTRYIKLIFEFLKKERNANAVILDPHKNNPRAIRAYQKSGFRIIEDLPEHELHEGKKEDCYLMEYRYDDNATNVKAMKYLIEHYFDNFKVDSIEIIGSGYDSVAYLVNNEYIFKTKFSTNKKKGYAKEKAIYNFLNTNLETNVKIPNIEYSYISDELSILGYKEIKGTFLTPEIYSTMSEEEQNLLKRDIASFLRQMHGLDYTDISECTIDNKQNVLEEYILLRETIYNDLTDIEKDYIESFMERLNATTVFEGKKCLCHNDFSCNHLLLDGNNRLTGIIDFGDSGIIDEYCDFIYLLEDSEEEIGTNFGEDILRMYGNIDIEKAKEYQDIVEEYYPIETIVYGIKNIKQEFIENGRKEIYKRTYKD ->ARGMiner~~~QnrB36~~~AEL00458.1~~~quinolone unknown +>ARGMiner~~~QnrB36~~~AEL00458.1~~~quinolone~~~unknown MTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDTIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~opmD~~~NP_252897.1~~~multidrug unknown +>ARGMiner~~~opmD~~~NP_252897.1~~~multidrug~~~unknown MKRSYPNLSRLALALAVGTGLAACSVGPDYQRPQSPPPRVASEHLGEFSGERREAPWWSFFDDPQLVRLVDQALARNHDIREARANLRSARALFDDRWLDQLPQVTSQAGYSRSIEQQLDYDGEPRRRLAESYRAGFDAQWEIDLFGRLGRLSDAALARAEAADADLRLVRLSIAADTARAYFEIQGYQRRLDVARAQVRSWRDTLELTRSSLQLGSGLPEDVENAQANLLRSEAAIPPLTTALESARYRLDVLRGEAPGSGAPILDGGAAAPLAKNLPLGDVDRLILQRPDVVSAERQLAASTEDVGAATAELYPRLDLGGFIGFFALRSGDLGSASRAFELAPSVSWPAFRLGNVRARLRAVEAQSDAALARYQRSLLLAQEDVGNALNQLAEHQRRLVALFQSATHGANALEIANERYRAGAGSYLAVLENQRALYQIREELAQAETASFVNVIALYKALGWGSGDLAPGAGQLAAGETAGANR ->ARGMiner~~~OXA-313~~~AGU69251.1~~~beta_lactam unknown +>ARGMiner~~~OXA-313~~~AGU69251.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKTTTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIQVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-86~~~AAZ78361.1~~~beta_lactam unknown +>ARGMiner~~~OXA-86~~~AAZ78361.1~~~beta_lactam~~~unknown MNIKTLLLITSTIFISACSPYIVTANPNHSTSKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASIEYVPASTFKMLNALIGLEHHKATTTEIFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSLKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-134~~~ADM47435.1~~~beta_lactam unknown +>ARGMiner~~~OXA-134~~~ADM47435.1~~~beta_lactam~~~unknown MKILIFLPLLSCLGLTACSLPVSSLPSQSISTQAIASLFDQAQSSGVLVIQRDQQVQVYGNDLNRANTEYVPASTFKMLNALIGLQHGKATTNEIFKWDGKKRSFTAWEKDMTLGQAMQASAVPVYQELARRIGLELMQQEVQRIQFGNQQIGQQVDNFWLVGPLKVTPKQEVQFVSALAREQLAFDPQVQQQVKAMLFLQERKAYRLYVKSGWGMDVEPQVGWLTGWVETPQAEIVAFSLNMQMQNGIDPAIRLEILQQALAELGLYPKAEG ->ARGMiner~~~arnA~~~NP_252244~~~polymyxin unknown +>ARGMiner~~~arnA~~~NP_252244~~~polymyxin~~~unknown MTSKAVVFAYHDIGCTGIEALLNAGYEIAAVFTHADDPRENTFYASVARLCAERGIPLHAPEDVNHPLWLERIRQLRPDFLFSFYYRRLLGAELLACAARGAYNLHGSLLPRYRGRAPANWVLVNGETQTGVTLHRMIERADAGPILAQQAVAIDPEDTALSLHGKLRKAAGALLRDSLPLLALGVLPEVEQDESQASHFGRRTPADGLLDWHRPARQLYDLVRAVTQPYPGAFCQVGEQKLIVWSAEVVAGNHGREPGSVLSCDPLRIACGEDSLVLRFGQRGERGLYLAGTQLATELGLVEGARLRGAACSPQRRTRVLILGVNGFIGNHLSERLLRDGRYEVHGMDIGSDAIERLKADPHFHFVEGDIGIHSEWLEYHVKKCDVILPLVAIATPIEYTRNPLRVFELDFEENLRIVRYCVKYGKRVVFPSTSEVYGMCQDPDFDEDRSNLVVGPINKQRWIYSVSKQLLDRVIWAYGQQGLRFTLFRPFNWMGPRLDRLDSARIGSSRAITQLILHLVEGTPIRLVDGGAQKRCFTDVDDGIEALARIIDNRDGRCDGQIVNIGNPDNEASIRQLGEELLRQFEAHPLRAQFPPFAGFREVESRSFYGDGYQDVAHRKPSIDNARRLLDWQPTIELRETIGKTLDFFLHEALREREAQA ->ARGMiner~~~acrB~~~YP_002636110~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_002636110~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGIFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~IMP-33~~~AEU17778.1~~~beta_lactam unknown +>ARGMiner~~~IMP-33~~~AEU17778.1~~~beta_lactam~~~unknown MKKLFVLCVCFFCSITAAGSSLPDLKIEKLEEGVFVHTSFEEVNGWGVVTKHGLVVLVNTDAYLIDTPFTATDTEKLVNWFVERGYKIKGTISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKSGKVQAKYSFSEVSYWLVKNKIEVFYPGPGHTQDNLVVWLPESKILFGGCFVKPHGLGNLGDANLEAWPKSAKILMSKYGKAKLVVSSHSEKGDASLLKRTWEQALKGLKESKKTSSPSN ->ARGMiner~~~CTX-M-84~~~ACI29346.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-84~~~ACI29346.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVAWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~pbp2~~~YP_002045964~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~YP_002045964~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDIANFRRALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTPAQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQPGQAP ->ARGMiner~~~BcII~~~ZP_04295911~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04295911~~~beta_lactam~~~unknown MKKNTLLKLGVCVSLLGTIQFVSTISSVKAEQKLEQKVIKNETGTISISQLNKNVWVHTELGYFNGVAVPSNGLVLNTSKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKNGYEEPLGDLQTITNMKFGNIKVETFYPGKGHTEDNIVVWLPQYNILAGGCLVKSAEAKDLGNVADAYVNEWSISIENVLKRYGNMNLVVPGHGEVGDRGLLLHTLDLLK ->ARGMiner~~~catIII~~~1CIA~~~chloramphenicol unknown +>ARGMiner~~~catIII~~~1CIA~~~chloramphenicol~~~unknown MNYTKFDVKNWVRREHFEFYRHRLPCGFSLTSKIDITTLKKSLDDSAYKFYPVMIYLIAQAVNQFDELRMAIKDDELIVWDSVDPQFTVFHQETETFSALSCPYSSDIDQFMVNYLSVMERYKSDTKLFPQGVTPENHLNISALPWVNFDSFNLNVANFTDYFAPIITMAKYQQEGDRLLLPLSVQVHQAVCDGFHVARFINRLQELCNSKLK ->ARGMiner~~~mefB~~~ACJ63262.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~mefB~~~ACJ63262.1~~~macrolide-lincosamide-streptogramin~~~unknown MNRIKNWKKQFAVIYTGQAFSILGSAAVQFAVIWWLTIQTESAITLTIASLVAFLPNMLIGPFAGVWIDRYNRRTVMILADGLVAVSSIILGAAFLLVETPPIWFIYIVLFLRGLGNTFHGPAMQAAIPMFVPADMLTKAGGWGNMIQSISNMMGPVLGAALMSFLPISSIMIVDILGAAFAIVCLLFVIIPDITQTNEKMSVLSDMKQGFIAMKANKPLMAVFSPMLLMTILYMPLGSLFPLLARSHFMGEAWHNSIVEFVFAGGLLLSSLVIGVWGGMKRRFFMASLAIGLMGLATLISGALPTSGFWIFVICCFFLGASGTFMNVPVMAYVQESIAPEMMGKVFSLLMTAMTLSMPIGLLVAGPVVEVIGVNTWFFWSGVALIVNAVLCRILTRRYDKVTMKPQVD ->ARGMiner~~~BcI~~~YP_002445900~~~beta_lactam unknown +>ARGMiner~~~BcI~~~YP_002445900~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKCKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEASYDNQLIAEATEVIVKALR ->ARGMiner~~~BacA~~~ZP_00051658~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_00051658~~~bacitracin~~~unknown MDLVLIAKALVLAVVEGATEFIPVSSTGHQLLIGHFIGFHSPNNTFEVLIQLGAILAILFAYFGRLWGIATALPSDPKARRFVLAVLVAFLPAAIIGGLFSKYIKFYLFNPWIVCATLVAGGLVLLVVDDTVGEPKAAPHDGPTESPRKTDVFEFSLPMALKIGIFQCLAMIPGVSRSGATIVGAMLMGASKRSATEFSFYLAMPTMAGAFAKDLLDNYKNLSSNDALLIVIGFVAAFVSALIVVRTVLDYVSRHGFWLFAWWRIIVGSLGFAALIIFG ->ARGMiner~~~RosB~~~AAS61092~~~polymyxin unknown +>ARGMiner~~~RosB~~~AAS61092~~~polymyxin~~~unknown MHHSTPLITTIVGGLVLAFLFGTLAHRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKSIAIPGAIAQIAVATLLGMGLSHFLGWDLITGLVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFAGVMGNETTNLNQLFTELAITIGKVIAFITLMIVVGRRLVPWILAKTASTGSRELFTLAVLVLALGIAYGAVELFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILVNEPLAVIASLAIIIFGKSAIAFALVRLFGHSKRTALTISVSLAQIGEFAFILAGLGISLGLLSGHGRNLVLASAILSIMLNPLLFTLLDRYLAKTETMEDLILEEAVEEEKQIPVDLCNHVLLVGYGRVGSLLGAKLHAEGIPLVVIENSRPRVEALREQGINAVFGNAANADVMALGRLDCARWLLLTIPNGYEAGEIVASARIKRPDLEIIARAHYDDEVVYISDRGANQVVMGEREIANSMLNMLKIETLTEEDKMPVCPI ->ARGMiner~~~CMY-78~~~P05193~~~beta_lactam unknown +>ARGMiner~~~CMY-78~~~P05193~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDRIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPGDVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKSSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYLEGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~QnrB42~~~AEQ94272.1~~~quinolone unknown +>ARGMiner~~~QnrB42~~~AEQ94272.1~~~quinolone~~~unknown MALVLVGEKIDRNCFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNSSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWIGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~pbp1a~~~ZP_04466634~~~beta_lactam unknown +>ARGMiner~~~pbp1a~~~ZP_04466634~~~beta_lactam~~~unknown MRIAKLILNTLLTLCILGLVAGGMLYFHLKSELPSVETLKTVELQQPMQIYTADGKLIGEVGEQRRIPVKLADVPQRLIDAFLATEDSRFYDHHGLDPIGIARALFVAVSNGGASQGASTITQQLARNFFLTSEKTIIRKAREAVLAVEIENTLNKQEILELYLNKIFLGYRSYGVAAAAQTYFGKSLNELTLSEMAIIAGLPKAPSTMNPLYSLKRSEERRNVVLSRMLDEKYISKEEYDAALKEPIVASYHGAKFEFRADYVTEMVRQEMVRRFGEENAYTSGYKVFTTVLSKDQAEAQKAVRNNLIDYDMRHGYRGGAPLWQKNEAAWDNDRIVGFLRKLPDSEPFIPAAVIGIVKGGAEILLASGEKMTLSTNAMRWTGRSNPVKVGEQIWIRQRANGEWQLGQIPSANSALVSLNSDNGAIEAVVGGFSYEQSKFNRATQSLVQVGSSIKPFIYAAALEKGLTLSSVLQDSPISIQKPGQKMWQPKNSPDRYDGPMRLRVGLGQSKNMIAIRVIQTAGIDFTAEFLQRFGFKRDQYFASEALALGAASFTPLEMARAYAVFDNGGFLIEPYIIEKIQDNTGKDLFIANPKIACIECNDIPVIYGETKDKINGFANIPLGESALKPTDDSTNGEELDQQPETVPELPELQSNFTALKEDAIDLMAAAKNASSKIEYAPRVISGELAFLIRSALNTAIYGEQGLDWKGTSWRIAQSIKRSDIGGKTGTTNSSKVAWYAGFGANLVTTTYVGFDDNKRVLGRGEAGAKTAMPAWITYMKTALSDKPERKLPLPPKIVEKSIDTLTGLLSPNGGRKEYFIVGTEPTRTYLSEMQERGYYVPTELQQRLNNEGNTPATQPQELF ->ARGMiner~~~OXA-37~~~AAG33665.1~~~beta_lactam unknown +>ARGMiner~~~OXA-37~~~AAG33665.1~~~beta_lactam~~~unknown MIIRFLALLFSAVVLVSLGHAQDKTHESSNWGKYFSDFNAKGTIVVVDERTNGNSTSVYNESRAQQRYSPASTFKIPHTLFALDAGAVRDEFHVFRWDGAKRSFAGHNQDQNLRSAMRNSTVWVYQLFAKEIGENKARSYLEKLNYGNADPSTKSGDYWIDGNLAISANEQISILKKLYRNELPFRVEHQRLVKDLMIVEAKRDWILRAKTGWDGQMGWWVGWVEWPTGPVFFALNIDTPNRMEDLHKREAIARAILQSVNALPPN ->ARGMiner~~~QnrB56~~~AFR46587.1~~~quinolone unknown +>ARGMiner~~~QnrB56~~~AFR46587.1~~~quinolone~~~unknown MTPLLYKNTGIDMTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAGNFTHCDLTNSELGDLDIRGVDLQGVKLDSYQASLLMERLGIAIIG ->ARGMiner~~~BacA~~~NP_645462~~~bacitracin unknown +>ARGMiner~~~BacA~~~NP_645462~~~bacitracin~~~unknown MFIIELIKGIILGVVEGLTEFAPVSSTGHMILVDDMWLKSSEFLGSQSAFTFKIVIQLGSVFAAAWVFRERFLEILHIGKHKHVEGDNNQQRRSKPRRLNLLHVLVGMVPAGILGLLFDDFIEEHLFSVPTVMIGLFVGAIYMIIADKYSAKVKNPQTVDQINYFQAFVIGISQAVAMWPGFSRSGSTISTGVLMKLNHKAASDFTFIMAVPIMLAASGLSLLKHYQDIQITDIPFYILGFLAAFTVGLIAIKTFLHLINKIKLIPFAIYRIVLVIFIAILYFGFGIGKGI ->ARGMiner~~~APH(4)-Ia~~~CAA24743.1~~~aminoglycoside unknown +>ARGMiner~~~APH(4)-Ia~~~CAA24743.1~~~aminoglycoside~~~unknown MKKPELTATSVEKFLIEKFDSVSDLMQLSEGEESRAFSFDVGGRGYVLRVNSCADGFYKDRYVYRHFASAALPIPEVLDIGEFSESLTYCISRRAQGVTLQDLPETELPAVLQPVAEAMDAIAAADLSQTSGFGPFGPQGIGQYTTWRDFICAIADPHVYHWQTVMDDTVSASVAQALDELMLWAEDCPEVRHLVHADFGSNNVLTDNGRITAVIDWSEAMFGDSQYEVANIFFWRPWLACMEQQTRYFERRHPELAGSPRLRAYMLRIGLDQLYQSLVDGNFDDAAWAQGRCDAIVRSGAGTVGRTQIARRSAAVWTDGCVEVLADSGNRRPSTRPRAKE ->ARGMiner~~~EmrD~~~YP_001572785~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_001572785~~~multidrug~~~unknown MKRQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMAQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLIAMTTHSLTVLIVASAMQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTLWNWRACYAFLLVLCAGVTFSMARWMPETRPAGAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMLPQTGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~sul2~~~YP_001969930~~~sulfonamide unknown +>ARGMiner~~~sul2~~~YP_001969930~~~sulfonamide~~~unknown MKHKNRELVLFFAITFYYSLFFYFKCSTDPIIEAPMNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIRYQKTEGTSIESN ->ARGMiner~~~ACT-17~~~BAA07922~~~beta_lactam unknown +>ARGMiner~~~ACT-17~~~BAA07922~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILEALQ ->ARGMiner~~~QnrB72~~~AGN92479.1~~~quinolone unknown +>ARGMiner~~~QnrB72~~~AGN92479.1~~~quinolone~~~unknown MTLALVCEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITMRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~AAC(6')-Ik~~~AAA87229.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ik~~~AAA87229.1~~~aminoglycoside~~~unknown MNIKPASEASLKDWLKLRIKLWNDLEESHLQEMHQLLAEKHALQLLVYSDDHAVGMLEASIRYEYVNGTETSPVAFLEGIYVLPEYRRLGVATLLVRQVEAWAKQFSCTEFASDAALDNVISHAMHRALGFQETERVVYFSKKID ->ARGMiner~~~QnrA1~~~ACE95087~~~quinolone unknown +>ARGMiner~~~QnrA1~~~ACE95087~~~quinolone~~~unknown MDIIDKVFQQEDFSRQDLSDSRFRRCRFYQCDFSHCQLQEPCFEDCSFIESGAVEGCHFSYADLRDASFKACRLSLANFSGANCFGIEFRECDLKGANFSRARFYNQVSHKMYFCSAYISGCNLAYTNLSGQCLEKCELFENNWSNANLSGASLMGSDLSRGTFSRDCWQQVNLRGCDLTFADLDGLDPRRVNLEGVKICAWQQEQLLEPLGVIVLPD ->ARGMiner~~~RosA~~~ZP_04623896~~~fosmidomycin unknown +>ARGMiner~~~RosA~~~ZP_04623896~~~fosmidomycin~~~unknown MGAISVSHLLNDMIQSLILAIYPLLQAEFSLSFAQIGLITLTYQLTASLLQPLIGLYTDKHPKPYSLPIGMGFTLSGILLLAVATTFPVVLLAAALVGTGSSVFHPESSRVARMASGGRHGMAQSIFQVGGNFGSALGPLLAAILIAPYGKGNVGWFSLAALLAIVVLLQVSKWYQQQQRSTHGKVLKASSVKVLPKKTVIKALVILMVLIFSKYFYLTSISSYYTFYLMHRFGVSIQNAQIHLFVFLFAVAAGTIIGGPLGDRIGRKYVIWGSILGVAPFTLLLPHASLYWTGVLTVIIGIILASAFSAILVYAQELIPGKVGMVSGLFFGFAFGMGGLGAAVLGYVADLTSIELVYQICAFLPLLGIITVFLPNIEDK ->ARGMiner~~~AAC(3)-Ib~~~AAA88422.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ib~~~AAA88422.1~~~aminoglycoside~~~unknown MLWSSNDVTQQGSRPKTKLGGSMSIIATVKIGPDEISAMRAVLDLFGKEFEDIPTYSDRQPTNEYLANLLHSETFIALAAFDRGTAIGGLAAYVLPKFEQARSEIYIYDLAVASSHRRLGVATALISHLKRVAVELGAYVIYVQADYGDDPAVALYTKLGVREDVMHFDIDPRTAT ->ARGMiner~~~BLA1~~~AAR20595.1~~~beta_lactam unknown +>ARGMiner~~~BLA1~~~AAR20595.1~~~beta_lactam~~~unknown MIVLKNKKMLKIGMCVGILGLSITSLVTFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTAGNALPNHKRNILTKWMKGNATGDKLIRAGVPTNWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~vanRF~~~AAR84672.1~~~glycopeptide unknown +>ARGMiner~~~vanRF~~~AAR84672.1~~~glycopeptide~~~unknown MKNITILIADDDAEIADLVAIHLEKEGYRVIKVSDGQETIDVIQNQPIDLLILDIMMPKMDGFEVTRRIREKHNMPIIFLSAKTSDFDKVQGLVIGADDYMTKPFIPIELVARVNAQLRRFMKLNQPKTKQNSNLEFGGLTISPEQRTVTLYGKNIELTPKEFEILFLLASNPNKVYRAEDIFQKVWGDAYYEGGNTVMVHIRTLRKKLEEDKRKNKLIQTVWGVGYKFNG ->ARGMiner~~~CTX-M-148~~~AHX39589.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-148~~~AHX39589.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVIAVAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAERRRDVLASAARIIAEGL ->ARGMiner~~~AAC(6')-Iaa~~~NP_460578.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iaa~~~NP_460578.1~~~aminoglycoside~~~unknown MDIRQMNRTHLDHWRGLRKQLWPGHPDDAHLADGEEILQADHLASFIAMADGVAIGFADASIRHDYVNGCDSSPVVFLEGIFVLPSFRQRGVAKQLIAAVQRWGTNKGCREMASDTSPENTISQKVHQALGFEETERVIFYRKRC ->ARGMiner~~~OXA-382~~~AHL30286.1~~~beta_lactam unknown +>ARGMiner~~~OXA-382~~~AHL30286.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKTDKKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFTYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~mdtF~~~YP_002384138~~~multidrug unknown +>ARGMiner~~~mdtF~~~YP_002384138~~~multidrug~~~unknown MANYFIERPVFAWVLAIILMLAGGIAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMTGLDGLMYMSSTSDAAGNAQITLTFETGTSADIAQVQVQNKLQLAMPSLPQEVQQQGISVDKSSSNILMVAAFISDDGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSQYAMRIWLDPQKLNKYNLEPQDVISQIKIQNNQISGGQLGGMPQAADQQLNASIIVQTRLQSPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSKAVKSELDRLSAWFPASLKTVYPYDTTPFIQISIQEVFKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVISEEGLAPKEAAHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATLLKPTKEVTTGNSLFARFNRLFEASTNHYTDSTRRLLGTTGRYMVVYLLIVAGMAVLFLRTPTSFLPEEDQGVLMTTAQLPSGSTMVNTSKVLDEITDYYLTKEKNNVESVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTGIIQRAMVALSAINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLAMAAQAPDQVTGVRPNGLEDTPMFRVNVNAAKAEAMGVSLSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGAMAPLSAYSSTEWTYGSPRLERYNGLPSMEILGEAAAGKSTGEAMDFMASLVAKLPAGVGYSWTGLSYQEKLSTNQAPMLYAISLIVVFLALAALYESWSIPFSVMLVVPLGVIGALLATDLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAVEMMQKEGKSPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFIVVEHLFSRFKKRT ->ARGMiner~~~NorA~~~YP_042788~~~multidrug unknown +>ARGMiner~~~NorA~~~YP_042788~~~multidrug~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSLGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~SHV-95~~~ABN49113.1~~~beta_lactam unknown +>ARGMiner~~~SHV-95~~~ABN49113.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARLQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-141~~~ABQ15112.1~~~beta_lactam unknown +>ARGMiner~~~OXA-141~~~ABQ15112.1~~~beta_lactam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNADPSTSNGDYWIESSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~bacA~~~ZP_03284557~~~bacitracin unknown +>ARGMiner~~~bacA~~~ZP_03284557~~~bacitracin~~~unknown MSDMHSLLVAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFSLIGIHFGRLPQREGEGKGRLTLIHILLGMIPAVVLGLIFHDTIKSLFNPINVMYALVVGGVLLIAAELLKPKTPRAEGLDDMTYRQAFIIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATALDLYKSIGFLTTGDIPMFAVGFITAFIVALIAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~arr-8~~~AGC29882.1~~~rifampin unknown +>ARGMiner~~~arr-8~~~AGC29882.1~~~rifampin~~~unknown MIKDWIPTTHENCKKMQGPFYHGTKAKLSVGELLTTGFNTHFEEGRTLKHVYFSAMLEPAIWGAELAVSLSGLDGRGYIYLVEPTGPFEDDPNLTNKKFPGNPTMSYRTSEPLQIVGVVEEWEGHSAEALKAMLDSLENLERNGLHVIYD ->ARGMiner~~~AAC(6')-Iv~~~AAD03494.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iv~~~AAD03494.1~~~aminoglycoside~~~unknown MKIMPISESQLSDWLVLRCLLWPDHEEQHLQEMRQLITQAHCLQLLAYTDTQQAIAMLEASIRYEYVNGTQTSPVAFLEGIYVLPEYRRSGIATGLVQHVEIWAKQFSCTEFASDAALDNQITHAMHQALGFQETERVVYFKKNIG ->ARGMiner~~~EmrD~~~NP_756458~~~multidrug unknown +>ARGMiner~~~EmrD~~~NP_756458~~~multidrug~~~unknown MIMKRHRNVNLLLMLVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLVAVTTSSLTVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLVLCAGVTFSMARWMPETRPVDAPRTRLLTSYKTLFGNSGFNCYLLMLIGGLAGIAAFEACSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLLMWIPDWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGLLIVLCWLPLATRMSHQGQPV ->ARGMiner~~~lsaA~~~ZP_04437889~~~multidrug unknown +>ARGMiner~~~lsaA~~~ZP_04437889~~~multidrug~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDIEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVRPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~tetM~~~ZP_03079543~~~tetracycline unknown +>ARGMiner~~~tetM~~~ZP_03079543~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSQIDKVRYMFNKIT ->ARGMiner~~~MdtP~~~ZP_03048876~~~multidrug unknown +>ARGMiner~~~MdtP~~~ZP_03048876~~~multidrug~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQATPVVEKK ->ARGMiner~~~DHA-16~~~AIT76105.1~~~beta_lactam unknown +>ARGMiner~~~DHA-16~~~AIT76105.1~~~beta_lactam~~~unknown MKKSLSATLVSALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADVQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKETSLNDPAVKYQPELTQPQWKGITLLDLATYTAGGLPLQVPEAVKSSEDLLHFYQQWQPSWQPGKMRLYANSSIGLFGALTATAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNNQPVRVTGGPLDAESYGVKSASKDMLRWAEINMSPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~rmtG~~~AGE00988.1~~~aminoglycoside unknown +>ARGMiner~~~rmtG~~~AGE00988.1~~~aminoglycoside~~~unknown MRDPLFEKLAASKKYRDVCPDTIARILTECRAKYRREKEIDKAAREKLHGITAAFMTDAEYRRAMEIAVRGGELAELMECHASTRERLPLEETDAVYARLLGAPDESALDLACGLNPAYLQNRYPEMRVTGIDISGQCVRVLRALGVDARLGDLLAENAIPRARYSVALLFKILPLLDRQSAGAARRILEAVNADALICSFPTRSLSGRNVGMAVHYAAWMRDQLPEKWRIERTVETDNELYYVLKEKQDGEAVRGGDSHRESE ->ARGMiner~~~MdtO~~~YP_312992~~~multidrug unknown +>ARGMiner~~~MdtO~~~YP_312992~~~multidrug~~~unknown MSALNSLPLPMVRLLAFFHEELSERRPGRVPQIVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGRMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAVAARECNLENICQTLLQLGQMNPNTPPTPAAKPPSMVADAFTNPDYIRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVSNPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~SHV-13~~~AAD43815.1~~~beta_lactam unknown +>ARGMiner~~~SHV-13~~~AAD43815.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAAERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~dfrA24~~~CAI99385.1~~~trimethoprim unknown +>ARGMiner~~~dfrA24~~~CAI99385.1~~~trimethoprim~~~unknown MTYQLDVSKILSFDLEAIVAATENGGIGYKGDLPWRLQGDLKRFREITQGGIVIMGAGTYKSLPSPLKDRINIVITKKSEISWTACYDVRVVNSPEDALRMVGRIIDEKEEQGRDRPRVFVIGGASIYQALMPFVSTLHWTEVHVEQLPEEIGLDTYIEDFLSLRGTSTPKRKSNLVLPPTPTTP ->ARGMiner~~~QnrB38~~~AEL00461.1~~~quinolone unknown +>ARGMiner~~~QnrB38~~~AEL00461.1~~~quinolone~~~unknown MALALIGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAILKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKAVLEKCELWENRWMGTQVLGATLSGSDLSGGEFSSFDWRTANFTHCDLTNSELGDLDIRGVDLQGVKLDSYQAALLMERLGIAVIG ->ARGMiner~~~CARB-7~~~AAM74565.1~~~beta_lactam unknown +>ARGMiner~~~CARB-7~~~AAM74565.1~~~beta_lactam~~~unknown MKSLLVFALLMPSVVFASSSKFQSVEQEIKGIESSLSARIGVAILDTQNGESWDYNGDQRFPLTSTFKTIACAKLLYDAEHGKVNLNSTVEIKKADLVTYSPVLEKQVGKPITLSDACLATMTTSDNTAANIVINAVGDPKSITDFLRQIGDKETRLDRVEPELNEGKLGDLRDTTTPNAITSTLNQLLFGSTLSEASQKKLESWMVNNQVTGNLLRSVLPVKWSIADRSGAGGFGARSITAIVWSEEKKPIIVSIYLAQTEASMAERNDAIVKIGRSIFEVYTSQSR ->ARGMiner~~~dfrA14~~~ABD46710~~~trimethoprim unknown +>ARGMiner~~~dfrA14~~~ABD46710~~~trimethoprim~~~unknown MRTLKVSLIAAKAKNGVIGCGPDISWSAKGEQLLFKALTYNQWLLVGRKTFESMGALPNRKYAVVTRSGWTSNDDNVVVFQSIEEAMDRLAEFTGHVIVSGGGEIYRETLPMASTLHLSTIDIEPEGDVFFPSIPNTFEVVFEQHFTSNINYCYQIWKKG ->ARGMiner~~~tetZ~~~AAD25063.1~~~tetracycline unknown +>ARGMiner~~~tetZ~~~AAD25063.1~~~tetracycline~~~unknown MLITATLDAAGLGLVMPILPTLLDQVGAPDDMIPLHVGLLTALYAIMQFLCAPILGRLSDRFGRRRVLVASLAGATIDYLVLALTDTLWVFYLARAVAGITGATNAVTATVIADITPPDQRAKRYGWLGACYGGGMIAGPAIGGLFGGVSPHLPFLVAAALAGITLVLSASLLRETRPPGSNGSHAQQPGTAKRTAVPGMLILLAVFGIVQFIGQAPGSTWVLFTQQRLDWNPVEVGVSLSIFGMVQVFVQAALTGRIVSRIGETRAILVGIAADAIGLIGLALIASTWAMLPILAALGLGSITLPALQTLLSRRAPEQQQGRLQGTLASLNSLTSIIGPVTFTGIFALTRTNADGTLWICAAALYVLCALLMIRETCASRRSR ->ARGMiner~~~MdtP~~~AAC43174~~~multidrug unknown +>ARGMiner~~~MdtP~~~AAC43174~~~multidrug~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWXQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIAAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~tetD~~~CAE51745.1~~~tetracycline unknown +>ARGMiner~~~tetD~~~CAE51745.1~~~tetracycline~~~unknown MYIEQHSRYQNKANNIQLRYDDKQFHTTVIKDVLLWIEHNLDQSLLLDDVANKAGYTKWYFQRLFKKVTGVTLASYIRARRLTKAAVELRLTKKTILEIALKYQFDSQQSFTRRFKYIFKVTPSYYRRNKLWELEAMH ->ARGMiner~~~ceoB~~~ZP_02465589~~~multidrug unknown +>ARGMiner~~~ceoB~~~ZP_02465589~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVVILLAGMIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPNDSYDMTYLRNYALINVKDRLSRIQGVGQVQLWGAGDYAMRVWLDPQKVAQRNLTADDVVRAIREQNVQVAAGVIGASPTLPGTPLQLSVNARGRLQNEEEFGDIVVKTAPDGGVTHLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLAISDEVRKTMAELKQDFPAGVDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASIIPLIAVPVSIVGTFSLLLLFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIENGLTAQAATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRAMNRVLGGFFRGFNKVFHRGAENYGRGVRGVLSRKAVMLGVYLVLVGATVMVSRIVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGAIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFDQRHGKALSAGAIAGALNQQYAAIKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYARLADATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVNVTDVFDTMQIYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNAAGEMVPLSSLVTVSPTFGPEMVVRYNAYTAADVNGGPAPGFSSGQAQAAVERIAAQTLPRGVKFEWTDLTYQQILAGDSAFWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGKTPFEAAVEASRLRLRPILMTSIAFIMGVVPLVLSTGAGAEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAQKDSAGYGVPAPDA ->ARGMiner~~~OXA-204~~~AFU91598.1~~~beta_lactam unknown +>ARGMiner~~~OXA-204~~~AFU91598.1~~~beta_lactam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGHRRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~KPC-16~~~AGJ01153.1~~~beta_lactam unknown +>ARGMiner~~~KPC-16~~~AGJ01153.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAASQRQQLVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~OKP-B-13~~~AAV80715.1~~~beta_lactam unknown +>ARGMiner~~~OKP-B-13~~~AAV80715.1~~~beta_lactam~~~unknown MRYIRLCLISLIAALPLAVFASPQPLEQIKISESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNTAGNLLLKIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMAERNQQIAVIGAALIEHWQR ->ARGMiner~~~VEB-2~~~AAK29174.1~~~beta_lactam unknown +>ARGMiner~~~VEB-2~~~AAK29174.1~~~beta_lactam~~~unknown MKIVKRILLVLLSLFFTIVYSNAQADNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKTWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~MdtH~~~ZP_03345948~~~multidrug unknown +>ARGMiner~~~MdtH~~~ZP_03345948~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTARTPVREGMRRVMSNKRFVTYVLTLAGYYMLAVQAMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASPADARARGSYMGFSRLGLAIGGAISYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~BacA~~~YP_001187667~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001187667~~~bacitracin~~~unknown MDIWMAFQALILGIVEGLTEFLPISSTGHLIVVGDLLGFNGETATAFKIIIQLGAILAVMWEFRARVLGVVLGLRSEPRAQRFTFNLLLAFIPAVVFGLAFADLIEHWLFNPITVATALIVGGIIMLWAEKREHAIQAESVDDMTWKLALKVGFAQCLALIPGTSRSGATIIGGLVFGLSRKAATEFSFFLAMPTMIAATVYSLFKYRDILQWSDLPIFAIGFVSTFIVAMITVRALLKFIANHSYAVFAWYRIAFGLVILATWQLHLIDWSTAQP ->ARGMiner~~~SHV-73~~~CAJ47128.2~~~beta_lactam unknown +>ARGMiner~~~SHV-73~~~CAJ47128.2~~~beta_lactam~~~unknown MRIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPVGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADRTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~AAC(6')-IIc~~~AAD46626.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIc~~~AAD46626.1~~~aminoglycoside~~~unknown MSANNAAIVLRVMAENDLPMLHAWLNRPHIVEWWGGEDERPTLDEVLEHYSPEVLAKQAVVPYIAMLDDEPIGYAQSYIALGSGDGWWEDETDPGVRGIDQSLANPSQLNKGLGTKLVRSLVELLFSDPAVTKIQTDPSPSNHRAIRCYEKAGFVQEKNILTPDGPAVYMVQTRQAFESLRTVQSFKIKGKWS ->ARGMiner~~~OCH-8~~~ABF50909.1~~~beta_lactam unknown +>ARGMiner~~~OCH-8~~~ABF50909.1~~~beta_lactam~~~unknown MRKSTTLLIGFLTTAAIIPNNGALATSKANDGDLRRIVDETVRPLMAEQKIPGMAVAITIDGKSHFFGYGVASKESGQKVTEDTIFEIGSVSKTFTAMLGGYGLATGAFSLSDPATKWAPELAGSSFDKITMLDLGTYTPGGLPLQFPDAVTDDSSMLAYFKKWKPDYPAGTQRRYSNPSIGLFGYLAARSMDKPFDVLMEQKLLPAFGLKNTFINVPESQMKNYAYGYSKANKPIRVSGGALDAQAYGIKTTALDLARFVELNIDSSSLEPDFQKAVAATHTGYYHVGANNQGLGWEFYNYPTALKTLLAGNSSDMALKSHKIEKFDTPRQPSADVLINKTGSTNGFGAYAAFIPAKKIGIVLLANRNYPIDERVKAAYRILQALDNKQ ->ARGMiner~~~mexF~~~NP_251184.1~~~multidrug unknown +>ARGMiner~~~mexF~~~NP_251184.1~~~multidrug~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~OXY-2-8~~~AAB19684~~~beta_lactam unknown +>ARGMiner~~~OXY-2-8~~~AAB19684~~~beta_lactam~~~unknown STDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRVGLPESWVVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~BacA~~~BAH65231~~~bacitracin unknown +>ARGMiner~~~BacA~~~BAH65231~~~bacitracin~~~unknown MSDIHSLLVAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTANTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGKPPAHEGQGSGRLSLIHILLGMIPAVVMGLIFHDTIKSLFNPVNVMYALIVGGVLLIAAEVLKPKQPRAVGIDDMTYRQAFVIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATVLDVYKSIGFLNMGDVPMFAVGFVMAFIVALIAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~mepa~~~YP_002635521~~~multidrug multi-drug efflux pumps +>ARGMiner~~~mepa~~~YP_002635521~~~multidrug~~~multi-drug efflux pumps MKDEQLYYFEKSPIFKAMMHFSLTMMIGTLLSVIYGILNIYFIGFLGDSHMISAISLTLPIFAILMGLGNLFGVGGGTYISRLLGAKDYSKSKFVSSFSVYGGIVLGLIVILLTLPFSDQIAAILGARGETLAFTSNYLKVMFLSAPFVILFFILEQFARAVGAPIISMVGMLISVGLNIILDPILIFGFHLDVVGAALGTAISNVAAALFFIIYFMRNNDVVSLNIKFAKPTKQILTETFKIGIPAFLMSILMGFTGLVLNLFLSHYGNFAIASYGISFRLVQVPELIIMGLCEGVVPLIAYNFMANKTRMKDVIKMVIASIGVIFVICMVAVFTVGHHLIGLFSTDHAIIEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGVVIIPVLFIMNGLFGLTGVIWSLLIAETICAIASMLIVYALRDRLTVDTSQLVEG ->ARGMiner~~~SHV-59~~~AAV66328.1~~~beta_lactam unknown +>ARGMiner~~~SHV-59~~~AAV66328.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSVANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTLASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~tetB(P)~~~ZP_02632674~~~tetracycline unknown +>ARGMiner~~~tetB(P)~~~ZP_02632674~~~tetracycline~~~unknown MIELEVLIMKKIINIGIVAHVDAGKTTITENLLYYSGAIKSVGRVDLGNTQTDSMELERKRGITIKSSTISFNWNNVKVNIIDTPGHVDFISEVERSLNSLDGAILVISGVEGIQSQTRILFDTLKELNIPTIIFVNKLDRIGANFNKVFEEIKKNMSNKVVRLQEVYDVGSKAVYIKKLFDTCIINDDAINVLSDLDEAFLERYIGGIEPDKEEIQEKLSLYAREGSLYPVFCGAAAIGLGIEDLLDGICSYFPFASNDCESDLSGVVFKIERTSKNEKKVYVRLFGGKISVRDKIQVPNKEIAEKVKKINRLENGGVVEAQRIEAGDIGILYGLTSFQVGDVIGISNDKIKNISIAKPALKTTISAIDKEKNPELFKALTLLAEEDPLLELEMNDIDKEIYVNLFGEVQMEILSSMLDDLYGIKVEFSNIETIYKETPKGFGASIMHMQEDLNPFWATVGLEIEPAGRGEGLRYISNVSVGSLPKSFQNAIEEAVIKTSKQGLFGWEVTDVKVTLSCGEFFSPASTPADFRNVTPMVFMEALYKAQTVLLEPLHEFELKIPQNALSKAVWDLETMRATFDNPIVIGDEFSIKGLIPVENSKEYKMKIASYTEGRGMFVTKFYGYKEASAEFSKARKKTTYDPLNKKEYLLHKLNAIRD ->ARGMiner~~~BacA~~~ZP_02635322~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_02635322~~~bacitracin~~~unknown MGIDFLFILKALIIAIVEGLTEFVPVSSTGHMILVGDLIHFNTQSGGFPEMYEVVIQLGAILAVVVLYWRKISSSVVEFLCYIFSFIGLKTSGDKRKYEKRLAESKTGFRFGINVIIATIPAAILGFLFDDEINKYLFSTKTVAVGFIVGGILLIVIENNFRKRAKRSKIVKDIDKMTYGQSLLVGCFQCLSLWPGMSRSASTIMGGWISGLSTTVATEFTFFLGIPVMVGASGLKLFKFDYSQMDGTYLIALILGFIVAFIVSLVVIDKFINYLKKKPMRVFAIYRVFAGIVLAILIFTKVIS ->ARGMiner~~~CTX-M-19~~~AAK55534.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-19~~~AAK55534.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTESTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~amrB~~~YP_002081114~~~multidrug unknown +>ARGMiner~~~amrB~~~YP_002081114~~~multidrug~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~OXA-176~~~ADI58620.1~~~beta_lactam unknown +>ARGMiner~~~OXA-176~~~ADI58620.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDSKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-215~~~AEV91554.1~~~beta_lactam unknown +>ARGMiner~~~OXA-215~~~AEV91554.1~~~beta_lactam~~~unknown MKLSKLYTLTVLIGFGLSGVACQHIHTPVSFNQIENDQTKQIASLFENVQTTGVLITFDGQAYKAYGNDLNRAKTAYIPASTFKILNALIGIEHDKTSPNEVFKWDGQKRAFESWEKDLTLAEAMQASAVPVYQALAQRIGLDLMAKEVKRVGFGNTRIGTQVDNFWLIGPLKITPIEEAQFAYRLAKQELPFTPKTQQQVIDMLLVDEIRGTKVYAKSGWGMDITPQVGWWTGWIEDPNGKVIAFSLNMEMNQPTHAAARKEIVYQALTQLKLL ->ARGMiner~~~AmrB~~~YP_773413~~~multidrug unknown +>ARGMiner~~~AmrB~~~YP_773413~~~multidrug~~~unknown MARFFIDRPVFAWVIALFIMLGGAFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTALIEREMNGAPGLLYTSATSSAGAASLYLTFKQGVNADLAAVEVQNRLKTVEARLPEPVRRDGIQVEKAADNIQLVVSLTSDDGRMSAVQLGEYASANVVQALRRVDGVGKVQFWGAEYAMRIWPDPVKLAGHGLTASDIASAVRAHNARVTVGDIGRSAVPDSAPIAATVFADAPLKTPADFGAIALRAQPDGSALHLRDVARIEFGGNDYNYPSYVNGKVATGMGIKLAPGSNAVSTEKRVRATMDELSAYFPPGVKYQIPYETSSFVRVSMNKVVTTLIEAGVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGVMYAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEGLAPYDATVKAMRQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFALSLAVSIGFSAFLALSLTPALCATLLKPVSGDHHEKRGFFGWFNGFVARSTQRYATRVGAMLKKPLRWLVVYGALTAAAALMLTQLPSAFLPDEDQGNFMVMVIRPQGTPLAETMQSVREVESYIRRDEPAAYTFALGGFNLYGEGPNGGMIFVTLKNWKERKAARDHVQAIVARINERFAGTANTTVFAMNSPALPDLGSTSGFDFRLQNRGGLDYAAFSAAREQLLAAGGKDPALTDVMFAGTQDAPQLKLDIDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVIVQADGLHRLDPADVQKLRVRNAGGEMVPLAAFATLHWTLGPPQLTRYNGFPSFTINGSAAPGHSSGEAMAAIERLAAKLPAGIGHAWSGQSFEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVLGVTLRAMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLVDAALEAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQMAIGTGVLGGMITATVLAVFLVPLFFVIVGRLFDVGPRRRGGAQPAAMEGSQ ->ARGMiner~~~IND-7~~~BAJ05825.1~~~beta_lactam unknown +>ARGMiner~~~IND-7~~~BAJ05825.1~~~beta_lactam~~~unknown MKKSIRFFIVSILLSPFASAQVKDFVIEPPIKNNLHIYKTFGVFGGKEYSANSMYLVTKKGVVLFDVPWEKVQYQSLMDTIKKRHNLPVVAVFATHSHDDRAGDLSFFNNKGIKTYATAKTNEFLKKDGKATSTEIIKTGKPYRIGGEEFVVDFLGEGHTADNVVVWFPKYNVLDGGCLVKSNSATDLGYIKEANVEQWPKTINKLKAKYSKATLIIPGHDEWKGGGHVEHTLELLNKK ->ARGMiner~~~CTX-M-88~~~ACP18863.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-88~~~ACP18863.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRHDVLASAAKIVTDGL ->ARGMiner~~~BL2a_1~~~ZP_04213166~~~beta_lactam unknown +>ARGMiner~~~BL2a_1~~~ZP_04213166~~~beta_lactam~~~unknown MKERVDDMKKNTLLKVGLCVGLLGTIQFVSTISSVQASQKVEKTVIKNETGTISISQLNKNVWVHTELGSFNGEAVPSNGLVLNTAKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKNGYEEPLGDLQTVTNLKFGNMKVETFYPGKGHTEDNIVVWLPQYNILVGGCLVKSTSAKDLGNVADAYVNEWSTSIENVLKRYRNINAVVPGHGEVGNKGLLLHTLDLLK ->ARGMiner~~~VIM-24~~~ADL27533.1~~~beta_lactam unknown +>ARGMiner~~~VIM-24~~~ADL27533.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSLTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~acrA~~~YP_002401592~~~multidrug unknown +>ARGMiner~~~acrA~~~YP_002401592~~~multidrug~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQSGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~ceoB~~~ZP_02357857~~~multidrug unknown +>ARGMiner~~~ceoB~~~ZP_02357857~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVIILLAGMIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAEAVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDNRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRNLTADDVVRAIREQNVQVAAGVIGASPTLPGTPLQLSVNARGRLQSEDEFGDIVVKTAPDGGVTHLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLAISDEVRKTMAELKQDFPAGVDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASIIPLIAVPVSIVGTFSLLLLFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIENGLTARAATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGEKEDWLTRLMNRVLGGFFRGFNKVFHRGAERYGRGVRGVLSRKAVMLGVYLALVGATVMISKIVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGAIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFDQRHGKALSAGAIAGALNQQYSAMKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYARLSDATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVNVTDVFDTMQIYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNAAGEMVPLSSLVTVSPTFGPEMVVRYNAYTAADVNGGPAPGYSSGQAQAAIERIAAQTLPRGVKFEWTDLTYQQILAGDSAIWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGKTPFEAAVQASRLRLRPILMTSIAFIMGVVPLVLSTGAGAEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAQKDSAGYGLPAPDA ->ARGMiner~~~TEM-22~~~CAA76795.1~~~beta_lactam unknown +>ARGMiner~~~TEM-22~~~CAA76795.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGGSERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~IMP-9~~~AAK59385.1~~~beta_lactam unknown +>ARGMiner~~~IMP-9~~~AAK59385.1~~~beta_lactam~~~unknown MSKLFVFFMFLFCSITAAGESLPDLKIEKLDEGVYVHTSFEEVNGWGVIPKHGLVVLVNTDAYLIDTPFTAKDTENLVNWFVERGYRIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKYSFSGVSYWLVKKKIEVFYPGPGHAPDNVVVWLPENRVLFGGCFVKPYGLGNLGDANLEAWPKSAKLLMSKYSKAKLVVPSHSDIGDSSLLKLTWEQTVKGFNESKKSTTAH ->ARGMiner~~~opmD~~~YP_788911~~~multidrug unknown +>ARGMiner~~~opmD~~~YP_788911~~~multidrug~~~unknown MKRSYPNLSRLALALAVGTGLAACSVGPDYQRPQSPPPRVASEHLDEFSGERREAPWWSFFDDPQLVRLVDQALARNHDIREARANLRSARALFDDRWLDQLPQVTSQAGYSRSIEQQLDYDGEPRRRLAESYRAGFDAQWEIDLFGRLGRLSDAALARAEAADADLRLVRLSIAADTARAYFEIQGYQRRLDVARAQVRSWRDTLELTRSSLQLGSGLPEDVENAQANLLRSEAAIPPLTTALESARYRLDVLRGEAPGSGAPILDGGGAAPLAKNLPLGDVDRLILQRPDVVSAERQLAASTEDVGAATAELYPRLDLGGFIGFFALRSGDLGSASRAFELAPSVSWPAFRLGNVRARLRAVEAQSDAALARYQRSLLLAQEDVGNALNQLAEHQRRLVALFQSATHGANALEIANERYRAGAGSYLAVLENQRALYQIREELAQAETASFVNVIALYKALGWGSGDLAPGAGQLAAGETAGASR ->ARGMiner~~~LCR-1~~~AAK14791~~~beta_lactam unknown +>ARGMiner~~~LCR-1~~~AAK14791~~~beta_lactam~~~unknown MLKSTLLAFGLFIALSARAENQAIAQLFQRAGVDGTIVIESLTTRQRLVHNDPRAQQRYPAASTFKVLNTLIALEEGAISGENQIFHWNGTQYSIANWNQDQTLDSAFKVSCVWCYQQIALRVGALKYPAYIQQTNYGHLLEPFNGTEFWLDGSLTISAEEQVAFLRRVVERKLPFKASSYDSLKKVMFADENAQYRLYAKTGWATRITPSVGWYVGYVEAQDDVWLFALNLATRDANDLPLRTQIAKDALKAIGAFHAK ->ARGMiner~~~CMY-73~~~ACU00152.1~~~beta_lactam unknown +>ARGMiner~~~CMY-73~~~ACU00152.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVLYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYLPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVTPGQLDAEAYGVKSNVTDMARWIQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPTVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~mexV~~~AAG07762.1~~~multidrug unknown +>ARGMiner~~~mexV~~~AAG07762.1~~~multidrug~~~unknown MLLRRMLIMLAAVIAVVAILAGYKVYSIRQQIALFSAPKPPISVTASLAEKRPWQSRLPAIGSLKAFQGVTLTAEVSGTVRDVLFLSGDQVKLDQPLIQLESDVEEATLRTAEADLGLARAEYQRGRELIGSKAISKSEFDRLAAQWAKTSATVAELKAALAKKRVLAPFAGTIGIRQVDVGDYVSPGTPIATLQDLSTLLLDFHLPEQDFPLLSRGQLVKVRVAAYPAQVFDAEIAAINPKVDNETRNLQVRAALENPDGKLLPGMFANLEVMLPGEEQRVVVPETAITFTLYGDSIYVVGQKKDEQGQVSKDDKGQPQQVVERRFVRIGERREGLAVVLEGLEGGEQVVTSGQLKLDNGAAVAIVAERDLQQEH ->ARGMiner~~~qacB~~~AAQ10694.1~~~quinolone unknown +>ARGMiner~~~qacB~~~AAQ10694.1~~~quinolone~~~unknown MISFFTKTTDMMTSKKRWAALVVLAVSLFVVTMDMTILIMALPELVRELEPSGTQQLWIVDIYSLVLAGFIIPLSAFADKWGRKKALLTGFALFGLVSLAIFFAESAEFVIAIRFLLGIAGALIMPTTLSMIRVIFENPKERATALAVWSIVSSIGAVFGPIIGGALLEQFSWHSAFLINVPFAIIAVVAGLFLLPESKLSKEKSHSWDIPSTILSIAGMIGLVWSIKEFSKEGLADIIPWVVIVLAITMIVIFVKRNLSSSDPMLDVRLFKKRSFSAGTIAAFMTMFAMTSVLLLASQWLQVVEELSPFKAGLYLLPMAIGAMVFAPIAPGLAARFGPKIVLPSGIGIAAIGMFIMYFFGHPLSYSTMALALILVEAGTASLAVASALIMLETPTSKAGNAAAVEESMYDLGNVFGVAVLGSLSSMLYRVFLDISSFSSKGIVGDLAHVAEESVVGAVEVAKATGIKQLANEAVTSFNDAFVATALVGGIIMIIISIVVYLLIPKSLDITKQK ->ARGMiner~~~MacB~~~ZP_03052661~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~ZP_03052661~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~AAC(6')-Iw~~~AAD03495.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iw~~~AAD03495.1~~~aminoglycoside~~~unknown MKIMPISEALLADWLQLRILLWPDHEDAHLLEMRQLLTRTDSLQLLAYSETQQPIAMLEASIRHEYVNGTQTSPVAFLEGIYVLPEHRRSGIATQLVQQVEQWAKQYACTEFASDAAIDNTISHAMHQALGFHETERVVYFKKNIS ->ARGMiner~~~OXA-388~~~AHL30284.1~~~beta_lactam unknown +>ARGMiner~~~OXA-388~~~AHL30284.1~~~beta_lactam~~~unknown MNIQALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKYLFNEAHTTGVLVIQQGQIQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRIGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-357~~~AGZ83153.1~~~beta_lactam unknown +>ARGMiner~~~OXA-357~~~AGZ83153.1~~~beta_lactam~~~unknown MYKKALIAATSILFLSSCSSNTVKQHQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTDYVPASTFKMLNALIGLEHHKVTTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDNFWLVGPLKISPEQETQFAYKLANKTLPFSKNVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGVL ->ARGMiner~~~BL3_l~~~YP_002707503~~~beta_lactam unknown +>ARGMiner~~~BL3_l~~~YP_002707503~~~beta_lactam~~~unknown MRSSLLALTLAAVLPVAHARAADAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTENLTALLVQTSEGAVLLDGGMPQMAGHLLDNLKARGVAAQDLRLILLSHAHADHAGPVAELKRRTGARVVANAESAVLLARGGSDDLHFGDDITFAPASTDRIVMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTREGKPVRIAYADSLSAPGYQLRGNARYPRLIEDYTRSFATVRGLPCDLLLTPHPGASHWDYAAGSKAGAKALTCKAYADDAEAKFDAQLANETVRAR ->ARGMiner~~~CTX-M-86~~~ACI29348.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-86~~~ACI29348.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPFAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CMY-75~~~AFK73434.1~~~beta_lactam unknown +>ARGMiner~~~CMY-75~~~AFK73434.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALVVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~ErmQ~~~AAC36915.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmQ~~~AAC36915.1~~~macrolide-lincosamide-streptogramin~~~unknown MKAKSNNYRGKVDISVSQNFITSKNTIYKLIKKTNISKNDFVIEIGPGKGHITEALCEKSYWVTAIELDRSLYGNLINKFKSKNNVTLINKDFLNWKLPKKREYKVFSNIPFYITTKIIKKLLLEELNSPTDMWLVMEKGSAKRFMGIPRESKLSLLLKTKFDIKIVHYFNREDFHPMPSVDCVLVYFKRKYKYDISKDEWNEYTSFISKSINNLRDVFTKNQIHAVIKYLGINLNNISEVSYNDWIQLFRYKQKID ->ARGMiner~~~aadA21~~~AAN87151.1~~~aminoglycoside unknown +>ARGMiner~~~aadA21~~~AAN87151.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVARDWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~amrA~~~YP_791220~~~multidrug unknown +>ARGMiner~~~amrA~~~YP_791220~~~multidrug~~~unknown MHIQWTGSLRGLLAALVALFLLGCEEAADTGKTAEAPAEVGVIVARPAPIGITSELPGRLEAYRQAEVRARVAGIVTRRLYEEGQDVRAGTVLFQIDPAPLKAALDISRGALARAEASHAAAADKLKRYADLIKDRAISEREYTEAQTDARQALAQIASAKAELEQARLRLGYATVTAPIDGRARRALVTEGALVGEDSPTPLTRVEQIDPIYVNFSQPAGEVAAMQRAIREGQVKGVADKDIAVRLVLADGSEYPLAGELLFSDLAVDPGTDTIAMRALFRNPHRELLPGGYVQVRLQRAVNPQAITVPRDALIRTAQSAVVKVVNPQGVVEDVEVRADTLQGRDWIISRGLKGGERVIVENAAQHAAGSSVQAVVRQPASADAPSPLAASPAGQ ->ARGMiner~~~OpmD~~~YP_001346276~~~multidrug unknown +>ARGMiner~~~OpmD~~~YP_001346276~~~multidrug~~~unknown MKHPHFPRLALALGIAASLAACSVGPDYQRPQTPATRIASQHAGEFSAERRQAPWWSFFDDPQLVRLVDQALARNHDIREARANLRSARALYDERWLDQLPQVTSQAGYNRSLAQQADYDGEPRRRLSESYRAGFDAQWEIDLFGRLGHLSDAALARAEAASADLELARLSIAADTARAYFEVQGYQRRLDVARAQVRSWRDTLELTRSSLQLGSGLPEEVENAQANLSRSEAAVPPLTSALEAARYRLDVLRGEAPGSGAPVLDGGAAPLAKNLPLGDVDYLILQRPDVISAERQLAASSEDVGAATAELYPRLDLGGFIGFFALRSGDLGSASRAFELAPAVSWPAFRLGNVRARLRAAEAQSDAALARYQRTLLLAQEDVGNALNQLAEHQRRLVALVRSASHAGNALEIANERYRAGAGSYLAVLENQRALYQIREELAQAETASFVNVIALYKALGWGTDSRLPEVRQLAAGEGR ->ARGMiner~~~SHV-2~~~AAD37413.1~~~beta_lactam unknown +>ARGMiner~~~SHV-2~~~AAD37413.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BacA~~~ZP_04288431~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04288431~~~bacitracin~~~unknown MADWLIGIIMGAVEGLTEFLPVSSTGHMILTGHLIGFDDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGILGVLFHSAIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSAADIPLFATGFITAFIVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~OXA-51~~~CAC83905.2~~~beta_lactam unknown +>ARGMiner~~~OXA-51~~~CAC83905.2~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~dfrF~~~AAD01868.1~~~trimethoprim unknown +>ARGMiner~~~dfrF~~~AAD01868.1~~~trimethoprim~~~unknown MIGLIVARSKNNVIGKNGNIPWKIKGEQKQFRELTTGNVVIMGRKSYEEIGHPLPNRMNIVVSTTTEYQGDNLVSVKSLEDALLLAKGRDVYISGGYGLFKEALQIVDKMYITEVDLNIEDGDTFFPEFDINDFEVLIGETLGEEVKYTRTFYVRKNELSRFWI ->ARGMiner~~~SHV-77~~~CAJ47132.2~~~beta_lactam unknown +>ARGMiner~~~SHV-77~~~CAJ47132.2~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVALCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~mdtG~~~ZP_03003683~~~multidrug unknown +>ARGMiner~~~mdtG~~~ZP_03003683~~~multidrug~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDVGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~SHV-99~~~CAQ03504.1~~~beta_lactam unknown +>ARGMiner~~~SHV-99~~~CAQ03504.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVGYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~Erm(43)~~~CCF55073.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~Erm(43)~~~CCF55073.1~~~macrolide-lincosamide-streptogramin~~~unknown MNNKNPKDTQNFITSKKCINEILKNIIITADDNIVEIGTGKGHFTKALSKVVKSVIGVEIDKSLYYNLKKDSKLQDNIQLINQDILNFQFPDNKDYKIFGSIPYNISTEIIKKILYESKAEYNYLIVELGFAKRIQDKNKALSLLLLPKMDVEILKVIPNKYFHPKPKVESALILLKKHKPLISAKDEKNYQFFVYKWVNKEYKKLFTKNQFKKALKNANVQNLNKISKQQFISIFYSYKLFN ->ARGMiner~~~CMY-94~~~AGC54798.1~~~beta_lactam unknown +>ARGMiner~~~CMY-94~~~AGC54798.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVASAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~MOX-5~~~ACS44783.1~~~beta_lactam unknown +>ARGMiner~~~MOX-5~~~ACS44783.1~~~beta_lactam~~~unknown MQQRQSILWGALATLMWAGLAHAGDKAATDPLRPVVDASIRPLLKEHRIPGMAVAVLKDGKAHYFNYGVADRERAVGVSEQTLFEIGSVSKTLTATLGAYAVVQGGFELDDKASLFAPWLKGSAFDNITMGELATYSAGGLPLQFPEEVDSLEKMQAYYRQWTPAYSRGSHRQYANPSIGLFGYLAASSMKQPFDRLMEQTMLPGLGLYHTYLNVPEQPMGHYAYGYWKEDKPFRVTPAMLAEEPYGIKTSSADLLRFVKANISGVDNAAMQQAIDLTHQGQYAVGEMTQGLGWERYPYPVSEQTLLAGNSPAMIYNANPAAPAPAAAGHPVLFKKTGSTNGFGAYVAFVPAKGIGVVMLANRNYPNEGTLKAGHAILTQLAR ->ARGMiner~~~BcI~~~NP_832233~~~beta_lactam unknown +>ARGMiner~~~BcI~~~NP_832233~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKALK ->ARGMiner~~~OXA-35~~~AAK49460.1~~~beta_lactam unknown +>ARGMiner~~~OXA-35~~~AAK49460.1~~~beta_lactam~~~unknown MKTFAAYVITACLSSTALASSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNNLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLSLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLFLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKGTEVYFFAFNMDIDNENKLPLRKSIPTKIMASEGIIGG ->ARGMiner~~~MexF~~~YP_001668745~~~multidrug unknown +>ARGMiner~~~MexF~~~YP_001668745~~~multidrug~~~unknown MNFSKFFITRPIFAAVLSLVLLIAGSISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTASDVVAAIREQNRQVAAGQLGAPPAPGSTSFQLSINTQGRLVNEEEFENIIIRAGADGEITRLKDIARVELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIEISDEVRAKMAELKKDFPEGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLLAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPLEATQKAMSEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKDHHAPKDRFSRFLDKLLGSWLFAPFNRFFDRASHRYVGGVRRVIRSSGIALFVYAGLMGLTYLGFSSTPTGFVPAQDKQYLVAFAQLPDAASLDRTEAVIKRMSEIALKQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAAAIAAALNAQFADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNLGYEALYKETQNIIAKSHNVPELAGLFTSYQVNVPQVDAAIDREKAKTHGVAITDIFDTLQVYLGSLYTNDFNRFGRTYQVNVQAEQQFRLDAEQIGQLKVRNNLGEMIPLATFLKVSDTSGPDRVMHYNGFITAEINGAAAPGYSSGQAEAAIEKLLKEELPNGMTFEWTDLTYQQILSGNTALLVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIVSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDEQAKGLDPLAAVLEACRLRLRPILMTSIAFIMGVVPLVFSSGAGSEMRHAMGVAVFSGMIGVTVFGLFLTPVFFFLIRRFVERRQARKAERVQVLENHA ->ARGMiner~~~OXA-231~~~AFG29918.1~~~beta_lactam unknown +>ARGMiner~~~OXA-231~~~AFG29918.1~~~beta_lactam~~~unknown MKKFILPILSISTLLSVSACSSIQTKFEDTFHTSNQQHEKAIKSYFDEAQTQGVIIIKKGKNISTYGNNLTRAHTEYVPASTFKMLNALIGLENHKATTTEIFKWDGKKRSYPMWEKDMTLGDAMALSAVPVYQELARRTGLDLMQKEVKRVGFGNMNIGTQVDNFWLVGPLKITPIQEVNFADDFANNRLPFKLETQEEVKKMLLIKEFNGSKIYAKSGWGMAVTPQVGWLTGWVEKSNGEKVAFSLNIEMKQGMPGSIRNEITYKSLENLGII ->ARGMiner~~~BacA~~~CAY49038~~~bacitracin unknown +>ARGMiner~~~BacA~~~CAY49038~~~bacitracin~~~unknown MDFWTAIQAVILGVVEGLTEFLPISSTGHQIIVADLLDFTGDRFNAFNIIIQLGAILAVVWEFRGKIFEVVSGLPTQRKAQRFTVNLLIAFMPAVVLGVIFADVIHHYLFNPITVATALVLGGIVMLWAERREHEVHAETVDDITWKDALKVGLAQCLAMIPGTSRSGSTIIGGLLFGLSRKTATEFSFFLAMPTMVGAAVYSGYKYRDLFQPADLPVFVIGFVTSFIFAMIAVKGLLKFIASHSYAAFAWYRIAFGLLILATWQFGWIDWTAAKA ->ARGMiner~~~BacA~~~ZP_03054084~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03054084~~~bacitracin~~~unknown MKEACLYADIDGCLFKRSELKGKKIMNLWDLFVALVLGIVEGLTEYAPVSSTGHMIIVDDLWLKSKEIITPEAANTFKVVIQLGSILAVMIVFKDRILNLLGLKKNITEEQKRSGHKLTIAQIAVGLVPAVILGFLFEDYIDKYLFDVRTVAVGLILGAVLMLVADWINKRKTETSSVDNMTYKQALYIGLFQCLALWPGFSRSGSTIAGGVILGLNHRAAADFTFIMAMPIMAGASLLTLVKNAEYLTTDMLPFFIVGFVSAFIVALFVVRFFLKLINKIKLVPFAIYRIILGVILFILFM ->ARGMiner~~~tolC~~~ZP_03048355~~~multidrug unknown +>ARGMiner~~~tolC~~~ZP_03048355~~~multidrug~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYTELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~QnrB66~~~AGL43627.1~~~quinolone unknown +>ARGMiner~~~QnrB66~~~AGL43627.1~~~quinolone~~~unknown MALALVGEKINRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNSSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~MexF~~~YP_001749316~~~multidrug unknown +>ARGMiner~~~MexF~~~YP_001749316~~~multidrug~~~unknown MNFSKFFITRPIFAAVLSLVLLIAGSISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTAGDVVAAIREQNRQVAAGQLGAPPAPGSTSFQLSINTQGRLVNEEEFENIIIRAGADGEITRLKDIARVELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIEISDEVRAKMAELKKDFPEGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLLAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPLEATQKAMGEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKDHHAPKDRFSRLLEKLLGSWLFAPFNRFFDRASHSYVGGVRRVIRSSGIALFVYAGLMGLTYLGFSSTPTGFVPAQDKQYLVAFAQLPDAASLDRTEAVIKRMSEIALKQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAAAIAAALNAQFADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNLGYEALYKETQNIIAKSHNVPELAGLFTSYQVNVPQVDAAIDREKAKTHGVAITDIFDTLQVYLGSLYTNDFNRFGRTYQVNVQAEQQFRLDAEQIGQLKVRNNLGEMIPLATFLKVSDTSGPDRVMHYNGFITAEINGAAAPGYSSGQAEAAIEKLLNEELPNGMTFEWTDLTYQQILSGNTALLVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIVSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDEQAKGLDPLAAVLEACRLRLRPILMTSIAFIMGVVPLVFSSGAGSEMRHAMGVAVFSGMIGVTVFGLFLTPVFFFLIRRFVERRQARKAERVQSLENHA ->ARGMiner~~~MdtK~~~YP_002040674~~~multidrug unknown +>ARGMiner~~~MdtK~~~YP_002040674~~~multidrug~~~unknown MQKYTSEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLVMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNEKGFGKPDSVVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLGAQTAARTGLGVGICMAVVTAIFTVTLRKHIALLYNDNPEVVALAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAIILQRAAR ->ARGMiner~~~CTX-M-130~~~AFJ59957.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-130~~~AFJ59957.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAERRHDVLASAARIIAEGL ->ARGMiner~~~OXA-247~~~AGC70814.1~~~beta_lactam unknown +>ARGMiner~~~OXA-247~~~AGC70814.1~~~beta_lactam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGSNTKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~SHV-67~~~ABA06589.1~~~beta_lactam unknown +>ARGMiner~~~SHV-67~~~ABA06589.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWCADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~MepA~~~YP_302230~~~multidrug unknown +>ARGMiner~~~MepA~~~YP_302230~~~multidrug~~~unknown MKDEQLYYFEKSSVFKGMMHFSVPMMIGSLLSVIYGILNIYFIGFLGDSHMISAISLTLPIFAVLMGLGNLFGIGGGTYISRLLGAKDYVKTKFVSSFSIYGGLILGVIVILMTIFATEQIAHLLGARGETLGFTSAYLKVMFLSAPFVILFFILEQFARSIGAPIISMIGMLASVVLNIILDTILIFGFDLNVVGAALGTAISNVVASLFFIIYFLRKSDIITMSLSYVRPTKEILVEIFKVGFPAFLMSILMGVTGLVLNLFLAGYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMSDKARMKNIIKVVIMTIVVIFAVCMVIVFTTGHQLIGIFSNDAAIVGMATFMLKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGVVIIPVLFVMNGLFGLTGIVWSLLIAETICALAAMLIVYLLRDRLTVDKAELLEG ->ARGMiner~~~ErmX~~~YP_251227~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmX~~~YP_251227~~~macrolide-lincosamide-streptogramin~~~unknown MSTYGYGRHEHGQNFLTDHKIINSIVDLVKQSSGPIIEIGPGSGALTHPLSRLGRPITAVEVDAKLAAKLTKKTASASVEVVHGDFLNFPLPATPCVIVGNIPFHLTTAILRKLLHAPAWTDAVLLMQWEVARRRAGVGASTMMTAQWSPWFTFHLGSRVPRSAFRPQPNVDGGILVIRRVGDPKIPIEQRKAFQAMVHTVFTARGRGIGEILRRAGLFSSRSETQSWLRSRGIDPATLPPRLRTSDWIDLFQVTGFSPPRHRPISQSGSSQRPPQRKKRGRRR ->ARGMiner~~~GIM-2~~~AIY26289.1~~~beta_lactam unknown +>ARGMiner~~~GIM-2~~~AIY26289.1~~~beta_lactam~~~unknown MKNVLVFLILLVALPALAQGHKPLEVIKIEDGVYLHTSFKNIEGYGLVDSNGLVVLDNNQAYIIDTPWSEEDTKLLLSWATDRGYQVMASISTHSHGDRTAGIKLLNSKSIPTYTSELTKKLLAREGKPVPTHYFKDDEFTLGNGLIELYYPGAGHTEDNIVAWLPKSKILFGGCLVRSHEWEGLGYVGDASISSWADSIKNIVSKKYPIQMVVPGHGKVGSSDILDHTIDLAESASNKLMQPTAEASAD ->ARGMiner~~~mepA~~~NP_645128~~~multidrug unknown +>ARGMiner~~~mepA~~~NP_645128~~~multidrug~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIVYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQDIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~fusH~~~CAA90431~~~fusidic_acid unknown +>ARGMiner~~~fusH~~~CAA90431~~~fusidic_acid~~~unknown MATLIPKKGSTLLNKGIRTRRARGALAGGTVLTAAAALLTAVPAAQAISGEPPAATDHAFTARLHIGEGDTLRGCSAALVHQQWLLTATSCFAATPGGEVKSGKPALKSTATLGGKTLGIVEVVPRDDRDVAMVRLAEPVTTVEPVRLAADAPVAAETLLGAGFGRTRTEWAPDQLHTGEFRVDSVTGTTVELTGQDGVSVCKGDTGGPALRGTGGEVELAAVHSRSWQGGCFGETETRTGAVDARADGLADWVTDVRNRDRTQSADVDGDGRADLVVLRSNGDVVVHRNLGDSFAAGRVMSGGWGLFVTWKDLGRLYFADVDGDRKADMIVHTSDGNIEVRFNHGTYWDQGTHWSGGWGRFIDGSDLGRLYFADVDGDGRADMIVHTGDGNVEVRFNHGTYWDQGTHWSGGWGRFVTWKDLGRLYFADVDGDGRADMIVHTGDGNVEVRFNHGTYWDQGTHWSGGWGRFVDGSDLGSLEFGDATGDGKADLLVRTKDGKVALRTNHGTYWDQGKFMITL ->ARGMiner~~~OXA-249~~~CCJ32597.1~~~beta_lactam unknown +>ARGMiner~~~OXA-249~~~CCJ32597.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTTNPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIQVYQDLARRIGLELMSKEVKRVGYGNTDIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~BacA~~~YP_966872~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_966872~~~bacitracin~~~unknown MSDMITAAILGLVEGLTEFLPVSSTGHLIITGELLGFTGPKAATFEVAIQLGAILAVVVLYWDRFWGLLRPQPYVRFAGLRGIMLLLLTSLPASVLGLAAHSTIKAHLFTPSTVAIALAVGAIFMLLVERRTERPRYMTLDEMSPALALGIGCFQCLALWPGFSRSAATIMGGMLLGARRGLAAEYSFIAAVPIMFAATGYDLLKSWTLFTPADLPFFATGFVVSFLSAWAAVKLFIALVGRMTFRPFAWYRLAIAPLVYYFMAY ->ARGMiner~~~SHV-104~~~ABX71158.1~~~beta_lactam unknown +>ARGMiner~~~SHV-104~~~ABX71158.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSASSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~SRT-1~~~BAA23130.1~~~beta_lactam unknown +>ARGMiner~~~SRT-1~~~BAA23130.1~~~beta_lactam~~~unknown MTKMNRLAAALIAALILPTAQAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFNDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTNDAQLMAYYRAWQPKHPAGSYRVYSNLGIVMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAKSYGIKSNARDLIRYLDANLQQVKVAHAWRDALAATHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIVQALEKR ->ARGMiner~~~SHV-32~~~AAK69828.1~~~beta_lactam unknown +>ARGMiner~~~SHV-32~~~AAK69828.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAVITMSDNSAANLLLATVGGPAGLTAFLRQIDDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BL2a_1~~~ZP_04263080~~~beta_lactam unknown +>ARGMiner~~~BL2a_1~~~ZP_04263080~~~beta_lactam~~~unknown MKERVEKMKKNILLKVGVCVSLLGTIQFVSTISSVKAEQKLEQKVIKNETGTISISQLNKNVWVHTELGYFNGVAVPSNGLVLTTSKGLVLVDSSWDDKLTKELIEMVEKKFKKRVTDVIITHAHADRIGGIKTLKERGIKAHSTVLTAELAKKNGYEEPLGDLQTITNMKFGNIKVETFYPGKGHTEDNIVVWLPQYNILAGGCLVKSAEAKDLGNVADAYVNEWSISIENVLNRYGNMNLVVPGHGEVGDRGLLLHTLDLLK ->ARGMiner~~~BacA~~~YP_316450~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_316450~~~bacitracin~~~unknown MADLTQILHALILGFVEGFTEFLPISSTGHLILAGQLLGFNGEKAKVFMIAIQLAAILAVVWEYRVRLRHVVSTVHTEPASRRLVVNLMAGFLPAAVLGFLFYKEIKGYLFNPIVVASALVIGGVLILWAERRKHVVSTPTVDDLGWRRALAVGFAQALAMVPGTSRSGATIIGGLFLGLSRKAAAEFSFLLAIPTMFAATAYDLYKNWQLFDAGDIPLFAIGGVASFASALFAVRTLIKFVSRHDYTVFAWYRIVFGGVVLATAYSGLVDWGVTH ->ARGMiner~~~CTX-M-81~~~ABV57756.3~~~beta_lactam unknown +>ARGMiner~~~CTX-M-81~~~ABV57756.3~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLEQSETQKQLLNQPVEIQPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDHTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CMY-77~~~AFU25644.1~~~beta_lactam unknown +>ARGMiner~~~CMY-77~~~AFU25644.1~~~beta_lactam~~~unknown MMKKSLCCALLLTAPLSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADITNNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKAVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~adeB~~~YP_001846483~~~multidrug unknown +>ARGMiner~~~adeB~~~YP_001846483~~~multidrug~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEVVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFTGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSAFGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~CeoA~~~YP_001811891~~~multidrug unknown +>ARGMiner~~~CeoA~~~YP_001811891~~~multidrug~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVNANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARNGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHQALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLSAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDAPSAPLASTAKPAAPAKADS ->ARGMiner~~~CMY-74~~~AFU25632.1~~~beta_lactam unknown +>ARGMiner~~~CMY-74~~~AFU25632.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANNRPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTHYWPELTGKQWQGISLLHLATYTAGGLPLQVPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTKRVLHPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMTRWVQANMDASQVQEKTLQQGIELAQSRYWRVGDMYQGLGWEMLNWPVKADSIISGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~LCR-1~~~CAA40146.1~~~beta_lactam unknown +>ARGMiner~~~LCR-1~~~CAA40146.1~~~beta_lactam~~~unknown MLKSTLLAFGLFIALSARAENQAIAKLFLRAGVDGTIVIESLTTGQRLVHNDPRAQQRYPAASTFKVLNTLIALEEGAISGENQIFHWNGTQYSIANWNQDQTLDSAFKVSCVWCYQQIALRVGALKYPAYIQQTNYGHLLEPFNGTEFWLDGSLTISAEEQVAFLRQVVERKLPFKASSYDSLKKVMFADENAQYRLYAKTGWATRMTPSVGWYVGYVEAKDDVWLFALNLATRDANDLPLRTQIAKDALKAIGAFPTK ->ARGMiner~~~CFE-1~~~BAA12916~~~beta_lactam unknown +>ARGMiner~~~CFE-1~~~BAA12916~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANNRPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTQYWPELTGKQWQGISLLHLATYTAGGLPLQVPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTTRVLQPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVVDMTRWVQANMDASQVQEKTLQQGIKLAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~bacA~~~YP_001455939~~~bacitracin unknown +>ARGMiner~~~bacA~~~YP_001455939~~~bacitracin~~~unknown MSDIHSLLVAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAETFEVVIQLGSILAVVVMFWRRLFGLIGIHFGKQPHEGTGKGRLTLGHIVLGMIPAVVLGLVFHDTIKSLFNPVNVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATALDLYKSWSFLTVEDIPMFAVGFVTAFIVALIAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~mdtG~~~ZP_04004395~~~multidrug unknown +>ARGMiner~~~mdtG~~~ZP_04004395~~~multidrug~~~unknown MSPCENDTPINWKRNLIVVWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~EmrD~~~YP_002235907~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_002235907~~~multidrug~~~unknown MKRHKNFNLLLMLVLLVAVGQMAQTIYIPAIADMAVALNVREGAVQSVMAAYLLTYGISQLFYGPLSDRVGRRPVILVGMSIFMLATLVAITTHSLPVLIAASAMQGMGTGVGGVMARTLPRDLYEGAQLRHANSLLNMGILVSPLIAPLLGGILDTLWSWRACYAFLLVLCAGVTFSMAKWMPETRPEGAPRTKLLTSYKTLFGTGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMAVSILFILPIPAAFFGAWFAGRPNKRFPTLMWQSVICCLLAGLMMWIPGLLGIMTVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMMPQTGQGSLGLLMMLMGLLILLCWLPLASRFTHHQQPV ->ARGMiner~~~BacA~~~YP_001925041~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001925041~~~bacitracin~~~unknown MDLVLIAKAIVLAVAEGATEFIPVSSTGHQLLIGHFIGFHSPNNTFEVLIQLGAILAILFAYFGKLWSIATALPNDPRARRFVLAVLIAFLPAAIIGGLFSKYIKFYLFNPWIVCATLVAGGLVLLVIDDTVGEPKAAQNEGTGDGPTEHPRKTDVFEFSLPMALKIGLFQCVAMIPGVSRSGATIVGAMLMGASKRSATEFSFYLAMPTMAGAFAKDLLDNYKNLSSNDALLIVIGFVAAFISALIVVRTVLDYVSRHGFWLFAWWRIIVGSLGFAGLIIFG ->ARGMiner~~~VEB-3~~~AAS48620.1~~~beta_lactam unknown +>ARGMiner~~~VEB-3~~~AAS48620.1~~~beta_lactam~~~unknown MKIVKRILLVLLSLFFTVVYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTFKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKTWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~MdtH~~~BAH62779~~~multidrug unknown +>ARGMiner~~~MdtH~~~BAH62779~~~multidrug~~~unknown MKTATYHFLRHLLFSMNRLNRSCFLIKKYCRKGAGKSSRLPAEYPRLSLFFPGGEMSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~SHV-150~~~AFQ23956.1~~~beta_lactam unknown +>ARGMiner~~~SHV-150~~~AFQ23956.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVVLLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-242~~~AFO55202.1~~~beta_lactam unknown +>ARGMiner~~~OXA-242~~~AFO55202.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQRNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~BcII~~~ZP_04285110~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04285110~~~beta_lactam~~~unknown MEKMKKNILLKLGVCVSLLGTTQFVSTISSVQASQKVEQKVMKNEAGTISISQLNKNVWVHTELGYFNGEAVPSNGLVLTTSKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGMKTLKERGIKAHSTALTAALAKKNGYEEPLGDLQTVTNLKFGNMKIETFYPGKGHTEDNIVVWLPQYQILAGGCLVKSAGAKDLGNVADAYVNEWSTSIENVLKRYRNIISVVPGHGEVGDRGLLLHTLDLLK ->ARGMiner~~~TEM-57~~~ACJ43254.1~~~beta_lactam unknown +>ARGMiner~~~TEM-57~~~ACJ43254.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLDRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~CTX-M-29~~~AAP22736.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-29~~~AAP22736.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~ANT(6)-Ib~~~CBH51824.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(6)-Ib~~~CBH51824.1~~~aminoglycoside~~~unknown MKMRTEKQIYDTILNFAKADDRIRVVTLEGSRTNINIIPDDFQDYDITFFVTDMQSFINSDEWLNVFGERLIMQKPEDMELFPKEEKGYSYLMLFWDGVKIDLTLLPLEVLDEYFTWDKLVKLLLDKDNRVTNIPVPTDEDYYIEHPTARSFDDCCNEFWNTVTYVVKGLCRKEILFAIDHLNNIVRMELLRMISWKVGIEQGYSFSLGKNYKFLERYISPELWKKILATYNMGSYTEMWKSLELCMGIFRMVSKEVAQCLNYLYPDYDKNISNYVIRQKEKYQR ->ARGMiner~~~BacA~~~YP_002434803~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002434803~~~bacitracin~~~unknown MHDIFSAVLLGIVEGLTEFLPVSSTGHLIIAGDLLGFTGPKAATFEVVIQLGAILAVVVLYWDRFWGLLRPKPYVRFAGMRGIVMLGITSLPASLLGLATHHYIKENLFSPATVALALGVGAVAMLLVERRTHRPVYTGLDDMTPTLALGIGLFQCLALWPGFSRSAATIMGGMLLGARRGLAAEYSFIAAVPIMFAATGYDLLKSHALFTMADLPFFATGFLVSFLSAWVAVKVFIGLMGRVTLVPFAWYRLAVAPLVFWFMVN ->ARGMiner~~~MdtH~~~ZP_03030251~~~multidrug unknown +>ARGMiner~~~MdtH~~~ZP_03030251~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVHTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~vanB~~~ZP_03947553~~~glycopeptide unknown +>ARGMiner~~~vanB~~~ZP_03947553~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGGGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMMAAAGITLPALIDSLITLALKR ->ARGMiner~~~mecR1~~~BAC57479~~~beta_lactam unknown +>ARGMiner~~~mecR1~~~BAC57479~~~beta_lactam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWEKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~tet34~~~ZP_00990220~~~tetracycline unknown +>ARGMiner~~~tet34~~~ZP_00990220~~~tetracycline~~~unknown MSNKFVITWDNMQTYCRQLAEKQMPAEQWKGIWAVSRGGLVPGAILARELGIRHVDTICISSYDHDHQRDMTVVKAPEGDGEGFLIVEDLVDSGDTARKLREMYPKAKLIAVCAKPSGAHLLDEYIVDIAQDTWIEQPWDTSLSFIEPVNRKSK ->ARGMiner~~~OXY-6-3~~~CAI43424.1~~~beta_lactam unknown +>ARGMiner~~~OXY-6-3~~~CAI43424.1~~~beta_lactam~~~unknown MLKSSWRKSALMAAAAVPLLLASGSLWASADAIQQKLADLEKRSGGRLGVALINTTDDSQTLYRGDERFAMCSTGKVMAAAAVLKQSESHPDVVNKRLEIKKSDLVVWSPITEKHLQSGMTLAELSAAALQYSDNTAMNKMISYLGGPEKVTAFAQSIGDVTFRLDRTEPALNSAIPGDKRDTTTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPASWAVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKSRKEVLAAAAKIVTEGL ->ARGMiner~~~BacA~~~ZP_04299687~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04299687~~~bacitracin~~~unknown MADWLIGIIMGAVEGLTEFLPVSSTGHMILTGHLLGFDDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGILGVLFHSAIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSAADIPLFATGFITAFVVAMLAIVSFLKLLGRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~acrB~~~ZP_03281410~~~multidrug unknown +>ARGMiner~~~acrB~~~ZP_03281410~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDVISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFGKILLKVNPDGSQVRLRDVAKVELGGENYDVIAKFNGKPASGLGIKLATGANALDTAAAIRAELKKMEPFFPSGLKIVYPYDTTPFVQISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAIFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVQKGGHGEHKGFFGWFNRMFDKSTNHYTDSVGSILRSTGRYLLLYIIIVVGMAFLFVRLPSSFLPDEDQGVFLSMAQLPAGASQERTQKVLDEMTDYFLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGKENKVEAITGRAMGTFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEVAKHPDLLTGVRPNGLEDTPQYKIDVDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPNDINNWYVRGSNGQMVPFSAFSTSHWEYGSPRLERYNGLPSMEILGQAAEGKSTGEAMAMMEQLASKLPAGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLDAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDVEHSHPVERH ->ARGMiner~~~dfrA3~~~AAA25550.1~~~trimethoprim unknown +>ARGMiner~~~dfrA3~~~AAA25550.1~~~trimethoprim~~~unknown MLISLIAALAHNNLIGKDNLIPWHLPADLRHFKAVTLGKPVVMGRRTFESIGRPLPGRRNVVVSRNPQWQAEGVEVAPSLDAALALLTDCEEAMIIGGGQLYAEALPRADRLYLTYIDAQLNGDTHFPDYLSLGWQELERSTHPADDKNSYACEFVTLSRQR ->ARGMiner~~~cat~~~AAA23018.1~~~chloramphenicol unknown +>ARGMiner~~~cat~~~AAA23018.1~~~chloramphenicol~~~unknown MQFTKIDINNWTRKEYFDHYFGNTPCTYSMTVKLDISKLKKDGKKLYPTLLYGVTTIINRHEEFRTALDENGQVGVFSEMLPCYTVFHKETETFSSIWTEFTADYTEFLQNYQKDIDAFGERMGMSAKPNPPENTFPVSMIPWTSFEGFNLNLKKGYDYLLPIFTFGKYYEEGGKYYIPLSIQVHHAVCDGFHVCRFLDELQDLLNK ->ARGMiner~~~tetM~~~ZP_04435342~~~tetracycline unknown +>ARGMiner~~~tetM~~~ZP_04435342~~~tetracycline~~~unknown MEENHMKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~ceoB~~~CAR56416~~~multidrug unknown +>ARGMiner~~~ceoB~~~CAR56416~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVIILLGGVIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDNRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRGLSAEDVVQAIREQNVQVAAGVIGASPSLPGTPLQLSVNARGRLQTEDEFGDIVVKTTPDGGVTHLRDIARIQLDASEYGLRSLLDNKPAVAMAINQSPGANSLQISDEVRKTMAELKQDMPAGVDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASLIPLIAVPVSIIGTFSLLLAFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIENGMNARQATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRGFNKVFHRGAENYGRGVRGVLSRKTLMLGVYLVLVGATVLVSKVVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGSIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFAERHGKALSAGAIAGALNQKYGAMKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYAKLSDATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVPVTDVFNTMQVYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNDKGEMVPLSSLVTVTPTFGPEMVVRYNGYTAADINGGPAPGFSSGQAQAAVERIAHETLPRGVRFEWTDLTYQQILAGDSAMWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGRTPLEAAIEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAGKDSAGYGVSPSGASASDA ->ARGMiner~~~GES-4~~~BAD08689.1~~~beta_lactam unknown +>ARGMiner~~~GES-4~~~BAD08689.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRTAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVKWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~OXA-104~~~ABQ52429.1~~~beta_lactam unknown +>ARGMiner~~~OXA-104~~~ABQ52429.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~ACT-31~~~AIT76096.1~~~beta_lactam unknown +>ARGMiner~~~ACT-31~~~AIT76096.1~~~beta_lactam~~~unknown MMKKSLCCALLLGLSCSALAAPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKSHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILDALQ ->ARGMiner~~~OXA-43~~~CAD32565.1~~~beta_lactam unknown +>ARGMiner~~~OXA-43~~~CAD32565.1~~~beta_lactam~~~unknown MKFRHALSSAFVLLGCIAASAHAKTICTAIADAGTGKLLVQDGDCGRRASPASTFKIAISLMGYDAGFLRNEHDPVLPYRDSYIAWGGEAWKQPTDPTRWLKYPVVWYSQQVAHHLGAQRFAQYAKAFGYGNADVSGDPGQNNGLDRAWIGSSLQISPLEQLEFLGKMLNRKLPVSPTAVDMTERIVESTTLADGTVVHGKTGVSYPLLADGTRDWARGSGWFVGWIVRGKQTLVFARLTQDERKQPVSAGIRTREAFLRDLPRLLAAR ->ARGMiner~~~OXA-426~~~AJA32744.1~~~beta_lactam unknown +>ARGMiner~~~OXA-426~~~AJA32744.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDFARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQEVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~mexB~~~YP_001345921~~~multidrug unknown +>ARGMiner~~~mexB~~~YP_001345921~~~multidrug~~~unknown MSKFFIDRPIFAWVIALVIMLAGGLSILSLPVNQYPAIAPPAIAVQVSYPGASAETVQDTVVQVIEQQMNGIDNLRYISSESNSDGSMTITVTFEQGTDPDIAQVQVQNKLQLATPLLPQEVQRQGIRVTKAVKNFLMVVGVVSTDGSMTKEDLSNYIVSNIQDPLSRTKGVGDFQVFGSQYSMRIWLDPAKLNSYQLTPGDVSAAIQAQNVQISSGQLGGLPAVKGQQLNATIIGKTRLQTAEQFEKILLKVNPDGSQVRLKDVADVGLGGQDYSINAQFNGSPASGIAIKLATGANALDTAKAIRQTIANLEPFMPQGMKVVYPYDTTPVVSASIHEVVKTLGEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPREAARKSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVIVALILTPALCATMLKPIEKGDHGEHKGGFFGWFNRMFLSTTHGYERGVASILKHRAPYLLIYVVIVAGMIWMFTRIPTAFLPDEDQGVLFAQVQTPPGSTAERTQVVVDSMREYLLEKESSSVSSVFTVTGFNFAGRGQSSGMAFIMLKPWEERPGGENSVFELAKRAQMHFFSFKDAMVFAFAPPSVLELGNATGFDLFLQDQAGVGHEVLLQARNKFLMLASQNPALQRVRPNGMSDEPQYKLEIDDEKASALGVSLADINSTISIAWGSSYVNDFIDRGRVKRVYLQGRPDARMNPDDLSKWYVRNDKGEMVPFNAFATGKWEYGSPKLERYNGVPAMEILGEPAPGLSSGDAMAAVEEIVKQLPKGVGYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSMRGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKELHEQGKGIVEAAIEACRMRLRPIVMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMVTATVLAIFWVPLFYVAVSTLFKDEASKQQAEAEKGQ ->ARGMiner~~~bcrB~~~AAA99505.1~~~peptide unknown +>ARGMiner~~~bcrB~~~AAA99505.1~~~peptide~~~unknown MAKKAKYPDVPIRFSETFSDTNLYIVLLIGVPLYGVITSYLFNREYAESTLKNLLTIPVSRISLIVSKLVLLLIWIMMLTLIAWVLTLLFGLIGQFEGLSSAVLIEGFKQFMIGGALLFFLVSPIIFVTLLFKNYVPTIIFTIIISMVSIMVYGTEYSALFPWSAVWVIASGTFFPEYPPEYSFISVAATTVLGLAATIVYFKKIDIH ->ARGMiner~~~otrB~~~AAD04032.1~~~tetracycline unknown +>ARGMiner~~~otrB~~~AAD04032.1~~~tetracycline~~~unknown MSSANPGPAGTADQAGGAFTHRQILTAMSGLLLAVFLAALDQTVIATAMRTIADDLHGQTEQAWATTGYLIASVLAMPFYGKLSDIYGRKPMYLISIVVFIGGSVLCGTAGSMWELALFRAVQGLGGGGLMSLPTAVVADLAPVRERGRYFAFLQMAWVVASVAGPLAGGFFAEAGQVFGIDGWRWVFLLNVPLGLLALVTVRKALNLPHERREHRMDVLGAAALALFLVPLLIVAEQGRTWGWGSPAALALFALGAAGLAVFIPVELRRGDEAILPLGLFRRGSIALCSAVNFTIGVGIFGTVTTLPLFLQMVQGRTPTQAGLVVIPFMLGTIASQMVSGKLIASSGRFKKLAIVGLGSMAGALLAMATTGATTPMWGIVLIVLWLGVGIGLSQTVITSPMQNSAPKSQLGVANGASGLCRQIGGSTGIAVLFSVMFAVALGRLADLLHTPRYERLLTDPAITGDPANHRFLDMAESGQGAGINLDDTSLLNGIDARLMQPVTDSFAHGFHIMFLAGGVVLLAGFVMTWFLRELQEETAPEEERPAESGAGAKNGPLPASDA ->ARGMiner~~~MdtO~~~ZP_03002663~~~multidrug unknown +>ARGMiner~~~MdtO~~~ZP_03002663~~~multidrug~~~unknown MSALNSLPLPVVRLLEFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHSIAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~dfrA7~~~ACS44716.1~~~trimethoprim unknown +>ARGMiner~~~dfrA7~~~ACS44716.1~~~trimethoprim~~~unknown MKISLISATSENGVIGNGPDIPWSAKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSRKGISSSNENVLVFPSIEIALQELSKITDHLYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDINFPKIPENFNLVFEQFFLSNINYTYQIWKKG ->ARGMiner~~~BacA~~~ZP_04226949~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04226949~~~bacitracin~~~unknown MADWLIGIIMGAVEGLTEFLPVSSTGHMILTGHLLGFDDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGILGVLFHSTIKKVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSTADIPLFATGFITAFVVAMFAIVSFLKLLARVKLTPFAYYRFILAAVFYFFFIM ->ARGMiner~~~mexG~~~NP_252894.1~~~multidrug unknown +>ARGMiner~~~mexG~~~NP_252894.1~~~multidrug~~~unknown MQRFIDNSLESNWLWLTARICLALMFVASGLAKLFDYQASLEEMRAAGLEPAWLFNIATAVTLLAGSALVLLDRKLWLGAGALAVFLLLTILIVHTFWSKTGVEAKLAMFFALEHIAVIGGLIATAIASAQRQRLRQDVSVAATYQKA ->ARGMiner~~~adeI~~~YP_002320475.1~~~multidrug unknown +>ARGMiner~~~adeI~~~YP_002320475.1~~~multidrug~~~unknown MMSAKLWAPALTACALATSIALVGCSKGSDEKQQAAAAQKMPPAEVGVIVAQPQSVEQSVELSGRTSAYQISEVRPQTSGVILKRLFAEGSYVREGQALYELDSRTNRATLENAKASLLQQQANLASLRTKLNRYKQLVSSNAVSKQEYDDLLGQVNVAEAQVAAAKAQVTNANVDLGYSTIRSPISGQSGRSSVTAGALVTANQTDPLVTIQQLDPIYVDINQSSAELLRLRQQLSKGSLNNSNNTKVKLKLEDGSTYPIEGQLAFSDASVNQDTGTITLRAVFSNPNHLLLPGMYTTAQIVQGVVPNAYLIPQAAITRLPTGQAVAMLVNAKGVVESRPVETSGVQGQNWIVTNGLKAGDKVIVDGVAKVKEGQEVSAKPYQAQPANSQGAAPNAAKPAQSGKPQAEQKAASNA ->ARGMiner~~~tolC~~~ZP_03064101~~~multidrug unknown +>ARGMiner~~~tolC~~~ZP_03064101~~~multidrug~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNDYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~ErmX~~~ZP_03917649~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmX~~~ZP_03917649~~~macrolide-lincosamide-streptogramin~~~unknown MSAYGHGRHEHGQNFLTNHKIINSIIDLVKQTSGPIIEIGPGSGALTHPMAHLGRAITAVEVDAKLAAKITQETSSAAVEVVHDDFLNFRLPATPCVIVGNIPFHLTTAILRKLLHAPAWTDAVLLMQWEVARRRAGVGASTMMTAQWSPWFTFHLGSRVPRTAFRPQPNVDGGILVIRRVGDPKIPIEQRKAFQAMVHTVFTARGRGIGEILRRAGLFSSRSETQSWLRSRGIDPATLPPRLHTNDWIDLFQVTGSSLPHHRPISPSGSSQRPPQRKNRSRRR ->ARGMiner~~~AmrB~~~YP_001764894~~~multidrug unknown +>ARGMiner~~~AmrB~~~YP_001764894~~~multidrug~~~unknown MARFFIDRPIFAWVIAIFIMLGGLFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTALIEREMNGAPGLLYTSATSSAGAASLYLTFKQGVNADLAAVEVQNRLKTVDARLPEPVRRDGIQVEKAADNIQLVVSLTSDDGRMTDVQLGEYASANVVQALRRVEGVGKVQFWGAEYAMRIWPDPVKLAGHGLTASDIASAVRAHNARVTIGDIGRSAVPDSAPIAATVFADAPLKTPADFGAIALRAQPDGSALFLRDVARIEFGGNDYNYPSYVNGKVATGMGIKLAPGSNAVSTEKRVRATMDDLSRYFPPGVKYQIPYETSSFVRVSMNKVVTTLIEAGVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGVMYAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEGLAPYDATVKAMRQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFALSLAVSIGFSAFLALSLTPALCATLLKPVSGDHHEKRGFFGGFNRFVARATQRYATRVGTMLKKPVRWLVVYGALTAAAALMLTQLPTAFLPDEDQGNFMVMVIRPQGTPLAETMQSVRAVESAIRRDEPTAYTYALGGFNLYGEGPNGGMIFVTLKNWKERKAERDHVQSIVARINERFAGTPNTTVFAMNSPALPDLGSSSGFDFRLQNRGGLDYATFSAAREQLLAAGGKDRALTDLMFAGTQDAPQLKLDIDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVMVQADGRHRLDPDDVKKLRVRNARGEMVPLAAFTTLHWTLGPPQLTRYNGYPSFTINGSAAAGHSSGEAMSAIERIAATLPAGIGYAWSGQSFEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVLGVTLRAMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLVDAALEAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQMAIGTGVLGGVITATVLAVFLVPLFFVIVGRLFDVGPRRRGGAQPTTMEGSQP ->ARGMiner~~~VIM-14~~~AAT48653.1~~~beta_lactam unknown +>ARGMiner~~~VIM-14~~~AAT48653.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSSEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSRTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~tet44~~~CBH51823.1~~~tetracycline unknown +>ARGMiner~~~tet44~~~CBH51823.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYTSGAILELGSVDKGTTRTDTMFLERQRGITIQAAVTSFNWNDYKINIVDTPGHTDFITEVYRSLSVLDGAILVISAKDGVQAQTRILFHALQKMNIPTIIFINKIDQDGINLNNIYQNIKEKLSNDIIVMQNVTLTPEISIKNIIDLDDWDPVISKNDKLLEKYIVGEKLTIQELMYEEYRCVKKGSLFPIYHGSARNNIGTQQLIEAISNLFCSEMNENDSELCGRVFKIEYTDHKQRLVYLRLYSGTLHLRDTIILPEKKKVKLTEIYIPSNGEMIQTKTVCSGDIFIIPNNTLRLNDIIGNEKLLPCNVWNDKTVPILRTRIEPIKIEEREKLLDALTEIADTDPLLRYYVDTITHEIIISFLGTVQLEVICSLLIEKYHINIRIEDPTVIYLEKPLQKADYTIHIEVPPNPFWASIGLSITPLPIGSGIQYESKVSLGYLNQSFQNAVREGINYGLEQGLYGWEVTDCKICFEYGVYYSPVSTPSDFRFLAPIVLEQTLKKAGTQLLEPYLSFILFTPQGYFSRAYKDAQKHCAIIETSQSKNDEVIFTGHIPVRCINEYRNTLTLYTNGQAVFLTELKDYQIATCEPVIQSRRPNNRIDKVRHMFNKKEN ->ARGMiner~~~TEM-163~~~ACF32746.1~~~beta_lactam unknown +>ARGMiner~~~TEM-163~~~ACF32746.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDEQNRQIAEIGASLIKLW ->ARGMiner~~~MdtL~~~YP_001451669~~~multidrug unknown +>ARGMiner~~~MdtL~~~YP_001451669~~~multidrug~~~unknown MTRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMATAMLFAGKVADQSGRKPVAIAGAVIFIIASMLCSRATDGSLFLTGRFIQGIGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIVPVLAPVLGHLIMLKFPWQSLFYTMIAMGIAVCLLSVFILKETRPATCSSTSRPQQNAESLLNRFFLSRLAITTLSVSVILTFVNTSPVLLMEMMGFDRGEYATTMALTAGISMAVSFSTPFALSVFKPRTLMLTSQGLFLAAGIVLSLSSSHAVTLFGLTLICAGFSVGFGVAMSQALGPFSLRAGVASSVLGIAQVCGSSLWIWLAAVIGFNALNMLIGILIGCSMVCILLLMTIQPAAHYEKIHHQSRS ->ARGMiner~~~BcI~~~YP_002367214~~~beta_lactam unknown +>ARGMiner~~~BcI~~~YP_002367214~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTEWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALK ->ARGMiner~~~AmrA~~~ZP_02463551~~~multidrug unknown +>ARGMiner~~~AmrA~~~ZP_02463551~~~multidrug~~~unknown MKYEWARMRRLSAALAVAAFVAAGCGKHESEHDAAAPREASVVTVKKTSVPLSVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKAQAAYLAALDKRQRYDELVRDRAVSERDHTEALADERQAKAAAASARAELARAQLQLDYATVTSPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAAEVESLRRAVKSGRAAGIAQQDIEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAIARDAILVPRDALLRTADGATVKVVGQNGKIRDVTVEAAQMKGRDWIVTRGLAGGERVVVDDAAQFEAGATVKAVERGGAAQPASGSAVAAAPDKRQT ->ARGMiner~~~MDG~~~A6F242~~~beta_lactam Class A beta lactamase +>ARGMiner~~~MDG~~~A6F242~~~beta_lactam~~~Class A beta lactamase MNLQPIFSQAGAALLALFVLSLGSVAQADDWSPTLQKEIERIDRTFSGDLGVYIRHLGTGQKVSHNIDQDWYLASTVKIPLAIVLMQRAENEGLDLEQKLTLRAADYVDGTGDLLWVDPGARYSLEELNRRSIVDSDSTATDMLIRFLGEKSFGEDVARLVPEGLGPITTILQVRYDAYREVHPSVSVLTNRDFIDLKTARTYQARYDMLLEKLNITAREADAKGVREAFERYYQRGINSGSLEAFGALLEQLVRGELLNDAHTDQLLGYLSEITTGDHRIAGGLPAGTHFAHKTGTQVARSCDIGLLNPQAPDEAVIVAVCARDYAALSEAERAYQAIGNALHESGLTRTVD ->ARGMiner~~~BcI~~~ZP_04233793~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04233793~~~beta_lactam~~~unknown MKGMMILKNKRMLKIGMCVGILGLSVTSLETFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRHNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFHKIGGPKGYEKALRQIGDRVTMSDRFETELNEAIPGDIRDTSTAKAIASNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIVILSSKDEKEATYDNQLIAEATEVIVKSLK ->ARGMiner~~~gadW~~~ANK04027.1~~~multidrug unknown +>ARGMiner~~~gadW~~~ANK04027.1~~~multidrug~~~unknown MAHVCSVILVRRSFDIHHEQQKISLHNESILLLDKNLADDFAFCSLDTRRLDIEELTVCHYLQNIRQLPRNLGLHSKDRLLINQSPPIQLVTAIFDSFNDPRVNSPILSKMLYLSCLSMFSHKKELIPLLFNSISTVSGKVERLISFDIAKRWYLRDIAERMYTSESLIKKKLQDENTCFSKILLASRMSMARRLLELRQIPLHTIAEKCGYSSTSYFINTFRQYYGVTPHQFSQHSPGTFS ->ARGMiner~~~BL2a_1~~~YP_002368151~~~beta_lactam unknown +>ARGMiner~~~BL2a_1~~~YP_002368151~~~beta_lactam~~~unknown MKKNTLLKVGLCVGLLGTIQFVSTISSVQASQKVEKTVIKNETGAISISQLNKNVWVHTELGYFNGEAVPSNGLVLNTAKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAEPAKKSGYEEPLGDLQTVTNLKFGNTKVETFYPGKGHTEDNIVVWLPQYQILAGGCLVKSAEAKDLGNVADAYVNEWSTSIENVLKRYGNINSVVPGHGEVGGKGLLLHTLDLLK ->ARGMiner~~~TEM-2~~~CAA38429.1~~~beta_lactam unknown +>ARGMiner~~~TEM-2~~~CAA38429.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OKP-A-3~~~CAJ19599.1~~~beta_lactam unknown +>ARGMiner~~~OKP-A-3~~~CAJ19599.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPPPLEQITRSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHALSDRSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATMAERNQQIAKIGAALIEHWQR ->ARGMiner~~~vanHM~~~ACL82960.1~~~glycopeptide unknown +>ARGMiner~~~vanHM~~~ACL82960.1~~~glycopeptide~~~unknown MVLVMKDIGITIYGSEQDEADVFQEISSRFGVTPTIVSSPISETNVMLAPKNKCISVGHKSEIHKSILIALKESGVKYISTRSIGYNHIDMKAAEKMGIAVENVTYSPDSVADYTLMLILMAIRHTKSTLCSMEKHDFRLNSVRGKVLRDLTVGVLGTGHIGKAVIERLQGFGGHVLAYGNNKEATANYVSFNELLQKSDILTIHVPLSDDTYHMIGHEQIKAMKQGAFLINTARGGLIDTEVLVKALEDGKLGGAALDVLEGEEGLFYFDCTQKPINNQFLLKLQRMPNVTITPHTAYYSEKTLRDTVEKTVKNCLEFERRETHE ->ARGMiner~~~OXA-45~~~CAD58780.1~~~beta_lactam unknown +>ARGMiner~~~OXA-45~~~CAD58780.1~~~beta_lactam~~~unknown MRGKHTVILGAALSALFAGAAGAQMLECTLVADAASGQELYRKGACDKAFAPMSTFKVPLAVMGYDAGILVDAHNPRWDYKPEFNGYKFQQKTTDPTIWEKDSIVWYSQQLTRKMGQKRFAAYVAGFGYGNGDISGEPGKSNGLTHSWLGSSLKISPEGQVRFVRDLLSAKLPASKDAQQMTVSILPHFAAGDWAVQGKTGTGSFIDARGAKAPLGWFIGWATHEERRVVFARMTAGGKKGEQPAGPAARDAFLKALPDLAKRF ->ARGMiner~~~BacA~~~ZP_02631430~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_02631430~~~bacitracin~~~unknown MGIDFLFILKALIIAIVEGLTEFVPVSSTGHMILVGDLIHFNTQSGGFPEMYEVVIQLGAILAVVVLYWRKISSSVVEFLCYIFSFIGLKASNDKRKYEKRLAESETGFRFGINVIIGTIPAAILGLLFHDEIKEYLFSTKTVAIGFIVGGILLIVIENNFRKRAKRSKIVKDIDKMTYGQSLLVGCFQCLSLWPGMSRSASTIMGGWISGLSTTVATEFTFFLAIPAMVGASGLDLFKFDYSQMNATNWISLILGFIVTFIVSLVVIDKFINYLKKKPMRVFAIYRVFAGIVLAILILTKVIS ->ARGMiner~~~BacA~~~ZP_02846059~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_02846059~~~bacitracin~~~unknown MIYERSSYSVMQNILTAVVQGIIEGLTEFLPVSSTGHLILSGKLLNFTGDKADTFEIIIQLGAILAVAVIYWRRIFNLLGIKGLSPEMAGAQQDKLPGRLNLLHIILACLPAMVLGLVLHSFITSYLFSAYTVLVGLVFGGIFMLFGESSSKSSVQSDGVDQITYKQAVYIGLFQCLALWPGFSRSGATIAGGLLAGVGYRAATNFSFLIAIPMMVAASGFELLKSYNTLTASDAVFFITGFLVAFVVALVAVITFLKLLERFKLAPFAYYRFALAAVFLVYLLVTGN ->ARGMiner~~~vanYM~~~ACL82959.1~~~glycopeptide unknown +>ARGMiner~~~vanYM~~~ACL82959.1~~~glycopeptide~~~unknown MVFQGNLLLVNNEYPVLEESIKTDVVNLFKHDELTKGYELLNREIYLSEKVAREFSEMVDAAEKEGVRHFSINSGFRNFDEQNALYQEMGSDYALPAGYSEHNLGLALDIGSTQMEMSEAPEGKWLEDNAWEYGFILRYPMDKTAITGIQYEPWHFRYVGLPHSAIIEEKNFALEEYLDFLKEQKSISGTIHGENYEISYYPITEKTDIEMPANLHYEISGNNMDGVIVTVYR ->ARGMiner~~~tetM~~~ABP89888~~~tetracycline unknown +>ARGMiner~~~tetM~~~ABP89888~~~tetracycline~~~unknown MEENHMKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSMEIIIKQKVELHPNMCVMSCTEPEQWDVVIEGNDDLLEKYMSGKSLEALELEQEEIRRFQNCSLYPVYHGSAKSNIGIEQLIEVITNKFYSSTYRKKSELCGNVFKIEYSEERQRLAYVRLYGGILHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLQYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~qacB~~~AAK38457~~~quinolone unknown +>ARGMiner~~~qacB~~~AAK38457~~~quinolone~~~unknown MISFFTKTTDMMTSKKRWTALVVLAVSLFVVTMDMTILIMALPELVRELEPSGTQQLWIVDIYSLVLAGFIIPLSAFADKWGRKKALLTGFALFGLVSLAIFFAESAEFVIAIRFLLGIAGALIMPTTLSMIRVIFENPKERATALAVWSIVSSIGAVFGPIIGGALLEQFSWHSAFLINVPFTIIAVVAGLFLLPESKLSKEKSHSWDIPSTILSIAGMIGLVWSIKEFSKEGLADIIPWVVIVLAITMIVIFVKRNLSSSDPMLDVRLFKKRSFSAGTIAAFMTMFAMTSVLLLASQWLQVVEELSPFKAGLYLLPMAIGAMVFAPIAPGLAARFGPKIVLPSGIGIAAIGMFIMYFFGHPLSYSTMALALILVGAGTASLAVASALIMLETPTSKAGNAAAVEESMYDLGNVFGVAVLGSLSSMLYRVFLDISSFSSKGIVGDLAHVAEESVVGAVEVAKATGIKQLANEAVTSFNDAFVATALVGGIIMIIISIVVYLLIPKSLDITKQK ->ARGMiner~~~QnrS7~~~AHE41344.1~~~quinolone unknown +>ARGMiner~~~QnrS7~~~AHE41344.1~~~quinolone~~~unknown METYNHTYRHHNFSHKDLSDLTFTACTFIRSDFRRANLRDTTFVNCKFIEQGDIEGCHFDVADLHDASFQQCQLAMANFSNANCYGIEFRACDLKGANFSRTNFAHQVSNRMYFCSAFISGCNLSYANMERVCLEKCELFENRWIGTNLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIAAWQQELILEALGIVVYPD ->ARGMiner~~~CFE-1~~~ZP_03834862~~~beta_lactam unknown +>ARGMiner~~~CFE-1~~~ZP_03834862~~~beta_lactam~~~unknown MMKKSLCCAWLLTASFSTFAAAKTEQQIADIVNHTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANDRPVTRQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTQYWPELTGKQWQGISLLHLATYTAGGLPLQVPDDVTDKAALLRFYQNWQPQWALGAKRLYANSSIGLFGALAVKPSAMRYEEAMTRRVLQPLKLTHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSLVDMTRWVQTNMDASQVQEKTLRQGIEIAQARYWRIGDMYQGLGWEMLNWPVNPNSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~OXA-196~~~AEB98922.1~~~beta_lactam unknown +>ARGMiner~~~OXA-196~~~AEB98922.1~~~beta_lactam~~~unknown MNIQALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDHARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CMY-60~~~AEM97672.1~~~beta_lactam unknown +>ARGMiner~~~CMY-60~~~AEM97672.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFIGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~ACT-24~~~AHL39336.1~~~beta_lactam unknown +>ARGMiner~~~ACT-24~~~AHL39336.1~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALAAPVSEKQLAEVVANTITPLMKAQSIPGMAVAVIYQGKPHYYTFGKADIAASKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNAALLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMGYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGISLAQSRYWRIGSMYQGLGWEMLNWPVEANTVIDGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILDALQ ->ARGMiner~~~vanZF~~~AAF36806.1~~~glycopeptide unknown +>ARGMiner~~~vanZF~~~AAF36806.1~~~glycopeptide~~~unknown MLTPLTVLYTYFCTIIFCIVFQIGFFFKALKNISIRHFLWVYVFLFYLALVYMMTGIGNVWVVGRYETLIRVSEINLLPFSSEGVTTYILNIILFMPLGFLLPTIWPQFRTIKNTACTGFFFSLAIELTQLLNHRITDIDDLLMNTLGAIIGYLLYRAFKMIYTRDEKKLDNKSSLVIKYEAIFYIVCSFIGMILLYYPFLLRKII ->ARGMiner~~~CMY-114~~~AIT76099.1~~~beta_lactam unknown +>ARGMiner~~~CMY-114~~~AIT76099.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDYVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALVVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~FosB~~~ZP_03041010~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_03041010~~~fosfomycin~~~unknown MNLQAINHLCFSVSHLERSIDFYRDVFGAKLLVRGRKLAYFDLNGLWIALNEEDVDRSTANRTYTHIAFTIDEQDVEPTLLRLQSLQVEILPGRARDEKDKKSIYFLDPDGHMFEFHTGGLQDRLDYYRTDKEHMIFYDTE ->ARGMiner~~~BcII~~~ZP_04175489~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04175489~~~beta_lactam~~~unknown MKEGGEKMKMHSLLKVGVCVSLLGATQFVSTISSVQAERTVEQKVIKNETGTISLSQLNKNVWVHTELGYFNGVAVPSNGLVLTTSKGLVLVDSSWDDKLTKELIEMVEKKFKKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKNGYEEPLGDLQTITKLKFGNMKVETFYPGKGHTEDNIVVWLPQYNILAGGCLVKSAEAKDLGNVADAYVNEWSTSIENVLIRYGNININLVVPGHGEVGNRGLLLHTLDLLK ->ARGMiner~~~FosB~~~ZP_04168559~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04168559~~~fosfomycin~~~unknown MSEYSLIFYLFREERGVLKGINHLCFSVSNLENAIMFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQEDFERLLQRLEENKVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~CMY-65~~~AEI52842.1~~~beta_lactam unknown +>ARGMiner~~~CMY-65~~~AEI52842.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALVVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~MdtK~~~ZP_02903251~~~multidrug unknown +>ARGMiner~~~MdtK~~~ZP_02903251~~~multidrug~~~unknown MQKYINEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMQNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMLSYIKHARSMRDIRNETGTARPDPAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTSDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFIAYWVLGLPSGYILALTDLVVKPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSATILQRAAR ->ARGMiner~~~CMY-18~~~AAU95778.1~~~beta_lactam unknown +>ARGMiner~~~CMY-18~~~AAU95778.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGNGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CTX-M-122~~~AFA51700.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-122~~~AFA51700.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARSIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAERRRDVLASAARIIAEGL ->ARGMiner~~~OXA-183~~~ADV41666.1~~~beta_lactam unknown +>ARGMiner~~~OXA-183~~~ADV41666.1~~~beta_lactam~~~unknown MKTFAAYVITACLSSTALASSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNNLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLSLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGTDKFWLEDQLRISAVNQVEFLESLFLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKGTEVYFFAFNMDIDNENKLPLRKSIPTKIMASEGIIGG ->ARGMiner~~~SHV-41~~~AAN04883.1~~~beta_lactam unknown +>ARGMiner~~~SHV-41~~~AAN04883.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATFGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~vgaALC~~~ABH10964.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~vgaALC~~~ABH10964.1~~~macrolide-lincosamide-streptogramin~~~unknown MKILLEGLHIKHYVQDRLLLNINRLKIYQNDRIGLIGKNGSGKTTLLHILYKKIVPEEGIVKQFSHCELIPQLKLIESTKSGGEVTRNYIRQALDKNPELLLADEPTTNLDNNYIEKLEQDLKNWHGAFIIVSHDRAFLDNLCTTIWEIDEGRITEYKGNYSNYVEQKELERHREELEYEKYEKEKKRLEKAINIKEQKAQRATKKPKNLSSSEGKIKVTKPYFASKQKKLRKTVKSLETRLEKLERVEKRNELPPLKMDLVNLESVKNRTIIRGEDVSGTIEGRVLWKAKSFSIRGGDKMAIIGSNGTGKTTFIKKIVHGNPGISLSPSVKIGYFSQKIDTLELDKSILENVQSSSQQNETLIRTILARMHFFRDDVYKPISVLSGGERVKVALTKVFLSEVNTLVLDEPTNFLDMEAIEAFESLLKEYNGSIIFVSHDRKFIEKVATRIMTIDNKEIKIFDGTYEQFKQAEKPTRNIKEDKKLLLETKITEVLSRLSIEPSEELEQEFQNLINEKRNLDK ->ARGMiner~~~tetO~~~ZP_03993170~~~tetracycline unknown +>ARGMiner~~~tetO~~~ZP_03993170~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYHPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~TLA-2~~~CAG27800.1~~~beta_lactam unknown +>ARGMiner~~~TLA-2~~~CAG27800.1~~~beta_lactam~~~unknown MNIKYFKFAEKFILLVLIMSFSSLAFCKSDDSLEQRINSIISGKKASVGVAVAGIEDNFSLSINGKKNFPMMSVYKLHIVLAVLNKVDGGSLKLDEKIPLNKKDLHPGTWSPLRDKYPNGGVSIPLSEIIEYTITQSDNNGCDILIALAGGTEAVKRYIISKGISDFDIRATEKECHESWNVQYSNWSTPVSAVALLKKFNDRKILSSVSTEYLMNVMIHTSTGNKRIKGLIPPSADVAHKTGTSGIRNGITPGTNDIGIVTLPNGKHFAIAVFVSDSRENNAANERIIAEISKAAWDYFVKMN ->ARGMiner~~~TEM-42~~~CAA66659.1~~~beta_lactam unknown +>ARGMiner~~~TEM-42~~~CAA66659.1~~~beta_lactam~~~unknown QHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGVRVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASKRGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIK ->ARGMiner~~~FosB~~~ZP_04261748~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04261748~~~fosfomycin~~~unknown MSEFSLIFYLFREERGVLKGINHLCFSVSNLENAIMFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQEDFERLLQRLEENKVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~BacA~~~YP_878662~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_878662~~~bacitracin~~~unknown MENLWFIIKAIIIGIVEGITEFLPVSSTGHMIIVEDLINFKEGVMPASLYTKQYIDAFTMIIQLGAILAIVVLYWDKIKRSFENFAPSKPKSGFKFWLNIAVSAVPAGVLGLKFHSKINEKLFNPGSVTAALIVGAIWMIFAEKRYRGKFTTKDIDNVTIKQAFIIGCFQCLALWPGMSRSASTIIGAWIVGLSTVAAAEFSFFLALPVMAGVTYKSLKDINVFALGSMHIVGLTVGFIVSFIVALIVVDKFITFLKKKPMRVFAMYRILLGIVLIILSLFNVISM ->ARGMiner~~~dfrA20~~~CAE53424.1~~~trimethoprim unknown +>ARGMiner~~~dfrA20~~~CAE53424.1~~~trimethoprim~~~unknown MGIKYSLIVAIGKHREMGADNDLLWHLPRDMQFFKETTTGHAVVMGRKSWESIPQKYRPLPNRLNFVLTRDKNYSAEGATVIYDLKEVAQHLEGKNLTCFIIGGAQIYQLALETGLLNEMYVTQVHNTFEEADTFFPFVNWGEWEEEDILEQDKDEKHLYSFNIKKFTR ->ARGMiner~~~AAC(3)-IIIa~~~CAA39184.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIIa~~~CAA39184.1~~~aminoglycoside~~~unknown MTDLNIPHTHAHLVDAFQALGIRAGQALMLHASVKAVGAVMGGPNVILQALMDALTPDGTLMMYAGWQDIPDFIDSLPDALKAVYLEQHPPFDPATARAVRENSVLAEFLRTWPCVHRSANPEASMVAVGRQAALLTANHALDYGYGVESPLAKLVAIEGYVLMLGAPLDTITLLHHAEYLAKMRHKNVVRYPCPILRDGRKVWVTVEDYDTGDPHDDYSFEQIARDYVAQGGGTRGKVGDADAYLFAAQDLTRFAVQWLESRFGDSASYG ->ARGMiner~~~QnrB7~~~ABW03156.3~~~quinolone unknown +>ARGMiner~~~QnrB7~~~ABW03156.3~~~quinolone~~~unknown MALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFTTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~OXY-6-1~~~CAI43422.1~~~beta_lactam unknown +>ARGMiner~~~OXY-6-1~~~CAI43422.1~~~beta_lactam~~~unknown MLKSSWRKSALMAAAAVPLLLASGSLWASADAIQQKLADLEKRSGGRLGVALINTADDSQTLYRGDERFAMCSTGKVMAAAAVLKQSESHPDVVNKRLEIKKSDLVVWSPITEKHLQSGMTLAELSAAALQYSDNTAMNKMISYLGGPEKVTAFAQSIGDVTFRLDRTEPALNSAIPGDKRDTTTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPASWAVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKSRKEVLAAAAKIVTEGL ->ARGMiner~~~AmrB~~~ZP_01769103~~~multidrug unknown +>ARGMiner~~~AmrB~~~ZP_01769103~~~multidrug~~~unknown MARFFIDRPVFAWVISLFIMLGGIFAIRALPVAQYPDIAPPVVSLYATYPGASAQVVEESVTAVIEREMNGVPGLLYTSATSSAGQASLSLTFKQGVSADLAAVDVQNRLKIVEARLPEPVRRDGISIEKAADNAQIIVSLTSEDGRLSGVELGEYASANVLQALRRVEGVGKVQFWGAEYAMRIWPDPVKMAALGLTASDIASAVRAHNARVTIGDVGRSAVPDSAPIAATVLADAPLTTPDAFGAIALRARADGSTLYLRDVARIEFGGNDYNYPSFVNGKTATGMGIKLAPGSNAVATEKRVRATMEELAKFFPPGVKYQIPYETASFVRVSMSKVVTTLVEAGVLVFAVMFLFMQNFRATLIPTLVVPVALLGTFGAMLAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEKLPPYEATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFAFALAVSIGFSAFLALSLTPALCATLLKPVADDHHEKDGFFGWFNRFVARSTHRYTRRVGRVLERPLRWLVVYGALTAAAALLITKLPAAFLPDEDQGNFMVMVIRPQGTPLAETMQSVRRVEEYVRTHSPSAYTFALGGYNLYGEGPNGGMIFVTMKDWKERKRARDQVQAIIAEINAHFAGTPNTMVFAINMPALPDLGLTGGFDFRLQDRGGLGYGAFVAAREKLLAEGRKDPVLTDLMFAGTQDAPQLKLDIDRAKASALGVSMEEINATLAVMFGSDYIGDFMHGSQVRRVIVQADGRHRLDAADVTKLRVRNAKGEMVPLAAFATLHWTMGPPQLTRYNGFPSFTINGAASAGHSSGEAMAAIERIASTLPAGTGYAWSGQSYEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVAGVTLRGMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLADAALEAARLRLRPIVMTSLAFGVGVLPLAFATGAASGAQIAIGTGVLGGVISATLFAIFLVPLFFVCVGRMFDVVPRRRGGAQAALEAK ->ARGMiner~~~CTX-M-158~~~AIT97310.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-158~~~AIT97310.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSVAALQYSDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~VIM-20~~~ACV13198.1~~~beta_lactam unknown +>ARGMiner~~~VIM-20~~~ACV13198.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQRYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~TEM-124~~~AAQ93491.1~~~beta_lactam unknown +>ARGMiner~~~TEM-124~~~AAQ93491.1~~~beta_lactam~~~unknown MSIKHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIALGPDGKPSRIVVIYTTGSQATMDEANRQIAEIGASLIKHW ->ARGMiner~~~SHV-143~~~AFQ32277.1~~~beta_lactam unknown +>ARGMiner~~~SHV-143~~~AFQ32277.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDLWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~APH(3'')-Ia~~~CAA37605.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3'')-Ia~~~CAA37605.1~~~aminoglycoside~~~unknown MSDHPGPGAVTPELFGVGGDWLAVTAGESGASVFRAADATRYAKCVPAADAAGLEAERDRIAWLSGQGVPGPRVLDWYAGDAGACLVTRAVPGVPADRVGADDLRTAWGAVADAVRRLHEVPVASCPFRRGLDSVVDAARDVVARGAVHPEFLPVEQRLVPPAELLARLTGELARRRDQEAADTVVCHGDLCLPNIVLHPETLEVSGFIDLGRLGAADRHADLALLLANARETWVDEERARFADAAFAERYGIAPDPERLRFYLHLDPLTWG ->ARGMiner~~~SHV-172~~~AHA80958.1~~~beta_lactam unknown +>ARGMiner~~~SHV-172~~~AHA80958.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKADRIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~Bcr~~~YP_402564~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_402564~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIFGPMADSFGRKPVVLGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAVAAILASAMIFFLIKETLPLERRQLFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR ->ARGMiner~~~EmeA~~~YP_808159~~~multidrug unknown +>ARGMiner~~~EmeA~~~YP_808159~~~multidrug~~~unknown MKNKKSMMNLAISNLFLVFLGAGLVIPVLPTLKEQMHFSGTTMGMMISIFAIAQLIASPIAGALSDKIGRKKLIAIGMIIFSFSELLFGLAQAKTGFYISRALGGVAAAMLMPSVTAYVADMTTISERPKAMGLVSAAISGGFIIGPGVGGFIAHFGIRVPFYVAAILAFIGFILTITILKEPERTMEANHETEKVSFLDILKNPLFGSLFIIILISSFGLQAFESIYSIMASINFGFSMGEIALVITVSGILALFFQLFLFDTIVNKIGELGLIQLTFFASAIFIAIIAFTKTNLVVALSTFVVFLAFDLFRPAVTTYLSKHAGNRQGAINGLNSAFTSFGNILGPMAAGYMFDINHLFPYYISAIILLGTGFLSLFLNRKNWGKA ->ARGMiner~~~dfrB3~~~ACR57831.1~~~trimethoprim unknown +>ARGMiner~~~dfrB3~~~ACR57831.1~~~trimethoprim~~~unknown MDQHNNGVSTLVAGQFALPSHATFGLGDRVRKKSGAAWQGQVVGWYCTKLTPEGYAVESESHPGSVQIYPVAALERVA ->ARGMiner~~~ErmW~~~BAA03402.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmW~~~BAA03402.1~~~macrolide-lincosamide-streptogramin~~~unknown MSSIRRRHAAASLDTPAVGGRHELGQNFLVDRGVCTRIAEVVSSTTAHPVLELGAGDGAITRALVAANLPVTALELDPRRVRRLQRTFADGVTVVHGDMLRYDFGPYPHHVVSTVPFSITTPLLRRLIGQRFWHTAVLLVQWEVARKRAGVGGTTMLTAASWPWYEFTLVERVPKTSFDPVPSVDGGILVIERRSAPLLDDRCVGDYQNLVREVYTGPGRGLAAILRTRLPGREVDAWLRRERVDPAALPRDLKAGHWASLYRLYREVGTRPAPAGRSVRARPGSVGPDRSLPPRGLRSGPPRARRRGGGA ->ARGMiner~~~Bcr~~~YP_689681~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_689681~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVSAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIALLMAPIVGGWVLVWLSWHYIFWILALAAILASAMIYFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISAPLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR ->ARGMiner~~~LEN-15~~~AAL50725.1~~~beta_lactam unknown +>ARGMiner~~~LEN-15~~~AAL50725.1~~~beta_lactam~~~unknown MRYVRLCVISLLATLPLVVYAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSPRSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGQR ->ARGMiner~~~PvrR~~~AAM15533.1~~~aminoglycoside response regulator +>ARGMiner~~~PvrR~~~AAM15533.1~~~aminoglycoside~~~response regulator MSWKSYRVLVVEDQPFQREYLLNLFRERGVQYLVGAGDGAEALRCLKQDRFDLILSDLMMPGMDGIQMILQLPYLKHRPKLALMSSSSQRMMLSASRVAQSLGLSVIDLLPKPTLPKAIGQLLEHLERCLRQKLEPETDETPHGRTALLDALHNEQLVTWFQAKKSLHTGRIVGAEALIRWSHPQHGLLLPSCFMSDVDATGLHEALLWRVLEQTLNAQESWRRAGYEIPVSVNLPPHLLDNQELPDRLYEYVGARGACTSSLCFELTESSVTTLSSNYYAGACRLRMKGFGLAQDDFGQGYSSFYNLVTTPFTELKIDRSLVQGCVEDNGLNAAVISCIELGHRLNLDVVAEGVETCEELNLLRRLGCDRAQGFLISKAVSAREFERQLREDGPSLLV ->ARGMiner~~~tet40~~~AFK31666.1~~~tetracycline unknown +>ARGMiner~~~tet40~~~AFK31666.1~~~tetracycline~~~unknown MFAKNSKAYSVYLLFRFVCSLAVSMSTVLSIVYHLEVVQLDAFQLVLVGTVLETSCFLFEIPTGVVADLYSRRRSVLIGMFLYGLGFLMEGALPWFAPVLLAQVVWGCGDTFITGALEAWIASEEEDKPIDKVFLRGSQMGQIGGVLGVVLGTLLGNINLQMPVILGGSLCLLLGLVLVRIMPETNFSPAIEERQGLLKDFVCLFKLNLGFVKGAPVLLALLAITLCGGLASEGFDRLSTAHFLDDTVIPVIGPLNSVTWFGVISLIGSGLGILASQLLIARMEKKGTVSRTSVVMSTSAGYILCLVLFAVGRSFWFMLLVFLLAGLMRTIKEPVLAAWMNDHVDEKMRATVFSTSGQLDSFGQIIGGPIVGLVAQQVSIPWGLVCTAFLLLPALFLVPVAGKKRD ->ARGMiner~~~TEM-166~~~ACI25375.1~~~beta_lactam unknown +>ARGMiner~~~TEM-166~~~ACI25375.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVGELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~SHV-147~~~AFQ23953.1~~~beta_lactam unknown +>ARGMiner~~~SHV-147~~~AFQ23953.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSAFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTSASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~ACT-22~~~AHM76774.1~~~beta_lactam unknown +>ARGMiner~~~ACT-22~~~AHM76774.1~~~beta_lactam~~~unknown MMKKSLCCALLLSTSCAALAAPMSETQLAKVVARTVTPLMKAQSIPGMAVAVIYQGQPHYFTFGKADVAANTPVTAQTLFELGSISKTFTGVLGGDAIARGEISLSDPVTKYWPELTGKQWQGVRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQSWQPQWAPGTTRLYANASIGLFGALAVKPSGMRFEQAMTERVLKPLNLNHTWINVPKAEEQHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVKDMASWVVANMAPDGVQDASLKQGMALAQSRYWRTGSMYQGLGWEMLNWPVEAKTVVEGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKKLGIVMLANKSYPNPARVEAAYRILSALQ ->ARGMiner~~~mdtG~~~ZP_04633037~~~multidrug unknown +>ARGMiner~~~mdtG~~~ZP_04633037~~~multidrug~~~unknown MTSAPQPINWKRNLFVTWLGCFLTGAAFSLIMPFLPLYVEELGVSGHQSLNMWSGLVFSITFLFSAIAAPFWGSLADRKGRKIMLLRSALGMGIVMVLMGMAQNIWQFLALRALLGLLGGFIPNANALIATQVPRNRSGWALGTLSTGGVSGALIGPLIGGLLADNYGLRPVFFITAGVLFACFAMTWLSVKEQFSPVLKKDMLNGRQVFNSLKNPKLILSLFVTTMIIQIATGSIAPILTLYVRELAGDIHNLAFVSGLIASVPGVAALMSAPRLGRLGDKIGPERILIAMLALSILILIPMAFVQTPLQLGILRFLLGATDGALLPAVQTLLIYNCTNQVAGRIFSYNQSFRDVGNVSGPLLGAAVSASYGFRAVFCVTAVVVLFNAIYSYWCLQRQPLKARQREVQQPQDS ->ARGMiner~~~OXA-182~~~ADK92148.1~~~beta_lactam unknown +>ARGMiner~~~OXA-182~~~ADK92148.1~~~beta_lactam~~~unknown MKKFILPIFSISILLSLSACSSIQTKFEDTFHISNQKHEKAIKSYFDEAQTQGVIIIKEGKNISSYGNNLVRAHTEYVPASTFKMLNALIGLENHKATTNEIFKWDGKKRSYPMWEKDMTLGEAMALSAVPVYQDLARRIGLNLMQKEVKRVGFGNMNIGTQVDNFWLIGPLKITPIQEVNFADDLANNRLPFKLETQEEVKKMLLIKEVNGSKIYAKSGWGMDVSPQVGWLTGWVEKSNGEKVSFSLNIEMKQGMSGSIRNEITYKSLENLGII ->ARGMiner~~~OXA-257~~~AGK07370.1~~~beta_lactam unknown +>ARGMiner~~~OXA-257~~~AGK07370.1~~~beta_lactam~~~unknown MKFKMKGLFYVILSSLAFSGCVYDSKLQRPVISERETEIPLLFNQAQTQAVFVTYDGIHLKSYGNDLSRAKTEYIPASTFKMLNALIGLQNAKATNTEVFHWNGEKRAFSAWEKDMTLAEAMQASAVPVYQELARRIGLELMREEVKRVGFGNAEIGQQVDNFWLVGPLKISPEQEVQFAYQLAMKQLPFDRNVQQQVKDMLYIERRGDSKLYAKSGWGMDVEPQVGWYTGWVEQPNGKVTAFALNMKMQAGDDLAERKQLTLSILDKLGLFFYLR ->ARGMiner~~~CTX-M-109~~~AEM44654.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-109~~~AEM44654.1~~~beta_lactam~~~unknown VKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSRILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTK ->ARGMiner~~~QnrS4~~~ACJ24509.1~~~quinolone unknown +>ARGMiner~~~QnrS4~~~ACJ24509.1~~~quinolone~~~unknown METYNHTYRHHNFSHKDLSDLTFTACTFIRSDFRRANLRDTTFVNCKFIEQGDIEGCHFDAADLRDASFQQCQLAMANFSNANCYGIEFRACDLKGANFSRTNFAHQVSNRMYFCSAFISGCNLSYANMERVCLEKCELFENRWIGTNLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIAAWQQELILEALGIVVYPD ->ARGMiner~~~BacA~~~ZP_02621059~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_02621059~~~bacitracin~~~unknown MENLWFIIKAIIIGIVEGITEFLPVSSTGHMIIVEDLINFKEGVMPASLYTKQYIDAFTMIIQLGAILAIVVLYWGKIKSSFENFALSKPKSGFKFWLNIAVAAVPAGILGLKFHSKINEKLFNPGSVTAALIVGAIWMIFAEKRYRGKFTTRDIDNVTIKQAFIIGCFQCLALWPGMSRSASTIIGAWIVGLSTVAAAEFSFFLALPVMFGLTLKSLIFDINIFTLGSMHIIGLTIGFIVSFIVALIVVDKFITFLKKRPMRVFAIYRILLGIVLIILSLFNVISM ->ARGMiner~~~AAC(3)-VIIIa~~~AAA26685.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-VIIIa~~~AAA26685.1~~~aminoglycoside~~~unknown MDEKELIERAGGPVTRGRLVRDLEALGVGAGDTVMVHTRMSAIGYVVGGPQTVIDAVRDAVGADGTLMAYCGWNDAPPYDLAEWPPAWREAARAEWPAYDPLLSEADRGNGRVPEALRHQPGAVRSRHPDASFVAVGPAAHPLMDDHPWDDPHGPDSPLARLAGAGGRVLLLGAPLDTLTLLHHAEARAEAPGKRFVAYEQPVTVGGRRVWRRFRDVDTSRGVPYGRVVPEGVVPFTVIAQDMLAAGIGRTGRVAAAPVHLFEAADVVRFGVEWIESRMGGAAGGA ->ARGMiner~~~OpcM~~~YP_001778540~~~multidrug unknown +>ARGMiner~~~OpcM~~~YP_001778540~~~multidrug~~~unknown MNNLHNTNGLMRFAKVAAASTLLATLLAACAVGPDYKRPDAAAPVAFKEAPTLAAGEQAGTWKTAEPADGEHRGEWWKVFGDPVLDSLETQALAANQNLKAAAARVEEARAATRSARSQWFPQVGAGFGPTREGLSSASQFQPQGTGPTNATLWRAQGTVSYEADLFGRVGRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYRRTVELREQALKLVQRRFNEGDISELDVSRAKNELASAQADAVGVARRRAASEHALAILLGKAPADFAFKETPIVPVAVKIPPGLPSALLERRPDVSAAERAMAAANARIGLAKSAYFPKLDITGSFGYEASTLGNLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQDAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGNAPAPTAVGDAASGKADVAAR ->ARGMiner~~~MacB~~~YP_002408235~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_002408235~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~NP_460125~~~multidrug unknown +>ARGMiner~~~mdtG~~~NP_460125~~~multidrug~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGDVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~vanO~~~AHA41500.1~~~glycopeptide unknown +>ARGMiner~~~vanO~~~AHA41500.1~~~glycopeptide~~~unknown MSRLKVGVIFGGASEEHPVSIKSAREVARSLDTEKYEPFWIGITTGGEWKLCDGPDADWENRSARPAVLSPDRSVHGLLVMEQGGYETVRLDLVFPVLHGKLGEDGAIQGLLELAGIPYVGCDIQGSAVCMDKALAYIVAKSAGIATPSFWVVAENEKVDADHLRYPVFVKPARSGSSFGVSKVTREDELPNALSAARQYDSKVLIEEAVAGSEIGCAVMGELFGLITGEVDRVDLSHGFFRIHQEDSPETGSENSTFIVPADISDESRRLVQETAKAIYRTLGCKGLARVDMFLTDDGRVVLNEVNTMPGMTSYSRYPRMMAAAGLPISDMIDRLISMTMHGKKR ->ARGMiner~~~OXA-24~~~AAM34291.1~~~beta_lactam unknown +>ARGMiner~~~OXA-24~~~AAM34291.1~~~beta_lactam~~~unknown MKKFILPIFSISILVSLSACSSIKTKSEDNFHISSQQHEKAIKSYFDEAQTQGVIIIKEGKNLSTYGNALARANKEYVPASTFKMLNALIGLENHKATTNEIFKWDGKKRTYPMWEKDMTLGEAMALSAVPVYQELARRTGLELMQKEVKRVNFGNTNIGTQVDNFWLVGPLKITPVQEVNFADDLAHNRLPFKLETQEEVKKMLLIKEVNGSKIYAKSGWGMGVTPQVGWLTGWVEQANGKKIPFSLNLEMKEGMSGSIRNEITYKSLENLGII ->ARGMiner~~~OXA-177~~~ADI58621.1~~~beta_lactam unknown +>ARGMiner~~~OXA-177~~~ADI58621.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDGVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~BacA~~~YP_970399~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_970399~~~bacitracin~~~unknown MDFILLAKAAVMGVVEGLTEFLPVSSTGHLILAGALLGFDDDKAKVFDIAIQTGAIFAVVLVYWQKIRETLVALPTQPRARRFALNVLIGFLPAVVLALIFGKAIKAHLFTPVVVASTFILGGFVILWAERRAPGAVRVDSVDDMTPLDALKVGLVQCLAMVPGTSRSGATIIGGMLLGLSRKAATDFSFFLAIPTLIGAGVYSLYKERHLLSMADLPLFAVGLLFSFVSAWLCVRWLLRYISTHSFVPFAYYRIAFGIVVLATAWTGWVHWGE ->ARGMiner~~~catII~~~CAA37806.1~~~chloramphenicol unknown +>ARGMiner~~~catII~~~CAA37806.1~~~chloramphenicol~~~unknown MNFTRIDLNTWNRREHFALYRQQIKCGFSLTTKLDITAFRTALAETDYKFYPVMIYLISRVVNQFPEFRMAMKDNALIYWDQTDPVFTVFHKETETFSALFCRYCPDISEFMAGYNAVMAEYQHNTALFPQGALPENHLNISSLPWVSFDGFNLNITGNDDYFAPVFTMAKFQQEDNRVLLPVSVQVHHAVCDGFHAARFINTLQMMCDNILK ->ARGMiner~~~OKP-B-11~~~CAJ19620.1~~~beta_lactam unknown +>ARGMiner~~~OKP-B-11~~~CAJ19620.1~~~beta_lactam~~~unknown MRYVRLCLISLITALPLAVFASPQPLEQIKISESQLAGRVGYVEMDLASGRTLATWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTPATMVERNQQIAGIGAALIEHWQR ->ARGMiner~~~vanRG~~~ABA71727.1~~~glycopeptide unknown +>ARGMiner~~~vanRG~~~ABA71727.1~~~glycopeptide~~~unknown MNEKILIVDDEKEIADLIELYLKNDGYKVYKFYNGIDALKCVESEKMDLAILDVMLPDVDGFHICQKIRERYFYPIIMLTAKVEDADKIMGLTIGADDYITKPFNPLEVAARVKTQLRRYVCYNNAADIEKENVLVTEYDINGLVINKNTHKCTLYGKAVTLTPIEFSVLWYLCENRGKVISSEELFENVWGEKFLDNNNTVMAHIGRLREKLKEPARNPKFIKTVWGVGYTIEE ->ARGMiner~~~mexP~~~BAE06007.1~~~multidrug unknown +>ARGMiner~~~mexP~~~BAE06007.1~~~multidrug~~~unknown MNLRHFIRITATLGVAALIAGCGESAPPGAASAPPSVPVAEVVVRPVTPYAEFTGSLTAVEQVELRPRVAGYIQDVTVPEGRLVEKGQQLFLIDPRVFKAAQDAARARLREAEAAALLARTEHERAELLYARKVVARERLDSAIASRNASKAQVDAARAALDAAQLDLGFTRVTAPIGGRVGHIQVTEGNYVTNGVTALTSIVSVDPLYVYFDVDERTYLQALAPTRGREGEQAPRVKVALLTDESYGRSSRLDFLANAADRGTGTVRVRAVVDNPDGQLTPGLFAKVRLETGKPRAQVLVADHSIGTDQGRRYVLVVDEGNKTQYRPVELGPMVDGLRVVRQGLQPGERIVVKGLVRPDMQITPRLAEIDGTPVDLSKTVGAAQ ->ARGMiner~~~BacA~~~Q2KX31~~~bacitracin unknown +>ARGMiner~~~BacA~~~Q2KX31~~~bacitracin~~~unknown MTDSTLYFVKAFFLGIIEGLTEFIPVSSTGHLILFGDWINFESGSGKVFEVVIQLGAILAVMWIFRARLWQLIRGTLSGQRQEMLFTRNLLLAFFPAAIIGAIFIKAIKQTFYHPGVVAVTLVLGGLIMLWVERRAPRSDGSASETATEERATAHSLEEISWKQALGVGVAQCLAMIPGTSRSGATIIGGMVAGIQRKTATEFSFFLAMPTMLGAAVYDMYRNIDLLTSHDLGAIAVGFVAAFLSALLVVRAVLRFVANHTYRGFAWYRIALGVVVAAWLAF ->ARGMiner~~~SHV-82~~~CAJ47137.2~~~beta_lactam unknown +>ARGMiner~~~SHV-82~~~CAJ47137.2~~~beta_lactam~~~unknown MRYVRLCIISLLATLPLAVHTSPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-7~~~CAA06312.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-7~~~CAA06312.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYVADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIRASDLVNYNPIAEKHVNGTMTLAQLGAGALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNSAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWGVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDVLAAAAKIVTHGF ->ARGMiner~~~IMP-29~~~AFG25462.1~~~beta_lactam unknown +>ARGMiner~~~IMP-29~~~AFG25462.1~~~beta_lactam~~~unknown MSKLFVFLIFLFCSITAAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNTEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKGGKVQAKNSFSGVSYWLVKKKIEVFYPGPGHTPDNVVVWLPENRVLFGGCFVKPYGLGNLDDANVEAWPHSAEILMSRYGNAKLVVPSHSDIGNASLLKLTWEQAVKGLKESKKPSQPSN ->ARGMiner~~~BL2a_1~~~ZP_04192734~~~beta_lactam unknown +>ARGMiner~~~BL2a_1~~~ZP_04192734~~~beta_lactam~~~unknown MKERVDNMKKNTLLKVGLCVGLLGTIQFVSTISSVQASQKVEKTVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLVLNTAKGLVLVDSSWDNKLTKELIEMVEKKFQKRITDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKNSGYEEPLGDLQIITSLKFGNTKVETFYPGKGHTEDNIVVWLPQYQILAGGCLVKSAEAKDLGNVADAYVNEWSTSIENVLKRYGNINSVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~AcrB~~~ZP_04625767~~~multidrug unknown +>ARGMiner~~~AcrB~~~ZP_04625767~~~multidrug~~~unknown MLAGTLAIMKLPVAQYPTIAPPAITISANYPGADATTVQNTVTQVIEQNMNGIDNLLYMSSSSDSSGNVQLTLTFNSGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVAGFISEDGTMQQEDIADYVGSNVKDPISRTAGVGDVQLFGSQYAMRIWMDPHKLNNYGLTPVDVINAIKVQNNQVAAGQLGGTPPVPGQELNSSIIAQTRLTNAEEFSQIMLKVNTDGSQVRLKDVAIVQLGAESYNIIARYNGKPAAGIGIKLATGANALNTSAAVKAELAKLQPFFPAGLKVVYPYDTTPFVKNSINEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMQEEGLPPKEATKKSMEQIQGALVGIALVLSAVFVPMAFFGGATGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPIAKGDHGPKTGFFGWFNRMFEKSTHHYTDSVANILRSTGRYLVIYLAIVIGMGVLFLRLPSSFLPEEDQGVFLTMVQMPAGATQERTQKVLNQVTDYYLDKEKDVVNSVFTVNGFGFSGQGQNTGLAFVSLKNWDERPGEQNKVPAIVGRASAAFSQIKDGLVFAFNLPAIVELGTATGFDFQLIDQGNVGHQKLTEARNQLLGMAAQHPDMLVGMRPNGLEDTPQFKIEVDQEKAQALGVAISDINTTLGSAMGGSYVNDFIDRGRVKKVYVQADAPFRMLPGDIDKWYVRNNAGQMVSFATFSTAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMDLMQELAAKLPSGVGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLENDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVESTLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSRKNEDVEHAHAVDHKVK ->ARGMiner~~~BacA~~~YP_002929946~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002929946~~~bacitracin~~~unknown MLLEILKAILFGIVEGITEWLPISSTGHMILLDQVVKLNVSADFYKMFQVVIQLGAIMAVVILFWNKLWPFYMKKTGDSKKAAWKDGALAMWIKIIIACIPAAIVGLIFDDKIDELFYHPIPVAIALIVVGIVFIVVENAKKGSKPAIRSIGEITYKAAIIIGLFQLIAAVFPGTSRSGATIIGALIIGVSRSVAAEFTFFLAVPVMFGASLLKIAKYAAVGMAMTGTEWIVLIVGCLVAFFVSVFVIKFLMGYIKKHDFKVFGVYRIILGIAVIALSFVLF ->ARGMiner~~~CMY-67~~~AFK08541.1~~~beta_lactam unknown +>ARGMiner~~~CMY-67~~~AFK08541.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDEVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVQPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEVAWRILEKLQ ->ARGMiner~~~CMY-1~~~CAA63264.1~~~beta_lactam unknown +>ARGMiner~~~CMY-1~~~CAA63264.1~~~beta_lactam~~~unknown MQQRQSILWGAVATLMWAGLAHAGEASPVDPLRPVVDASIQPLLKEHRIPGMAVAVLKDGKAHYFNYGVANRESGAGVSEQTLFEIGSVSKTLTATLGAYAVVKGAMQLDDKASRHAPWLKGSAFDSITMGELATYSAGGLPLQFPEEVDSSEKMRAYYRQWAPVYSPGSHRQYSNPSIGLFGHLAASSLKQPFAPLMEQTLLPGLGMHHTYVNVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLRFVKANIGGVDDKALQQAISLTHQGHYSVGGMTQGLGWESYAYPVTEQTLLAGNSAKVILEANPTAAPRESGSQVLFNKTGSTNGFGAYVAFVPARGIGIVMLANRNYPNEARIKAAHAILAQLAG ->ARGMiner~~~GES-2~~~AAK58421.1~~~beta_lactam unknown +>ARGMiner~~~GES-2~~~AAK58421.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMNDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~pbp2~~~YP_002849839~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~YP_002849839~~~beta_lactam~~~unknown MTFRDFEAEEKLFQRRVLVAFGLVVICFGILIYNLYNLQICQHQYYTTRSNANDIKMLPVAPTRGMIYDRNGIPLVRNVTWYDISVTPYKISDMNALLQQLTPIVDLTPDDIDQFRHELKASSRYRPVVLKNALTDVEIARFSVNQFHFSGVNINSYEDRQYPYGAALAHVLGYVSKINDNDLKALDQKGLVENYAADHNIGKQGIERYYENELHGKTGYQEVEVDNHGRIVRLIKDVPPVAGKDIHLTLDLHLQQYIESLLAGQRAAVLVEDPHDGSVLAMVSNPSYDPNPFVKGISYQDYNKLLQDKDLPLINRVTQGLYPPASTVKPYMAMSALLNGVITPQTSFFGAPTWTLPGTERHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRINSMLSQFGYGKPTGIDLDEEYNGLLPSREWKQKVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIVPHLLLDEESGKTITPYQAPEAPSQIASASSPYWGLVRQAMFGMANEPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPVRLRDHVFYTAFAPYKNPKVAVALILENGGSDGVTAAPVMRQIMDHLFAPQDNTVQTVTTEQSGPIAVSPSNTDIKNTFASIR ->ARGMiner~~~RosA~~~ZP_04612558~~~fosmidomycin unknown +>ARGMiner~~~RosA~~~ZP_04612558~~~fosmidomycin~~~unknown MTDRSETGFQPSANTAVKRTSFSILGAISVSHLLNDMIQSLILAIYPLLQAEFSLSFAQIGLITLTYQLTASLLQPLIGLYTDKHPQPYSLPIGMGFTLSGILLLAVATTFPVVLLAAALVGTGSSVFHPESSRVARMASGGRHGMAQSIFQVGGNLGSALGPLLAAILIAPYGKGNVGWFSLAALLAIVVLLQVSKWYQQQQKASHGKAIKISSVKMLPKKTVIKTLAILMVLIFSKYFYLTSISSYYTFYLMHKFGVSVQNAQIHLFAFLFAVAAGTIIGGPLGDRIGRKYVIWGSILGVAPFTLILPYASLYWIGILTVIIGVILASAFSAILVYAQELIPGKVGMVSGLFFGFAFGMGGIGAAVLGYVADLTSIELVYQICAFLPLLGIFTALLPNIEDK ->ARGMiner~~~bcrA~~~ABB80128~~~bacitracin unknown +>ARGMiner~~~bcrA~~~ABB80128~~~bacitracin~~~unknown MNTIIKTTDLTKMYGPQKSVDHLNINVKQGDIYGFLGRNGAGKTTTIRMLLGLIKPTSGQIEIFGENFFKNKKEILRRIGSIVEVPGFYANLTARENLLINAKIIGIHKKNAIDEVLEIVGLQHETKKLVGKFSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRRLIHSLAKERNITIFISSHILSEIEQLVDHVGIIHEGKLLEEIPFDHLKKRNRKYLEFQLSDQNKAVVLMEQHFDIHDYEVHQDGIIRVYSHLGQQGKLNKLFVENGIDVLKIAMSEDSLEDYFVKLIGGGTIG ->ARGMiner~~~MdfA~~~C5BC70~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~MdfA~~~C5BC70~~~multidrug~~~Multi-drug efflux pumps MQNHLSSTRRLGRRALLFPLCLVLYEFATYIGNDMIQPGMLSVVQTFGVDESWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLIGTLYFAATCLAILLTNSIEQFTLMRFLQGISLCFIGAVGYAAIQESFEESVCIKITALMANVALIAPLLGPLAGAAWVHLFPWEGMFILFAALSLLAFLGLYKAMPETATRRGEKLSLSALGRDYTLVLKNRRFLCGSLACGFASLPLLAWIAQSPVIIISGEGLSSYDYGMLQVPIFGMLILGNLTLARLSGRRPVRRLIQLGAWPMVGGLAIAAASTLYSAHAYLWMTAGLSLYAFGIGLANAGLYRLTLFSSTMSKGTVSAAMGMISMFIYTLGIEVGKYAWLLGGNGAFNLFNLISGLLWLALIARMLRDQLVGRMAGR ->ARGMiner~~~MdtH~~~YP_001453560~~~multidrug unknown +>ARGMiner~~~MdtH~~~YP_001453560~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVTGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVKIPVREGMGRVMADKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLLMSLSMLPVGLVGNLQQLFTLICTFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALQQPELPWMMLGVIGIMTFLALGWQFSHKRTPRGMLEPGA ->ARGMiner~~~vanV~~~AAO82019.1~~~glycopeptide unknown +>ARGMiner~~~vanV~~~AAO82019.1~~~glycopeptide~~~unknown MFTEKFCADGICFIMRAKNEIDHIFSELYSVPNCLQKPYFKLKVQELLLFLCMPLVICTPILIGFAILIPYLCFKNLEKRSIVNRLRAEQKENQQKQVVLALLIHSELFDSGFR ->ARGMiner~~~catI~~~ZP_02031678~~~chloramphenicol unknown +>ARGMiner~~~catI~~~ZP_02031678~~~chloramphenicol~~~unknown MEKKITGYTTVDISQWHRKEHFEAFQSVAQCTYNQTVQLDITAFLKTVKKNKHKFYPAFIHFLARLMNAHPEFRMAMKDGELVIWDSVHPCYTVFHEQTETFSSLWSEYHDDFRQFLHIYSQDVACYGENLAYFPKGFIENMFFVSANPWVSFTSFDLNVANMDNFFAPVFTMGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRMLNELQQYCDEWQGGA ->ARGMiner~~~FosB~~~ZP_03232999~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_03232999~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~TEM-153~~~AGA83484.1~~~beta_lactam unknown +>ARGMiner~~~TEM-153~~~AGA83484.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTVSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~adeB~~~CAJ77844~~~multidrug unknown +>ARGMiner~~~adeB~~~CAJ77844~~~multidrug~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTAILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIATGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~BL1_pse~~~AAY93315~~~beta_lactam unknown +>ARGMiner~~~BL1_pse~~~AAY93315~~~beta_lactam~~~unknown MRHTTLTGLSVISACALLLGASSAFAETTADSALKTTVDATIRPLMHQQGIPGMAVAIIANGKRHYFNYGVASKDNQQPVDNDTLFEVGSVSKTYTATLAGYAQASGKLALEDHASQYLPALRGSAFDGISLLQLGTYTAGGLPLQFPDEVQGEDKTLDYYNTWKPTFSPGTQRQYSNPSIGLLGYLAARSLGQPFDRLMEQTLFPKFGLKHSYIHLPKDQLGHYAQGYDKQNRPIRLSPGPLDAEAYGVKTSAPDLLQFIAGNLQPGQFDRPLQQAMVATQSGYYQVGDMTQGLGWERYAYPVPLARLLAGNSSAMALEPHPVQWLTPAAAPKADALYNKTGSTSGFGAYVVFVPSQQIGIVLLANKNYPNEERIKAAHAILTALETGK ->ARGMiner~~~IMP-16~~~CAE48334.1~~~beta_lactam unknown +>ARGMiner~~~IMP-16~~~CAE48334.1~~~beta_lactam~~~unknown MKKLFVLCIFLFCSITAAGESLPDLKIEKLEDGVYVHTSFEEVNGWGVVTKHGLVFLVNTDAYLIDTPFAAKDTEKLVNWFVERGYKIKGSISSHFHSDSSGGIEWLNSQSIPTYASELTNELLKKNGKVQAKNSFSGVSYWLLKNKIEIFYPGPGHTQDNVVVWLPEKKILFGGCFVKPYGLGNLDDANVEAWPHSAEILMSRYGNAKLVVPSHSDVGDASLLKLTWEQAVKGLKESKKPSQPSN ->ARGMiner~~~BacA~~~Q5P6K4~~~bacitracin unknown +>ARGMiner~~~BacA~~~Q5P6K4~~~bacitracin~~~unknown MDLPLFVIALILGIVEGLTEFLPISSTGHLIIIGDLLGYNDATSKVFKIVIQFAAILAVCWDYRERLARVAAGVGSEPAAQRFVGLLFIGFLPAAVLGLMFHSTIKSLLFNPLTVATALVVGGVLILWLERRAYHPRINAVDEMRWADALKVGFAQAAAMIPGTSRSGATILGGLVFGLSRKAAAEFSFFLSIPTMFAATVYDLYKNRDLLHMGDLPVFAIGFVASFFAAMFAVKAFIRFISNHTFIAFAWYRIVFGLVVLATWQLELVEWSEP ->ARGMiner~~~SHV-8~~~AAB51384.1~~~beta_lactam unknown +>ARGMiner~~~SHV-8~~~AAB51384.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARNTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~arnA~~~YP_002398630~~~polymyxin unknown +>ARGMiner~~~arnA~~~YP_002398630~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWMERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~MdtH~~~ACI85051~~~multidrug unknown +>ARGMiner~~~MdtH~~~ACI85051~~~multidrug~~~unknown MLIFYFPGGEMSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSVHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~OXA-146~~~ACI28281.1~~~beta_lactam unknown +>ARGMiner~~~OXA-146~~~ACI28281.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~SHV-188~~~CEA29751.1~~~beta_lactam unknown +>ARGMiner~~~SHV-188~~~CEA29751.1~~~beta_lactam~~~unknown MVKRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELKLNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BacA~~~B7VAE6~~~bacitracin unknown +>ARGMiner~~~BacA~~~B7VAE6~~~bacitracin~~~unknown MEWWTAFQAFILGVVEGLTEFLPISSTGHQIIVADLIGFGGERAKAFNIIIQLAAILAVVWEFRGKIFQVVRDLPSQRQAQRFTANLLIAFFPAVVLGVLFADLIHEWLFNPITVALALVVGGVVMLWAERRKHVIHAEHVDDMTWKDALKIGCAQCLAMVPGTSRSGATIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRDLFRPEDLPVFAVGFVTSFVFAMLAVRALLKFIGNHSYAAFAWYRIAFGLLILATWQFHLIDWSTAGEM ->ARGMiner~~~APH(3')-Vc~~~AAB21326.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Vc~~~AAB21326.1~~~aminoglycoside~~~unknown MYAMLRRKYQHYEWTSVNEGDSGASVYRLAGQQPELYVKFAPREPENSAFDLAGEADRLTWLTRHGIPVPCIVECGGDDTSVFLVTEAVTGVSAAEEWPEHQRFAVVEAMADLARTLHELPVGGCPFDRSLAVTVAEARHNLREGLVDLDDLQEEHANWSGDQLLAELDRTRPEKEDLVLCHGDLCPNNVLLDPETCRVTGMIDVGRLGRADRHADLALAARELEIDEDPWFGPEYAQRFLERYGAHHVDENKMAFYQLLDEFF ->ARGMiner~~~BacA~~~YP_001785754~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001785754~~~bacitracin~~~unknown MLLILKAIIIGIVEGITEFLPVSSTGHMIIAGSLIGFDGTVYRKAYTDMFSVVIQLGAILAVVVLYWDKIMSTLKNFFPSDRVPVKKCGLKFWINIVIASIPAAVIGIPFNDKIEEKLFYPLPVTIALIVGAIWMIYAENRYRNNSKVISIDDVNAKQAIIIGVFQCLALWPGMSRSASTIIGAWIVGLSTVAAAEFSFFLAIPAMIGASGMSLIKHNVFSTCSSIELIALAAGFIVSFIVALVVIDKFIAFLKKKPMKVFAIYRIVLGIVLIILIYANIITWH ->ARGMiner~~~vanYG1~~~AAQ16270~~~glycopeptide unknown +>ARGMiner~~~vanYG1~~~AAQ16270~~~glycopeptide~~~unknown MNHMNMKHRRRKRRRNQSFLFTGILLLVVVSASSFLWYGFGNAAKKDSVIEEMPFTITQDGMQAKEEIKKTVLETSYGGKQQVAEENHGNTQNAGTDEAWNLMLVNRDNAIPDNYEVNLAK ->ARGMiner~~~OXA-349~~~AGW83447.1~~~beta_lactam unknown +>ARGMiner~~~OXA-349~~~AGW83447.1~~~beta_lactam~~~unknown MYKKALIAATSILFLSSCSSNTVKQHQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRAPTAYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRVGFGNASIGSKVDNFWLVGPLKITPQQETQFAYQLALKTLPFSQDVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWIVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~DHA-18~~~AIT76094.1~~~beta_lactam unknown +>ARGMiner~~~DHA-18~~~AIT76094.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADVQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKETALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSWKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESVQSRYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~OXA-232~~~AGD91915.1~~~beta_lactam unknown +>ARGMiner~~~OXA-232~~~AGD91915.1~~~beta_lactam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIAAWNRDHDLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATQQIAFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTSIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~BcI~~~ZP_04212238~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04212238~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGIRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALK ->ARGMiner~~~TEM-135~~~CAG25427.1~~~beta_lactam unknown +>ARGMiner~~~TEM-135~~~CAG25427.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~AcrB~~~ZP_03832747~~~multidrug unknown +>ARGMiner~~~AcrB~~~ZP_03832747~~~multidrug~~~unknown MAKFFIDRPIFAWVLAIMVMLTGLLAIVKLPIAQYPTIAPPAIEVTANYPGADASTLQDSVTQVIEQNMNGIDNLMYMSSSSDSSGTVQITLTFDAGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVNVQKSSSSFLMVAAFISEDGKMSQEDIADYVAANVKDPISRTSGVGDAQLFGAQYAMRIWLDPNKLNNYQLTAGDVTAAIRVQNNQIAAGQLGGAPPVPGQQLNASIIAQTRLNSAEEFSKILLKVNADGSQVRLKDVARVELGAESYDTIARFNGQPAAGIGIKLATGANALDTATAVKNSLTKLEEFFPSGLKVVYPYDTTPFVKISINEVVKTLVEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSAFGYSINTLTMFAMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATKRSMEQIQGALVGIALVLSAVFVPMAFTGGSTGAIYRQFSITIVSAMVLSVLVALILTPALCATLLKPIAKGDHGEKKGFFGWFNRLFEKSTHHYTDSVANILRSTGRYLVIYLLIVVGLALLFLRLPTSFLPDEDQGVLLNIVQLPSGATQENTQKIMDRMTQYYLENEKGNVKSVFTVTGFGFSGRGQNAGLAFASLNDWSERSGAENKVQAIAGRANAVFSQYKEAIVIAANVPAIIELGTATGFDFQLIDQANLGHAKLTEARNQLLGMAAQRPDTLVQVRPNGMEDTPQFRLDIDQEKAQALGVSLSDISSTLATTLGGSYVNDFIDRGRVKKVYVQADAPFRMLPDDIKNWYIRGSNGQMVPFSAFTQSHWEYGSPRLERYNGQPSMQIQGEAAPGKSTGEAMALMESFVTQLPQGIGYEWTGMSYQERLSGNQAPAIYAISLIVVFLCLAALYESWSIPFSVMLVVPLGIIGALIAANMTGLENDVYFKVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFGKKVDDTTAH ->ARGMiner~~~APH(2'')-Ie~~~AAX38178.1~~~aminoglycoside unknown +>ARGMiner~~~APH(2'')-Ie~~~AAX38178.1~~~aminoglycoside~~~unknown MTTYTFDQVEEAIEQLYPDFTINTIEISGEGNDCIAYEINGNFIFKFPKHSRASINLLNEVTVLKTIHNELSLPIPEVVFTGMPSEMCQMSFAGFTKIKGVPLTPLLLKNLPKQSQDQAAKDLARFLSELHSINISGFKSNLVLDFREKINEDNKKIKKLLSRELKGHQMKKVDDFYRDILDNEIYFKYYPCLIHNDFSSDHILFDTEKNTICGIIDFGDAAISDPDNDFISLMEDDEEYGMEFVSKILNHYKHKDIPTVLEKYMMKEKYWSFEKIIYGKEYGYMDWYEEGLNEIRSIKIK ->ARGMiner~~~VIM-18~~~CAO83029.1~~~beta_lactam unknown +>ARGMiner~~~VIM-18~~~CAO83029.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATRSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLANEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~FosB~~~YP_078703~~~fosfomycin unknown +>ARGMiner~~~FosB~~~YP_078703~~~fosfomycin~~~unknown MENNKILGINHLLFSVSDLSVSISFYEKVFDAKWLVKAEKTAYFDLNGIWLAFNEEKDIKRQEIHDSYTHIAFSIQQEDLPFWEKKLHDLGVNVLKGRKRHEGDRDSIYFSDPDGHKFELHTGSVFDRLQYYQNEKPHLSFHEGHIKALYDRNK ->ARGMiner~~~FOX-2~~~CAA71325.1~~~beta_lactam unknown +>ARGMiner~~~FOX-2~~~CAA71325.1~~~beta_lactam~~~unknown MQQRRALALLTLGSLLLAPCTYASGEAPLTAAVDGIIQPMLKEYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFELDDKVSHHAPWLKGSAFDGVTMAELATYSAGGLPLQFPDEVDSNDKMQTYYRSWSPVYPAGTHRQYSNPSIGLFGHLAANSLGQPFEKLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPIRVTPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAALKSAIALTHTGFYSVGDMTQGLGWESYAYPVTEQALLAGNSPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~APH(3')-IIIa~~~AGV10830.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIIa~~~AGV10830.1~~~aminoglycoside~~~unknown MAKMRISPELKKLIEKYRCVKDTEGMSPAKVYKLVGENENLYLKMTDSRYKGTTYDVEREKDMMLWLEGKLPVPKVLHFERHDGWSNLLMSEADGVLCSEEYEDEQSPEKIIELYAECIRLFHSIDISDCPYTNSLDSRLAELDYLLNNDLADVDCENWEEDTPFKDPRELYDFLKTEKPEEELVFSHGDLGDSNIFVKDGKVSGFIDLGRSGRADKWYDIAFCVRSIREDIGEEQYVELFFDLLGIKPDWEKIKYYILLDELF ->ARGMiner~~~MdtM~~~YP_002384189~~~multidrug unknown +>ARGMiner~~~MdtM~~~YP_002384189~~~multidrug~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATIALSYIPMMSWVAVSPVILIDAGGLTTTQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIVGNLLSPHVWLWSVLGTSLYAFGIGLIFPSLYRFTLFSNNLPKGTVSASLNIVVLTVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELVEEQ ->ARGMiner~~~PmrA~~~ZP_02707994~~~quinolone unknown +>ARGMiner~~~PmrA~~~ZP_02707994~~~quinolone~~~unknown MTEINWKDNLRIAWFGNFLTGASISLVVPFMPIFVENLGVGSQQVAFYAGLAISVSAISAALFSPIWGILADKYGRKPMMIRAGLAMTITMGGLAFVPNIYWLIFLRLLNGVFAGFVPNATALIASQVPKEKSGSALGTLSTGVVAGTLTGPFIGGFIAELFGIRTVFLLVGSFLFLAAILTICFIKEDFQPVAKEKAIPTKELFTSVKYPYLLLNLFLTSFVIQFSAQSIGPILALYVRDLGQTENLLFVSGLIVSSMGFSSMMSAGVMGKLGDKVGNHRLLVVAQFYSVIIYLLCANASSPLQLGLYRFLFGLGTGALIPGVNALLSKMAPKAGISRVFAFNQVFFYLGGVVGPMAGSAVAGQFGYHAVFYATSLCVAFSCLFNLIQFRTLLKVKEI ->ARGMiner~~~aadA7~~~BAD00739.1~~~aminoglycoside unknown +>ARGMiner~~~aadA7~~~BAD00739.1~~~aminoglycoside~~~unknown MSEKVPAEISVQLSQALNGIGRHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAAPLNDAVRQALLVDLLEVSASPGQNKALRALEVTIVVHSDIVPWRYPARRELQFGEWQRKDILAGIFEPATTDSDLAILLTKAKQHSVVLAGSAAKDLFSSVPESDLFKALADTLKLWNSPPDWAGDERNVVLTLSRIWYTAATGKIAPKDVAATWAMARLPAQHQPILLNAKRAYLGQEEDYLPARADQVAALIKFVKYEAVKLLGASQ ->ARGMiner~~~CMY-98~~~AGH70380.1~~~beta_lactam unknown +>ARGMiner~~~CMY-98~~~AGH70380.1~~~beta_lactam~~~unknown MAAQSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANDRPVTRQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTQYWPELTGKQWQGISLLHLATYTAGGLPLQVPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMGYEEAMTKRVLQPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSLVDMTRWIQANMDASQVQEKTLRQGIEIAQARYWHIGDMYQGLGWEMLNWPVNADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRI ->ARGMiner~~~BacA~~~YP_416126~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_416126~~~bacitracin~~~unknown MFIIELIKGIILGVVEGLTEFAPVSSTGHMILVDDMWLKSSEFLGSQSAFTFKIVIQLGSVFAAAWVFRERFLEILHIGKHKHVEGQNDQQRRSKPRRLNLLHVLVGMVPAGILGLLFDDFIEEHLFSVPTVMIGLFVGAIYMIIADKYSVKVKNPQTVDQINYFQAFVIGISQAVAMWPGFSRSGSTISTGVLMKLNHKAASDFTFIMAVPIMLAASGLSLLKHYQDIQIADIPFYILGFLAAFTVGLIAIKTFLHLINKIKLIPFAIYRIVLVIFIAILYFGFGIGKGI ->ARGMiner~~~mexY~~~BAA34300.1~~~multidrug unknown +>ARGMiner~~~mexY~~~BAA34300.1~~~multidrug~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSATYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNANLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLAHPRDGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYTLVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADRRKRLGIDDIGRLHVRNEQGEMGAAGDVRQGRLDPRPAATDPLQRLSLVQPRGPGRAGLQQREAMQAMEQLMQGTARGIRPRVVRPVLRRTPVAGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGIRAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~OKP-B-20~~~CAP12360.2~~~beta_lactam unknown +>ARGMiner~~~OKP-B-20~~~CAP12360.2~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNTAGNLLLKIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMVERNQQIAGIGAALIEHWQR ->ARGMiner~~~tetO~~~ZP_03624201~~~tetracycline unknown +>ARGMiner~~~tetO~~~ZP_03624201~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPLKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIKISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~AmrB~~~ZP_02892568~~~multidrug unknown +>ARGMiner~~~AmrB~~~ZP_02892568~~~multidrug~~~unknown MARFFIDRPVFAWVIALFIMLGGAFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTALIEREMNGAPGLLYTSATSSAGAASLYLTFKQGVNADLAAVEVQNRLKTVEARLPEPVRRDGIQVEKAADNIQLVVSLTSDDGRMTDVQLGEYASANVVQALRRVDGVGKVQFWGAEYAMRIWPDPVKLAGHGLTASDIASAVRAHNARVTVGDIGRSAVPDSAPIAATVFADAPLKTPADFGAIALRTQPDGSALHLRDVARIEFGGNDYNYPSYVNGKVATGMGIKLAPGSNAVSTEKRVRATMDELSAYFPPGVKYQIPYETSSFVRVSMNKVVTTLIEAGVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGVMYAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEGLAPYDATVKAMRQISGAIIGITVVLTSVFVPMAFFGGAVGNIYRQFALSLAVSIGFSAFLALSLTPALCATLLKPVSGDHHEKRGFFGWFNGFVARSTQRYATRVGAMLKKPLRWLVVYGALTAATALMLTQLPSAFLPDEDQGNFMVMVIRPQGTPLAETMQSVREVESYIRRDEPAAYTFALGGFNLYGEGPNGGMIFVTLKNWKERKAERDHVQAIVARINERFAVTANTTVFAMNSPALPDLGSTSGFDFRLQNRSGLDYAAFSAARERLLAAGGKDPALTDVMFAGTQDAPQLKLDIDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVIVQADGLHRLDPADVKKLRVRNAGGEMVPLAAFATLHWTLGPPQLTRYNGYPSFTINGSAAPGHSSGEAMAAIERIAAKLPAGIGHAWSGQSFEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVLGVTLRAMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLVDAALEAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQMAIGTGVLGGMITATVLAVFLVPLFFVIVGRLFEVGPRRRGGSQPATMEGSQ ->ARGMiner~~~mdtE~~~YP_002414661~~~multidrug unknown +>ARGMiner~~~mdtE~~~YP_002414661~~~multidrug~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGTLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~TEM-92~~~AAF66653.1~~~beta_lactam unknown +>ARGMiner~~~TEM-92~~~AAF66653.1~~~beta_lactam~~~unknown MSIKHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtG~~~YP_002638141~~~multidrug unknown +>ARGMiner~~~mdtG~~~YP_002638141~~~multidrug~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLAGHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEILHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~TEM-70~~~AAF01046.1~~~beta_lactam unknown +>ARGMiner~~~TEM-70~~~AAF01046.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASQQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-398~~~AIT76095.1~~~beta_lactam unknown +>ARGMiner~~~OXA-398~~~AIT76095.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKQQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~TEM-201~~~AFS44742.1~~~beta_lactam unknown +>ARGMiner~~~TEM-201~~~AFS44742.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETVVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGGQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~AmrA~~~ABC38359~~~multidrug unknown +>ARGMiner~~~AmrA~~~ABC38359~~~multidrug~~~unknown MKYEWARMRRRWAALAVAAFVAAGCGKRESAHEAAASREASVVTVKKTSVPLSVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKAQAAHLAALDKRRRYDELVRDRAVSERDHTEALADERQAKAAVASARAELARAQLQLDYATVTSPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVESLRRAVKSGRAAGIAQQDVEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAVARDAILVPRDALLRTADSATVKVVGENGKIRDVTVEATQMKGRDWIVTRGLAGGERVVVVDAAQFDAGATVKAVERGAAAQPASGAAAAAAPDKRQT ->ARGMiner~~~OXA-108~~~ABV31688.1~~~beta_lactam unknown +>ARGMiner~~~OXA-108~~~ABV31688.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTTNPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNTDIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~SHV-103~~~ABS72351.1~~~beta_lactam unknown +>ARGMiner~~~SHV-103~~~ABS72351.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNRAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BacA~~~ZP_04196507~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04196507~~~bacitracin~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFEDDRAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGILGVLFHSAIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASALDLIKSWDILSAADIPLFATGFITAFVVAMLAIVSFLKLLGRVKLTPFAYYRFILAAVFYFFVM ->ARGMiner~~~MacB~~~YP_002113999~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_002113999~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDVAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKKMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MIR-17~~~CEA29752.1~~~beta_lactam unknown +>ARGMiner~~~MIR-17~~~CEA29752.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVTSAAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEVALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWVIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~tsnr~~~CCP44409.1~~~peptide unknown +>ARGMiner~~~tsnr~~~CCP44409.1~~~peptide~~~unknown MLTERSARVATAVKLHRHVGRRRAGRFLAEGPNLVAAALARGLVREVFVTEVAARRHELLLAAHEASVHLVTERAAKALSDTVTPAGLVAVCDLPATRLEDVLAGSPQLIAVTVEIREPGNAGTVIRIADAMGAAAVILAGRSVDPYNGKCLRASTGSIFAIPVVVAPDVGAAIADLRAAGLQVLATAVDGEMALDDADRLLAEPTAWLFGPEAHGLSAEIAALADHRVHILMSGGAESLNVAAAAAICLYESARALGRR ->ARGMiner~~~AAC(6')-Ib-Hangzhou~~~ACL37342.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib-Hangzhou~~~ACL37342.1~~~aminoglycoside~~~unknown MTEHDLVMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~TEM-192~~~AEQ59620.1~~~beta_lactam unknown +>ARGMiner~~~TEM-192~~~AEQ59620.1~~~beta_lactam~~~unknown MPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPIMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYT ->ARGMiner~~~vanRB~~~AAB05622.1~~~glycopeptide unknown +>ARGMiner~~~vanRB~~~AAB05622.1~~~glycopeptide~~~unknown MSIRILLVEDDDHICNTVRAFLAEARYEVDACTDGNEAHTKFYENTYQLVILDIMLPGMNGHELLREFRAQNDTPILMMTALSDDENQIRAFDAEADDYVTKPFKMRILLKRVEALLRRSGALAKEFRVGRLTLLPEDFRVLCDGTELPLTRKEFEILLLLVQNKGRTLTHEIILSRIWGYDFDGDGSTVHTHIKNLRAKLPENIIKTIRGVGYRLEESL ->ARGMiner~~~acrB~~~CAR60300~~~multidrug unknown +>ARGMiner~~~acrB~~~CAR60300~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAIFKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~OXA-377~~~AHL30277.1~~~beta_lactam unknown +>ARGMiner~~~OXA-377~~~AHL30277.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTKGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~BacA~~~YP_932216~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_932216~~~bacitracin~~~unknown MDITLLLTALILGIVEGLTEFLPVSSTGHLIILGDLLGYNDEASKVFKIVIQLAAILAVCWDYRERLIKVAVGVPSDRSAQRFVGLLLLGFLPAAVLGFLFHSTIKNVLFNPLVVATALVVGGLIILYVEKRAYHPRVTSVDEMRWGDALKVGFAQALAMIPGTSRSGATIMGGLIFGLSRKTAAEFSFFLAIPTMLAATVYDVYKNWTLLRVEDLPVFAVGFVASFFAAMWAVKSFIRFISNHTFVVFAWYRIVFGIVVLATWQFDLVSWSTP ->ARGMiner~~~AER-1~~~AAC09015.1~~~beta_lactam unknown +>ARGMiner~~~AER-1~~~AAC09015.1~~~beta_lactam~~~unknown MYVLSVEKPTLRNKFAAGIGVVLVCVVASFIPTPVFALDTTKLIQAVQSEESALHARVGMTVFDSNTGTTWNYRGDERFPLNSTHKTFSCAALLAKVDGKSLSLGQSVSISKEMLVTYSPITEKSLSPETVTFGKICQAAVSYSDNTAANVVFDAIGGATGFNAYMRSIGDEETQLDRKEPELNEGTPGDVRDTTTPNAMVNSLRKILLGDALSASSRSQLTQWMLDDQVAGALLRASLPSDWKIADKTGAGGYGSRSIVAVIWPPSKQPLVVGIYITQTKASMQASNQAIARIGVVLKDTVAP ->ARGMiner~~~acrD~~~YP_490697.1~~~aminoglycoside unknown +>ARGMiner~~~acrD~~~YP_490697.1~~~aminoglycoside~~~unknown MANFFIDRPIFAWVLAILLCLTGTLAIFSLPVEQYPDLAPPNVRVTANYPGASAQTLENTVTQVIEQNMTGLDNLMYMSSQSSGTGQASVTLSFKAGTDPDEAVQQVQNQLQSAMRKLPQAVQNQGVTVRKTGDTNILTIAFVSTDGSMDKQDIADYVASNIQDPLSRVNGVGDIDAYGSQYSMRIWLDPAKLNSFQMTAKDVTDAIESQNAQIAVGQLGGTPSVDKQALNATINAQSLLQTPEQFRDITLRVNQDGSEVRLGDVATVEMGAEKYDYLSRFNGKPASGLGVKLASGANEMATAELVLNRLDELAQYFPHGLEYKVAYETTSFVKASIEDVVKTLLEAIALVFLVMYLFLQNFRATLIPTIAVPVVLMGTFSVLYAFGYSVNTLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLTPREATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGTTGAIYRQFSITIVAAMVLSVLVAMILTPALCATLLKPLKKGEHHGQKGFFAWFNQMFNRNAERYEKGVAKILHRSLRWIVIYVLLLGGMVFLFLRLPTSFLPLEDRGMFTTSVQLPSGSTQQQTLKVVEQIEKYYFTHEKDNIMSVFATVGSGPGGNGQNVARMFIRLKDWSERDSKTGTSFAIIERATKAFNQIKEARVIASSPPAISGLGSSAGFDMELQDHAGAGHDALMAARNQLLALAAENPELTRVRHNGLDDSPQLQIDIDQRKAQALGVAIDDINDTLQTAWGSSYVNDFMDRGRVKKVYVQAAAPYRMLPDDINLWYVRNKDGGMVPFSAFATSRWETGSPRLERYNGYSAVEIVGEAAPGVSTGTAMDIMESLVKQLPNGFGLEWTAMSYQERLSGAQAPALYAISLLVVFLCLAALYESWSVPFSVMLVVPLGVIGALLATWMRGLENDVYFQVGLLTVIGLSAKNAILIVEFANEMNQKGHDLFEATLHACRQRLRPILMTSLAFIFGVLPMATSTGAGSGGQHAVGTGVMGGMISATILAIYFVPLFFVLVRRRFPLKPRPE ->ARGMiner~~~IMP-26~~~ACY01749.1~~~beta_lactam unknown +>ARGMiner~~~IMP-26~~~ACY01749.1~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAEPLPDLKIEKLDEGVYVHTSFEEVNGWGVFPKHGLVVLVDAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFGGVNYWLVKNKIEVFYPGPGHTPDNLVVWLPERKILFGGCFIKPYGLGNLGDANLEAWPKSAKLLISKYGKAKLVVPSHSEAGDASLLKLTLEQAVKGLNESKKPSKLSN ->ARGMiner~~~OCH-5~~~CAC17625.1~~~beta_lactam unknown +>ARGMiner~~~OCH-5~~~CAC17625.1~~~beta_lactam~~~unknown MRKSTTLLIGFLTTAAIIPNNGALAASKANDGDLRRIVDETVRPLMAEQKIPGMAVAITIDGKSHFFGYGVASKESGQKVTEDTIFEIGSVSKTFTAMLGGYGLATGAFSLSDPATKWAPELAGSSFDKITMLDLGTYTPGGLPLQFPDAVTDDSSMLAYFKKWRPDYPAGTQHRYSNPSIGLFGYLAARSMDKPFDVLMEQKLLPAFGLKNTFINVPESQMKNYAYGYSKANKPIRVSGGTLDAQAYGIKTTALDLARFVELNIDSSSLEPDFQKAVAATHTGYYHVDANNQGLGWEFYNYPTALKTLLAGNSSDMALKSHKIEKFDTPRQPSADVLINKTGSTNGFGAYAAFIPAKKIGIVVLANRNYPIDERVKAAYRILQALDNKQ ->ARGMiner~~~MexD~~~YP_348488~~~multidrug unknown +>ARGMiner~~~MexD~~~YP_348488~~~multidrug~~~unknown MSQFFIKRPNFAWVVALFISLAGLLVIPMLPVAQYPNVAPPQIKVTATYPGASAKVLVDSVTSVIEESLNGAKNLLYFESTNNSNGIAEIAVTFQPGTDPQLAQVDVQNRLKKAEARMPQAVLTQGLEVEQTSAGFLLIYALNYKEGTQHSDTTALGDYAARNINNELRRVPGVGKLQFFSSEAAMRVWLDPQKLVGYGLSIDDVSAAIRGQNVQVPAGSFGSTPTSSQQELTATLAVKGTLDNPEEFSRIVLRANEDGSTVKLGDVARVEIGQESYNFNSRLNGRPAVAAAIQLSPGANAIQTATAVKQRLAELSAYFPDDVEYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNIRYTLIPSIVVPVCLLGTLMMMYLMGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGLSPVDATVKAMGQVSGAIIGITLVLSAVFMPLAFMSGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPQGHHEKRGFFGAFNRGFAKLTDRYSVLNNGLVARAGRMMLVYLGIIAMLGFFYLRLPESFVPVEDQGYMIVDVQLPPGATRTRTEATGEQLERYLATRDGVQSTFLVSGFSFSGMGDNAALAFPVFKDWSERGEDQSAMAEVNALNEQFAVTNDGAVMAVPPPPIDGLGNSGGFALRLQDRAGLGREALLAARDQVLGQANGNPKILYAMMEGLAESPQLRVVIDRDKARTLGVSFESISSALSAAFGSEVINDFTNAGRQQRVVVQAEQGDRMTPESVLKLYVHNTAGDLVPLSAFVTTHWEEGPVQVVRYNGYPSVRIVGDAGPGYSTGEAMAEMERIAAQLPAGFSYEWTGLSYQEKVSSGQATQLFALAILVVFLLLVALYESWAIPLTVMLIVPIGAIGAVLAVMVAGMPNDVYFKVGLITIIGLAAKNAILIVEFAKELWEKGYSLRDAAIEAARLRFRPIVMTSMAFILGVVPLALATGAGAASQRAIGTGVIGGMLSATLLGVLFVPICFVWVLSMLRSKPAPIEQPALTQE ->ARGMiner~~~KPC-2~~~AAG13410~~~beta_lactam unknown +>ARGMiner~~~KPC-2~~~AAG13410~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPSDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~bacA~~~ZP_03412557~~~bacitracin unknown +>ARGMiner~~~bacA~~~ZP_03412557~~~bacitracin~~~unknown MSDMHSLLIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQREGESKGRLTLIHILLGMIPAVVLGLVFHDTIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATVLDLYKSWSFLTAADIPMFAVGFMTAFVVALIAIKTFLQLIKRISFIPFAIYRFVVAAAVYVVFF ->ARGMiner~~~BacA~~~NP_840140~~~bacitracin unknown +>ARGMiner~~~BacA~~~NP_840140~~~bacitracin~~~unknown MDWLILLKALLLGIVEGLTEFLPISSTGHLILAGDLLNFNDDKAKVFTVAIQLGAILAVCWEYRERLVNIIRNLGTRQANRFVINLFIAFLPAAILGLLFIKTIKHYLFHPMPVAIALVTGGILILWAERREHRIEAETVDDMSWKQALQVGCAQCLALIPGTSRSGATIIGGLLFGLSRKAAAEFSFFLAIPVMFAATFYDVYKHREFLYIDDLGMFATGSVAAFISALIAIRGFIRYISHHDFTLFAWYRIGFGLIVLLTAYSGLVDWSVD ->ARGMiner~~~OXA-53~~~AAP43641.1~~~beta_lactam unknown +>ARGMiner~~~OXA-53~~~AAP43641.1~~~beta_lactam~~~unknown MAIQIFAILFSTFVLATFAHAQDGTLERSDWGKFFSDFQAKGTIVVADERQADHAILVFDQARSMKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVKRSFAGHNKDQDLRSAMRNSTVWVYELFAKEIGDGKARRYLKQIGYGNADPSTSHGDYWIEGSLAISAQEQIAFLRKLYQNDLPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGSMGWWVGWVEWPTGPVFFALNIDTPNRMDDLFKREAIARAILLSIEALPPNPAVHSDAAR ->ARGMiner~~~MOX-2~~~CAB82578.1~~~beta_lactam unknown +>ARGMiner~~~MOX-2~~~CAB82578.1~~~beta_lactam~~~unknown MQQRQSILWGALATLMWAGLAHAGETSPVDPLRPVVDASIRPLLKEHRIPGMAVAVLKDGKAHYFNYGVADRERAVGVSEQTLFEIGSVSKPLTATLGAYAVVKGAMQLDDKASRHAPWLKGSAFDSITMGELATYSAGGLPLQFPEEVDSLEKMQAYYRQWTPAYSPGSHRQYSNPSIGLFGHLAASSMKQPFAQLMEQTLLPGLGLHHTYVNVPKQAMASYAYGYSKEDKPIRVSPGMLADEAYGIKTSSADLLRFVKANISGVHDKALQQAISLTHKGHYSVGGMTQGLGWESYAYPVSEQTLLAGNSAKVILEANPTAAPRESGSQMLFNKTGSTSGFGAYVAFVPAKGIGIVMLANRNYPIPARVKAAHAILTQLAR ->ARGMiner~~~chrB~~~AAS79458.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~chrB~~~AAS79458.1~~~macrolide-lincosamide-streptogramin~~~unknown MLNRIVRYLACPHCGASLAQGDRALFCPAGHSFDIAKQGYVNLLPRATKLRADTKEMVEARDAFLSAGHYDPVMDALVDLARRTADPAVPGCVVDIGGGTGHYHAGVMEAFPDAQGLLLDISKYAVRRAAKAHPRIAAAVTDAWQTLPLRDAAAGMVINTFAPRNGPELHRVLHPRGVLLVVTPLPDHLREVIGALGLLQVDEGKESRLAEQLAPHFSAVATEELTRTMALDHQALAHLVGMGPNAWHRDAQRDLETIQRLPAPTRVTLSVRLSAYRLSA ->ARGMiner~~~tetQ~~~ZP_04557016~~~tetracycline unknown +>ARGMiner~~~tetQ~~~ZP_04557016~~~tetracycline~~~unknown MRFDNASIVVYYCLIQMNIINLGILAHIDAGKTSVTENLLFASGATEKCGCVDNGDTITDSMDIEKRRGITVRASTTSIIWNGVKCNIIDTPGHMDFIAEVERTFKMLDGAVLILSAKEGIQAQTKLLFNTLQKLQIPTIIFINKIDRAGVNLERLYLDIKANLSQDVLFMQNVVDGSVYPVCSQTYIKEEYKEFVCNHDDNILERYLADSEISPADYWNTIIALVAKAKVYPVLHGSAMFNIGINELLDAITSFILPPASVSNRLSSYLYKIEHDPKGHKRSFLKIIDGSLRLRDVVRINDSEKFIKIKNLKTINQGREINVDEVGANDIAIVEDMDDFRIGNYLGAEPCLIQGLSHQHPALKSSVRPDRPEERSKVISALNTLWIEDPSLSFSINSYSDELEISLYGLTQKEIIQTLLEERFSVKVHFDEIKTIYKERPVKKVNKIIQIEVPPNPYWATIGLTLEPLPLGTGLQIESDISYGYLNHSFQNAVFEGIRMSCQSGLHGWEVTDLKVTFTQAEYYSPVSTPADFRQLTPYVFRLALQQSGVDILEPMLYFELQIPQAASSKAITDLQKMMSEIEDISCNNEWCHIKGKVPLNTSKDYASEVSSYTKGLGIFMVKPCGYQITKGGYSDNIRMNEKDKLLFMFQKSMSSK ->ARGMiner~~~MdfA~~~YP_001453825~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_001453825~~~multidrug~~~unknown MQNLSQTGVRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVIWFIVTCLATLLAQNIEQFTLLRFLQGVSLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEEMFVLFAVLAAIAFVGLQRAMPETATRLGEKLSLKELGRDYKLVLKNVRFVAGALALGFVSLPLLAWIAQSPIIIISGEQLSSYEYGLLQVPVFGALIAGNLVLARLTSRRTVRALIIMGGWPIVAGLLIAAAATVVSSHAYLWMTAGLSVYAFGIGVANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEVSKHAYLSGGNGLFSLFNLANGILWLLLMVIFLKDKRVGDSREG ->ARGMiner~~~VanRB~~~ZP_02848503~~~glycopeptide unknown +>ARGMiner~~~VanRB~~~ZP_02848503~~~glycopeptide~~~unknown MDIRILLVEDDEHIGNTVKTFLIEAGYKVDVCINGIEAYTNFYNHTYQLVILDIMLPGMSGHELLREFRKRNNTPILMMTALSDDENQIKAFDAEADDYVTKPFKIQLLLKRVGALLRRSGALAKEIRCSNLTILPDDFRALYDGVELPLTLKEFEILMLLVQNKGRTLSHEIILSRVWGYDFDGDGSTVHTHIKNLRAKLPENMIKTARGVGYRLEEDTL ->ARGMiner~~~mecA~~~AGC51118.1~~~beta_lactam unknown +>ARGMiner~~~mecA~~~AGC51118.1~~~beta_lactam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETKSRNYPLEKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~BacA~~~ZP_04216757~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04216757~~~bacitracin~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFEDDRAKVFEVVIQLGSILAVVVVFWKRLWSLVGIGKVTEGPSLNLLHIIIGMIPAGVLGVLFHSTIKKVLFGPGPVVISLIVGGILMIVAEKFSKPSTAKTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDVLSSADITLFATGFITAFLVAMLAIVSFLKLLARVKLTPFAYYRFILAAVFYFFIMR ->ARGMiner~~~BacA~~~YP_981592~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_981592~~~bacitracin~~~unknown MDIALLIKAAIMGIVEGLTEFLPISSTGHLILAGALLGFDDDKAKVFDIAIQTGAIFAVILVYWQKIRSTLIALPNEKQAQQFALNVLVAFVPAVVLGLLFGKAIKAHLFTPVVVASAFIVGGFIILWAEKRQQRNPATIRIHDVESMSTMDALKVGLVQCLAMIPGTSRSGSTIIGGMLLGLSRKAATDFSFYLAIPTLIGAGAYSLFKDRALLSMADAPMFGVGLLFSFLSAWLCIRWLLRYIASHDFVPFAWYRIAFGIVVLATAWSGVVTWAE ->ARGMiner~~~AcrB~~~ZP_04620400~~~multidrug unknown +>ARGMiner~~~AcrB~~~ZP_04620400~~~multidrug~~~unknown MAKYFIDRPIFAWVIAIIIMLAGALAIMKLPVAQYPTIAPPAISISANYPGADATTVQNTVTQVIEQNMNGIDNLLYMSSSSDSSGSVQLTLTFNSGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVAGFISEDGTMQQEDIADYVGSNIKDPISRTAGVGDVQLFGSQYAMRIWMDPHKLNNFGLTPVDVINAIKVQNNQVAAGQLGGTPPVPGQELNSSIIAQTRLTNAEEFSQILLKVNTDGSQVRLKDVAIVQLGAESYNVIARYNGKPAAGIGIKLATGANALNTSAAVKAELEKLQPFFPAGLKVVYPYDTTPFVKISIHEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSVFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMQEEGLPPKEATKKSMEQIQGALVGIAMVLSAVFIPMAFFGGATGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPIAKGEHGPKTGFFGWFNRMFEKSTHHYTDSVANILRSTGRYLLIYLAIVVGMGVLFLRLPSSFLPEEDQGVFLTMVQMPAGATQERTQKVLNQVTDYYLDKEKDVVNSVFTVNGFGFSGQGQNTGLAFISLKDWSERPGAENKVPAIVDRASAAFSQIKDGLVFAFNLPAIVELGTATGFDFQLIDQGNVGHQKLTEARNQLFGMAAQHPDMLIGMRPNGLEDTPQFKVEVDQEKAQALGVSISDINTTLGSAMGGNYVNDFIDRGRVKKVYVQAAAPFRMLPDDIDKWYVRNNVGQMVSFNTFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMDLMQELASKLPSGVGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVESTLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSRKNEDIEHTHAVDHTVK ->ARGMiner~~~CMY-66~~~AEZ49849.1~~~beta_lactam unknown +>ARGMiner~~~CMY-66~~~AEZ49849.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDITDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALVVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASLVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~SHV-29~~~AAG49894.1~~~beta_lactam unknown +>ARGMiner~~~SHV-29~~~AAG49894.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGSVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAAERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~norM~~~BAA31456~~~multidrug unknown +>ARGMiner~~~norM~~~BAA31456~~~multidrug~~~unknown MHRYKEEASSLIKLATPVLIASVAQTGMGFVDTVMAGGVTQTDMAAVSVASSIWLPSILFGIGLLMALVPVVAQLNGSARREKIPFEIQQGVVLALLISIPIIGVLLQTQFILQLMDVEAVMADKTVGYIHAVIFAVPAFLLFQTLRSFTDGMSLTKPAMVIGFIGLLLNIPLNWIFVYGKFGAPELGGVGCGVATTIVYWVMFALLLAYVMTSSRLKSINVFGEYHKPQWKAQVRLFKLGFPVAAALFFEVTLFAVVALLVSPLGPIIVAAHQVAINFSSLVFMLPMSVGAAVSIRVGHRLGEENVDGARVASRVGIMVGLALATITAIITVLSRELIAELYTNNPEVITLAMQLLLFAAVYQCTDAVQVIAAGALRGYKDMRAIFNRTFIAYWILGLPTGYILGRTDWIVEPMGAQGFWLGFIIGLTAAALMLGVRLRWMHRQEPDVQLNFSLQ ->ARGMiner~~~FosA5~~~AJE60855.1~~~fosfomycin unknown +>ARGMiner~~~FosA5~~~AJE60855.1~~~fosfomycin~~~unknown MLSGLNHLTLAVSQLAPSVAFYQQLLGMMLHARWDSGAYLSCGDLWLCLSLDPQRRVTPPEESDYTHYAFSISEADFASFAARLEAAGVAVWKLNRSEGASHYFLDPDGHKLELHVGSLAQRLAACREQPYKGMVFFAE ->ARGMiner~~~NDM-4~~~AFB82585.1~~~beta_lactam unknown +>ARGMiner~~~NDM-4~~~AFB82585.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGLVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~AcrB~~~ZP_03222019~~~multidrug unknown +>ARGMiner~~~AcrB~~~ZP_03222019~~~multidrug~~~unknown MANFFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRLKDPQEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLSPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGFFGWFNTRFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMAVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIDQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAPGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVISRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~TEM-133~~~AAS19171.1~~~beta_lactam unknown +>ARGMiner~~~TEM-133~~~AAS19171.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-98~~~CAK50801.1~~~beta_lactam unknown +>ARGMiner~~~OXA-98~~~CAK50801.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~BacA~~~ABM94007~~~bacitracin unknown +>ARGMiner~~~BacA~~~ABM94007~~~bacitracin~~~unknown MDILLLVKAAIMGIVEGLTEFLPISSTGHLILTASLLNFTGEIVKVFDIAIQTGAMFAVIWEYRVRLRATVAGITHEAVAQRFVRNLLIAFVPAVISGLALGGLIKEHLFHPVPVATAFVVGGLIILWVERRHRALFGDRDLEGGRVARVETIDDMSALDALKVGLVQCAALIPGTSRSGATIIGAMLFGFSRKAATEFSFFLGIPTLMGAGAYSLIKQRDLLSWGDLPVFAVGVVFAFLSALVCIRWLIRYVSTHDFTVFAWYRIAFGGLVLLSAWGGWVDWKD ->ARGMiner~~~MdfA~~~YP_001457641~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_001457641~~~multidrug~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGQFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~CTX-M-82~~~ABB59946.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-82~~~ABB59946.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFPMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~AAC(3)-VIIa~~~AAA88552.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-VIIa~~~AAA88552.1~~~aminoglycoside~~~unknown MDELALLKRSDGPVTRTRLARDLTALGLGDGDTVMFHTRMSAVGYVAGGPETVIGALRDVVGERGTLMVTCGWNDAPPYDFTDWPQTWQDARRAEHPAYDPVLSEADHNNGRLPEALRRRPGAVRSRHPDASFAALGAAATALTADHPWDDPHGPDSPLARLVAMGGRVLLLGAPLEALTLLHHAEALADAPGKRFVDYEQPILVDGERVWRRFHDIDSEDGAFDYSALVPEGTEAFEIIGRDMRAAGIGRRGTVGAADSHLFEARDVVDFGVAWMEEKLGRERGPGG ->ARGMiner~~~mexJ~~~NP_252367.1~~~multidrug unknown +>ARGMiner~~~mexJ~~~NP_252367.1~~~multidrug~~~unknown MYRHIPLVALSLFSSLFLAACGNGTPPPAAARPAIVVQPQPAGEVSQAFPGEIRARHEPELAFRIGGKVIRRLVEVGERVKKDQPLAELDPQDVRLQLEAARAQVSAAEANLQTVRAEYRRYRTLLDRNLVSHSQFENIQNSYRAGEARLKQIRAEFNVADNQAGYAVLRSPQDGVIASRRVEVGQVVAAGQTVFSLAADGEREVLIGLPEHSFERFRIGQPVSVELWSQRDRRFAGHIRELSPAADPQSRTFAARVAFDDRATPAELGQSARVYVAAAEAVPLSVPLSALTAEAGQAFVWVVEPGSSTLRRQAVRTGPYAEDRVPVLEGLKAGDWVVATGVQVLREGQQVRPIDRANRTVKLAAKE ->ARGMiner~~~CTX-M-8~~~AAN73274~~~beta_lactam unknown +>ARGMiner~~~CTX-M-8~~~AAN73274~~~beta_lactam~~~unknown MMRHRVKRVMLMTTTCISLLLGSAPLYAQANDVQQKLAALEKSSGGRLGVALIDTADNAQTLYRADERFAMCSTSKVMAAAAVLKQSETQKKVLSQKVEIKSSDLINYNPITEKHVNGTMTLAELSAAALQYSDNTAMNKLIAHLGGPDKVTAFARAIGDNTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLRNLTLGSALGETQRAQLVTWLKGNTTGAASIQAGLPTSWVVGDKTGSGDYGTTNDIAVIWPEGRAPLILVTYFTQPEQKAESRRDVLAAAAKIVTDGN ->ARGMiner~~~MdtM~~~AAP43530~~~multidrug unknown +>ARGMiner~~~MdtM~~~AAP43530~~~multidrug~~~unknown MPRFFTRHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQKAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGSLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLSLLIVGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNKLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELVEEQ ->ARGMiner~~~TEM-144~~~CAJ17558.1~~~beta_lactam unknown +>ARGMiner~~~TEM-144~~~CAJ17558.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDCWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mexi~~~NP_252896.1~~~multidrug inner membrane transporter of the efflux complex mexghi-opmd +>ARGMiner~~~mexi~~~NP_252896.1~~~multidrug~~~inner membrane transporter of the efflux complex mexghi-opmd MTFTDLFVRRPVLALVVSTLILLLGLFSLGKLPIRQYPLLESSTITVTTEYPGASADLMQGFVTQPIAQAVSSVEGIDYLSSTSVQGRSVVTIRMLLNRDSTQAMTETMAKVNSVRYKLPERAYDSVIERSSGETTAVAYVGFSSKTLPIPALTDYLSRVVEPMFSSIDGVAKVQTFGGQRLAMRLWLDADRLAGRGLTASDVAEAIRRNNYQAAPGMVKGQYVLSNVRVNTDLTNVDDFREMVIRNDGNGLVRLRDVGTVELGAAATETSALMDGDPAVHLGLFPTPTGNPLVIVDGIRKLLPEIQKTLPPDVRVDLAYETSRFIQASIDEVVRTLVEALLIVVLVIYLCLGSLRSVLIPVATIPLSMLGAAALMLAFGFSVNLLTLLAMVLAIGLVVDDAIVVVENVHRHIEEGKSPVAAALIGAREVAGPVIAMTITLAAVYTPIGLMGGLTGALFREFALTLAGAVIVSGVVALTLSPVMSSLLLQAHQNEGRMGRAAEWFFGGLTRRYGQVLEFSLGHRWLTGGLALLVCISLPLLYSMPKRELAPTEDQAAVLTAIKAPQHANLDYVELFARKLDQVYTSIPETVSTWIINGTDGPAASFGGINLAAWEKRERDASAIQSELQGKVGDVEGSSIFAFQLAALPGSTGGLPVQMVLRSPQDYPVLYRTMEEIKQKARQSGLFVVVDSDLDYNNPVVQVRIDRAKANSLGIRMQDIGESLAVLVGENYVNRFGMEGRSYDVIPQSLRDQRFTPQALARQFVRTQDGNLVPLSTVVRVALQVEPNKLIQFDQQNAATLQAIPAPGVSMGQAVAFLDDVARGLPAGFSHDWQSDSRQYTQEGNTLVFAFLAALVVIYLVLAAQYESLADPLIILITVPLSICGALLPLALGYATMNIYTQIGLVTLIGLISKHGILMVEFANELQLHERLDRRAAILRAAQIRLRPVLMTTAAMVFGLVPLLFASGAGAASRFGLGVVIVSGMLVGTLFTLFVLPTVYTLLARNHAEVDKSPRSRQLAEADLLVNKA ->ARGMiner~~~NorA~~~ZP_04060517~~~multidrug unknown +>ARGMiner~~~NorA~~~ZP_04060517~~~multidrug~~~unknown MKKQLFTLYFNIFLIFVGIGLVIPVLPVYLKDLGLKGSDLGILVAIFSLSQMIISPFGGSLADKLGKKLIICLGLVFFTISEFLFAMSHSFILLIVSRILGGFSAGMVMPGVTGMIADISIAKDKAKNFGYMSAIINSGFILGPGIGGFLAEVSHRLPFYFAGALGIIAFVISVILIRQPQNTAESHHIHFETKELSKIQWGVFITPIILTFVLAFGLSSFETLFSLYTSAKANYAPGDISIAIVGGGVAGAVFQIFFFDKFMRYTTELTFITWALLYSVIVIFSLIIAHSYWSIMLISFIVFIGFDLIRPALTNYYSNIAGNRQGFAGGLNSTFTSMGNFVGPLVAGSLFDVNIEFPLYMSIIVMLFGVVIIFIEKKLQLNRSRCD ->ARGMiner~~~OXA-131~~~ACD84989.1~~~beta_lactam unknown +>ARGMiner~~~OXA-131~~~ACD84989.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAVPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNQQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~BacA~~~EAS48788~~~bacitracin unknown +>ARGMiner~~~BacA~~~EAS48788~~~bacitracin~~~unknown MDFAAVGDAVILGITEGLTEFLPVSSTGHILLLGHFLGFQSTARSFEVLIQLGAILAILILYFGRLWRIFVTLPTEPRSRRFAAGILLAFLPAAFVGVLAHDFIKTILFETPMVICVALIVGGILLLVIDRMPRAVRYDDIMDYPLSLCLKIGIFQCLALIPGTSRSGATIAGSLLMGTDKRSAAEFSFFLAMPTMLGAFTYDLIKNRDVLSLDDAGLIVIGFVMAFVSALIVVRYLLDFVSRHGFAVFAWWRIGVGLVGMVLLLALPADEGGEAATPVPASASQPLATDGAQPAR ->ARGMiner~~~VIM-36~~~AGC50806.1~~~beta_lactam unknown +>ARGMiner~~~VIM-36~~~AGC50806.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATRSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~BacA~~~YP_791281~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_791281~~~bacitracin~~~unknown MEWWTAFQAFILGVVEGLTEFLPISSTGHQIIVADLIGFGGERAKAFNIIIQLAAILAVVWEFRGKIFQVVRDLPSQRQAQRFTANLLIAFFPAVVLGVLFADLIHEWLFNPITVALALVVGGVVMLWAERRKHVIHAEHVDDMTWKDALKIGCAQCLAMVPGTSRSGATIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRDLFRPEDLPVFAVGFVTSFVFAMVAVRALLKFIGNHSYAAFAWYRIAFGLLILATWQFHLIDWSTAGEM ->ARGMiner~~~KPC-17~~~AGJ01154.1~~~beta_lactam unknown +>ARGMiner~~~KPC-17~~~AGJ01154.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQLVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~mepA~~~AAU95768.1~~~multidrug unknown +>ARGMiner~~~mepA~~~AAU95768.1~~~multidrug~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMSAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~BacA~~~YP_550152~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_550152~~~bacitracin~~~unknown MDIALLIKAAIMGVVEGLTEFLPISSTGHLILAGALLGFDDDKAKVFDIAIQTGAIFAVILVYWQKIRDTLVALPTEKQAQQFALNVLVAFVPAVVLGLLFGKAIQAHLFTPVVVASTFVIGGFIILWAEKRQERNPAVARIHEVEAMTVMDALKVGLVQCLAMIPGTSRSGATIIGGMLLGLSRKAATDFSFYLAIPTLIGAGVYSLYKERALLSVADVPLFMVGLVFSFVSAWLCIRWLLRYISSHSFIPFAWYRIAFGVVVLATAWSGVVTWTP ->ARGMiner~~~ErmF~~~AAA88675.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmF~~~AAA88675.1~~~macrolide-lincosamide-streptogramin~~~unknown MTKKKLPVRFTGQHFTIDKVLIKDAIRQANISNQDTVLDIGAGKGFLTVHLLKIANNVVAIENDTALVEHLRKLFSDARNVQVVGCDFRNFAVPKFPFKVVSNIPYGITSDIFKILMFESLGNFLGGSIVLQLEPTQKLFSRKLYNPYTVFYHTFFDLKLVYEVGPESFLPPPTVKSALLNIKRKHLFFDFKFKAKYLAFISYLLEKPDLSVKTALKSIFRKSQVRSISEKFGLNLNAQIVCLSPSQWLNCFLEMLEVVPEKFHPS ->ARGMiner~~~pbp1a~~~ZP_00155442~~~beta_lactam unknown +>ARGMiner~~~pbp1a~~~ZP_00155442~~~beta_lactam~~~unknown MRIAKLILNTLLTLCILGLVAGGMLYFHLKSELPSVEALKTVELQQPMQIYTADGKLIGEVGEQRRIPVKLADVPQRLIDAFLATEDSRFYDHHGLDPIGIARALFVAVSNGGASQGASTITQQLARNFFLTSEKTIIRKAREAVLAVEIENTLNKQEILELYLNKIFLGYRSYGVAAAAQTYFGKSLNELTLSEMAIIAGLPKAPSTMNPLYSLKRSEERRNVVLSRMLDEKYISKEEYDAALKEPIVASYHGAKFEFRADYVTEMVRQEMVRRFGEENAYTSGYKVFTTVLSKDQAEAQKAVRNNLIDYDMRHGYRGGAPLWQKNEAAWDNDRIVGFLRKLPDSEPFIPAAVIGIVKGGAEILLASGEKMTLSSNAMRWTGRSNPVKVGEQIWIRQRANGEWQLGQIPSANSALVSLNSDNGAIEAVVGGFSYEQSKFNRATQSLVQVGSSIKPFIYAAALEKGLTLSSVLQDSPISIQKPGQKMWQPKNSPDRYDGPMRLRVGLGQSKNMIAIRVIQTAGIDFTAEFLQRFGFKRDQYFASEALALGAASFTPLEMARAYAVFDNGGFLIEPYIIEKIQDNTGKDLFIANPKIACIECNDIPVIYGETKDKINGFANIPLGESALKPTDDSTNGEELDQQPETVPELPELQSNFTALKEDAIDLMAAAKNASSKIEYAPRVISGELAFLIRSALNTAIYGEQGLDWKGTSWRIAQSIKRSDIGGKTGTTNSSKVAWYAGFGANLVTTTYVGFDDNKRVLGRGEAGAKTAMPAWITYMKTALSDKPERKLPLPPKIVEKSIDTLTGLLSPNGGRKEYFIVGTEPTRTYLSEMQERGYYVPTELQQRLNNEGNTPATQPQELF ->ARGMiner~~~AAC(6')-Ib~~~AFJ11384.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib~~~AFJ11384.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFEKQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~OXA-106~~~ABV31686.1~~~beta_lactam unknown +>ARGMiner~~~OXA-106~~~ABV31686.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~mexD~~~YP_001350571~~~multidrug unknown +>ARGMiner~~~mexD~~~YP_001350571~~~multidrug~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISRLPVAQYPSVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGVEVEQTSAGFLLIYALNYKEGVQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSEQELSATLAVKGTLDNPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGLSPAEATAKAMRQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARLTGHYSLLNSKLVARAGRFMLVYAGLVAALGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRMRTDATGEELERFLETREAVDSVFLVSGFSFSGQGDNAALAFPTFKDWSERGPGQSAAAEIAAVNEHFALPDDGTIMAVSPPPIDGLGNSGGFALRLMDRGGVGREALLQARDTLLGEVRGNPKFLYAMMEGLAEAPQLRLLIDREKARALGVGFESISGTLSAAFGSDVINDFTNAGRQQRVVIQAEQGARMTPESVLRLYVPNARGELVPLSAFVSMKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATALFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVTGMANDVYFKVGLITIIGLSAKNAILIVEFAKELWEKGHGLRDAAIEAARLRFRPIIMTSMAFILGVVPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEPAATARE ->ARGMiner~~~AcrB~~~YP_454364~~~multidrug unknown +>ARGMiner~~~AcrB~~~YP_454364~~~multidrug~~~unknown MSKYFIDRPIFAWVIAIIIMLAGALSILKLPIEQYPAIAPPTIRITATYPGADASTLQDSVTQIVEQNMNGIDHLMYMASDSDSSGTATITLTFESGADPDIAQVQVQNKLQLAMPLLPQEVQQQGVQVQKSSASFLMVAGFISDDKNMTQEDIADYVGSSLKDPISRTNGVGEVQLFGAQYAMRIWLDPNKLNNFQLTPVDVISALEVQNNQIAAGQLGGSPPVKGQQLNTSIIVQTRLKTTDEFGKIQLKVNPDGSQVRLKDVATIALGGESYDIIARINGQPATGLGIKLATGANALDTANAVKAELGRLQANFPAGLKVVYPYDTTPFVKISITEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILGAFGYTINTLTMFACVLAIGLLVDDAIVVVENVERVMAEEGLSPIEATRKSMGQIQGALVGIALVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMILSVIVALVLTPALCATLLKPIKKGDHGKTTGFFGWFNKKFDQSTHHYTDSIGHILNSTGRYLLIYLLIVVGMALLFIRLPTSFLPDEDQGVFLTMTLLPAGATQERTQHVLDEVTQYYLNNEKDNVRSVFTVNGFGFAGRGQNSGIVFVSLKDWGERSGAENKVPAITARANQAFSKIKDGIVLAFNLPAIVELGTATGFDFELIDENNLGHEQLTAARNQLLGMVAQHPDVLQGVRPNGLEDTPEYKLDVDHEKAEVLGVSLSDINTTIGAALGGSYVNDFIDRGRVKKVYVQGDAPYRMLPQDINNWYVRGSDNQMVPLSAVTTARWQYGSPRLERYNGLPSMEIQGEAAPGKSTGEAMALMEQLAGKLPAGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLAISTGTGSGSQNAVGTGVMGGMVTATLLAIFFVPVFFVVVRRRFGKKGHQDELEQGHPVENPPPRP ->ARGMiner~~~IMP-43~~~BAM98935.1~~~beta_lactam unknown +>ARGMiner~~~IMP-43~~~BAM98935.1~~~beta_lactam~~~unknown MKKLSVFFMFLFCSIAASGEALPDLKIEKLDEGVYVHTSFEEVNGWGVFPKHGLVVLVNTDAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFSGASYWLVKKKIEIFYPGPGHTPDNVVVWLPEHRVLFGGCFVKPYGLGNLGDANLEAWPKSAKLLVSKYGKAKLVVPSHSEVGDASLLKRTLEQAVKGLNESKKLSKPSN ->ARGMiner~~~CMY-79~~~AFK73446.1~~~beta_lactam unknown +>ARGMiner~~~CMY-79~~~AFK73446.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDITDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQDKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPVPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~aadA8~~~AAN41439.1~~~aminoglycoside unknown +>ARGMiner~~~aadA8~~~AAN41439.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~OXA-110~~~ABV31690.1~~~beta_lactam unknown +>ARGMiner~~~OXA-110~~~ABV31690.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGEKRLFPEWEKNMTLGDAMKASALPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~MdfA~~~YP_001571083~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_001571083~~~multidrug~~~unknown MHNRLQSGVRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLATLLAKNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFILFAALAAIAFFGLQRAMPETATRRGETLSFKALGRDYRLVIKNRRFVAGALALGFVSLPLLAWIAQSPIIIISGEQLSSYEYGLLQVPVFGALIAGNLVLARLTSRRTVRSLIVMGGWPIVAGLIIAAAATVVSSHAYLWMTAGLSVYAFGIGLANAGLVRLTLFSSDMSKGTVSAAMGMLQMLIFTVGIEVSKHAWLSGGNGLFSLFNLANGILWLLLMLVFLKDKRTGNLQTV ->ARGMiner~~~sul1~~~ABG36700~~~sulfonamide unknown +>ARGMiner~~~sul1~~~ABG36700~~~sulfonamide~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSGQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMDRRLVHGETADLAPTGFGQQVREAMDQRREHHIEQRDATRNRDGRIFYRRNLLATLREREVARAGAEMAEGKALPFRAAKDGESVSGKFTGTVHLSSGKFAVVEESHEFTLVPWRPIIDRQLGREVMGIVQGGSVSWQLGRQRGLER ->ARGMiner~~~IMP-27~~~AEH41427.1~~~beta_lactam unknown +>ARGMiner~~~IMP-27~~~AEH41427.1~~~beta_lactam~~~unknown MKKLFVLCVFVFCSITVAGETLPNLRVEKLEEGVYVHTSYEEVKGWGVVTKHGLVVLIGADAYLIDTPFTAKDTEKLVNWFVERGYKIKGTVSSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFDGVSYWLAKDKIEVFYPGPGHTQDNVVVWLPEKEILFGGCFVKPHGLGNLGDANLEAWPESAKILMEKYGKAKLVVSGHSETGDATHLKRTWEQAVKGLKESKKTLQPSN ->ARGMiner~~~tetB(P)~~~YP_002850805~~~tetracycline unknown +>ARGMiner~~~tetB(P)~~~YP_002850805~~~tetracycline~~~unknown MKKIINIGIVAHVDAGKTTITENLLYYSGAIKSVGRVDLGNTQTDSMELERKRGITIKSSTISFNWNNVKVNIVDTPGHVDFISEVERSLSVLDGAILVISGVEGIQSQTRILFETLKELNIPTIIFVNKLDRIGANFNKVFEDIKKNMSNKVVRLQEVYDVGSKAVYIKKLFDTCMINDDAIDVLSDLDEAFLERYIGGIEPDKEEIQEKLSLYASEGSLYPVFCGAAAIGLGVEDLLDGICSYFPFAGDDCESDLSGVVFKIERTSKNEKKVYVRLFGGKISVRDKIQVPNKEIAEKVKKINRLENGGVVEAQRIEAGDIGILYGLTSFQVGDVIGISNDKIKNISIAKPALKTTISAIDKEKNPELFKALTLLAEEDPLLELEMNDIDKEIYVNLFGEVQMEILSSMLDDLYGIKVEFSNIETIYKETPKGFGASIMHMQEDLNPFWATVGLEIEPAGRGEGLRYISNVSVGSLPKSFQNAIEEAVIKTSKQGLFGWEVTDVKVTLSCGEFFSPASTPADFRNVTPMVFMEALYKAQTVLLEPLHEFELKIPQNALSKAVWDLETMRATFDNPIVIGDEFSIKGLIPVENSKEYKMKIASYTEGRGMFVTKFYGYKEASAEFSKARKKTTYDPLNKKEYLLHKLNAIRD ->ARGMiner~~~LEN-4~~~AAN05031.1~~~beta_lactam unknown +>ARGMiner~~~LEN-4~~~AAN05031.1~~~beta_lactam~~~unknown VISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQQIAGIGAALI ->ARGMiner~~~MdtH~~~Q32ES6~~~multidrug unknown +>ARGMiner~~~MdtH~~~Q32ES6~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLVSIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLMPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTIRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGTIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~BacA~~~YP_001563294~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001563294~~~bacitracin~~~unknown MDILLLVKAAIMGIVEGLTEFLPISSTGHLILAGSLLGFDDAKAKVFDIAIQTGAIFAVVLVYWQKIRSTVVDLPTSRQAQRLTLNVIIGFLPAVVLALIFGKFIKAHLFTPTVVATTFILGGFVILWAERRPASATRVQTVDEMTPLDALKVGLVQCLAMIPGTSRSGATIIGGMLMGLSRKAATDFSFFLAIPTLIGAGVYSLYKERALLSAADIPMFAVGLVFSFISAWLCVRWLLRYISTNSFVPFAWYRIAFGIIVLVTAFTGLVNWSGG ->ARGMiner~~~MdtK~~~A7ZMC9~~~multidrug unknown +>ARGMiner~~~MdtK~~~A7ZMC9~~~multidrug~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~opmE~~~BAE06009.1~~~multidrug unknown +>ARGMiner~~~opmE~~~BAE06009.1~~~multidrug~~~unknown MKPYLRSSLSALILLGGCAAVGPDYAPPSASAPASFGAMPAGIDGSGVEIEWWRGFDEPALESLIQRALAANLDIALAGARLDEAKALLRENREEFLPRGGPAFDYQARRRGEVETPAGQQRDIETYRGALDASWEIDLFGRVRRSVEAAEAQAGSREALLRNVQASVAATVAMSWFQLQGIEAELAVVHDIAGNQRDSLEMVERLVSAGSAHEFDRLRAEALLHNVEAAVPDLERRRAATRNALAVLLAEAPQAFSPPVARASGERLTLRTLGVGDPAGLLARRADIAAAERNLAAATARIGVETAGLYPQVEVRGSIGLVAGNLDALDESGTSFNVLNPVIRWALLDRGRVWARIAASEARAQEALILYDRTVLRALQETDDAFNGYGAAADRLRLRLLEATANREAARLARERFVQGDGEYLDVLEAERSDYLSRRALSIARTEQRLAVVGIYKALGGGWEACAGARRCGVATDDTSPGVARQRDSRS ->ARGMiner~~~mecR1~~~BAG24378~~~beta_lactam unknown +>ARGMiner~~~mecR1~~~BAG24378~~~beta_lactam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVDTMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~MUS-1~~~AAN63647.1~~~beta_lactam unknown +>ARGMiner~~~MUS-1~~~AAN63647.1~~~beta_lactam~~~unknown MHRILSVITMLICTTLVHAQSDKLKIKQLNDNMYIYTTYQEFQGVTYSSNSMYVLTDEGVILIDTPWDKDQYEPLLEYIRSNHNKEVKWVITTHFHEDRSGGLGYFNSIGAQTYTYALTNEILKERNEPQAQHSFNKEKQFTFGNEKLAVYFLGEGHSLDNTVVWFPKEEVLYGGCLIKSAEATTIGNIADGNVIAWPKTIEAVKQKFKNAKVIIPGHDEWDMTGHIENTERILSAYNQQHSTKND ->ARGMiner~~~QnrB65~~~AGL43626.1~~~quinolone unknown +>ARGMiner~~~QnrB65~~~AGL43626.1~~~quinolone~~~unknown MTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRANLKDAIFKSCDLSMADFRNINALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSSFDWRAANVTHCDLTNSELGDLDIRGVDLQGVKLDSYQASLLLERLGIAVMG ->ARGMiner~~~EmeA~~~YP_001031495~~~multidrug unknown +>ARGMiner~~~EmeA~~~YP_001031495~~~multidrug~~~unknown MKNKKSMMNLAISNLFLVFLGAGLVIPVLPTLKEQMHFSGTTMGMMISIFAIAQLIASPIAGALSDKIGRKKLIAIGMIIFSFSELLFGLAQAKTGFYISRALGGVAAAMLMPSVTAYVADMTTISERPKAMGLVSAAISGGFIIGPGVGGFIAHFGIRVPFYVAAILAFIGFILTITILKEPERTMEANHETEKVSFLDILKNPLFGSLFIIILISSFGLQAFESIYSIMASINFGFSMGEIALVITVSGILALFFQLFLFDTIVNKIGELGLIQLTFFASAIFIAIIAFTKTNLVVALSTFVVFLAFDLFRPAVTTYLSKHAGNRQGAINGLNSAFTSFGNILGPMAAGYMFDINHLFPYYISAIILLGTGFLSLFLNRKNLSKA ->ARGMiner~~~NDM-2~~~AEA41876.1~~~beta_lactam unknown +>ARGMiner~~~NDM-2~~~AEA41876.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMAGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGMVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~BLA1~~~ZP_04323456~~~beta_lactam unknown +>ARGMiner~~~BLA1~~~ZP_04323456~~~beta_lactam~~~unknown MEQIYFGDCLLYVSKKSVKNLKGMMILKNKRLLKIGICVGILGLSLTSLEAFTGGPLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRSPIIIAILSSKDEKEATYDNQLIKEAAEVVIDAIK ->ARGMiner~~~mecC~~~P07944~~~beta_lactam unknown +>ARGMiner~~~mecC~~~P07944~~~beta_lactam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTHMRLGIVPKNVSKKDYKAIAKELSISEDYINNKWIKIGYKMIPSFHFKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVRVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLNDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~FosB~~~ZP_04233366~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04233366~~~fosfomycin~~~unknown MSEFSLIFYLFKEERGVLKGINHLCFSVSNLENAILFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQKDFERLLHRLEENNVHILQGRERNVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~cmlv~~~AAB36568.1~~~chloramphenicol unknown +>ARGMiner~~~cmlv~~~AAB36568.1~~~chloramphenicol~~~unknown MPSPSAEPTTSTPTPDAGPAASPRMPLAVYILGLSAFALGTSEFMLSGLVPPIAEDMNVSIPRAGLLISAFAIGMVVGAPLLAVATLRLPRKTTLIALITVFGLRQMAGALAPNYAVLFASRVISALPCAGFWAVGAAVAIAMVPVGSRARALAVMIGGLSIANVLRVPAGAFLGEHLGWASAFWAVGLASAIALVGVVTRIPRIPLPETRPRPLKNEVAIYRDRQVLLSIAVTALAAGGVFCAFSYLAPLLTDVSGLDEAWVSGVLGLFGIGAVVGTTIGGRVADAHLFGVLLTGISASTVFLVALALFASNPAATIVLTFLLGVSAFYTAPALNARMFNVAGAAPTLAGATTTAAFNLGNTGGPWLGGTVIDANLGFASTAWAGAAMTVLGLGTAALALRLTKRPAPGHVVARSRGAGGTTPSEPARGKATSSC ->ARGMiner~~~SHV-178~~~AHA80963.1~~~beta_lactam unknown +>ARGMiner~~~SHV-178~~~AHA80963.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWVVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BL1_ec~~~YP_859822~~~beta_lactam unknown +>ARGMiner~~~BL1_ec~~~YP_859822~~~beta_lactam~~~unknown MGFLRSGCYPDSCHTDWCRYNLTHRQCKSGPPMAGRFVWKPDPMFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~mexF~~~CAA67864~~~multidrug unknown +>ARGMiner~~~mexF~~~CAA67864~~~multidrug~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPNDTIFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~tetO~~~ZP_03486480~~~tetracycline unknown +>ARGMiner~~~tetO~~~ZP_03486480~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIKISEKEKIKITEMCVPTNGELCSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRTFIENPLPMLQTTIAAKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGKVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~AAC(6')-29a~~~AAK26252.2~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-29a~~~AAK26252.2~~~aminoglycoside~~~unknown VSILPVKEQDAADWLALRNLLWLADDHASEIEQYFSGGLEGLVEVLIARDATGAAVGHVELSIRHDLEELQGIKTGYIEGLYVAPSHRSTDLVRRFLRESEKWALEQGCSAFASDRSDRVITHRKFAGSAV ->ARGMiner~~~OXA-172~~~ADI58616.1~~~beta_lactam unknown +>ARGMiner~~~OXA-172~~~ADI58616.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAVPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGLDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-180~~~ADM26745.1~~~beta_lactam unknown +>ARGMiner~~~OXA-180~~~ADM26745.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAIKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~QnrB64~~~AGL43625.1~~~quinolone unknown +>ARGMiner~~~QnrB64~~~AGL43625.1~~~quinolone~~~unknown MALALVGDKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRRVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~QnrS~~~ZP_00989608~~~quinolone unknown +>ARGMiner~~~QnrS~~~ZP_00989608~~~quinolone~~~unknown MDTNNSTYHHHSFAQQDLSELTFTACTFIRCDFRRSNLRDATFINCKFIEQGDIEGCHFDIADLRDASFQNCQLAMANFSNANCYGIELRDCDLKGANFTRANFANQVSNRMYFCSAYITGCNLSYANFEQACLEKCELFENRWIGTYLGGASLKESDLSRGVFSEDVWGQFSMQGANLCHAELEGLDPRKVDTSGIKIVAWQQEQLLETMGIVVMPD ->ARGMiner~~~CTX-M-95~~~CBL80615.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-95~~~CBL80615.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQTNSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAALLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIQAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDVLAAAAKIVTHGF ->ARGMiner~~~ErmT~~~AAA98096.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmT~~~AAA98096.1~~~macrolide-lincosamide-streptogramin~~~unknown MNKKNIKDSQNFITSKHHINEILRNVHLNTNDNIIEIGSGKGHFSFELAKRCNYVTAIEIDPKLCRITKNKLIEYENFQVINKDILQFKFPKNKSYKIFGNIPYNISTDIIRKIVFESTATESYLIVEYGFAKRLLNTNRSLALFLMTEVDISILSKIPREYFHPKPRVNSSLIVLKRHPSKISLKDRKQYENFVMKWVNKEYIKLFSKNQFYQALKYARIDDLNNISFEQFLSLFNSYKLFNR ->ARGMiner~~~OXA-162~~~ADG27454.1~~~beta_lactam unknown +>ARGMiner~~~OXA-162~~~ADG27454.1~~~beta_lactam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSARIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~AcrA~~~CAC35724~~~multidrug unknown +>ARGMiner~~~AcrA~~~CAC35724~~~multidrug~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKPAQQGAQQMPEVGIVTLKSAPLQITTELPGRTNAYRVAEVRPQVSGIILKRNFTEGSDIQAGVSLYQIDPATYQASYESAKGDLAKAQAAANIAQLTVKRYQKLVGTKYISQQEYDSAVADAQQSNAAVVAAKAAVETARINLAYTKVTSPISGRIGKSAVTEGALVQNGQSTALATVQQLDPIYVDVTQSSNDFLRLKQELANGKLKQENGKAKVELVTNDGLKYPQEGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGINPDALLVPQQGVTRTPRGDASVMVVGEGDKVEVRQVTATQAIGDKWLVTEGLKSGDRVIVAGLQKVKPGVQVKAQEVASDNQQQTAGNANAQSEQTKS ->ARGMiner~~~CTX-M-12~~~AAG34108.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-12~~~AAG34108.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPSLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDILASAAKIVTDGL ->ARGMiner~~~OKP-A-16~~~ACN65418.1~~~beta_lactam unknown +>ARGMiner~~~OKP-A-16~~~ACN65418.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLVAFASPQPLEQVTRSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHALSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATMAERNQQIARIGAALIEHWQR ->ARGMiner~~~EmeA~~~ZP_03948381~~~multidrug unknown +>ARGMiner~~~EmeA~~~ZP_03948381~~~multidrug~~~unknown MSVFLWRRQIMTKKNSMMYLAISNLFLVFLGVGLVIPVIPQLKEEMHFSGTTMGMMISIFAIAQLITSPIAGVLSDKIGRKKMIATGMLVFSISELLFGLAQAKSGFYISRGLGGIAAALLMPSVTAFVADMTTISERPKAMGLVSAAISGGFIIGPGVGGFIAYLGIRAPFFAAAFLAFIGFILTLTVLKEPEKRILAAVEAKKGSFMDILRNPMFTLLFVIILISSFGLQAFESIYSIMATINFGFTTSEIAIVITVSGILALICQLFFFDAIVQKIGEMGLIQLTFFASAIFIAVIAFTKNNLVVVFSTFIVFLSFDLFRPAVTTYLSKHAGDQQGTINGLNSTFTSFGNILGPMAAGALFDINHFFPYYVSAVILLGTGFLSLFLNRNKM ->ARGMiner~~~MdtP~~~ZP_03030551~~~multidrug unknown +>ARGMiner~~~MdtP~~~ZP_03030551~~~multidrug~~~unknown MINRQLSRLLLCSILGSTTLISGCALVHKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~GES-15~~~ACZ98826.1~~~beta_lactam unknown +>ARGMiner~~~GES-15~~~ACZ98826.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKESEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~CMY-11~~~AAK31370.1~~~beta_lactam unknown +>ARGMiner~~~CMY-11~~~AAK31370.1~~~beta_lactam~~~unknown MQQRQSILWGAVATLMWAGLAHAGEASPVDPLRPVVDASIQPLLKEHRIPGMAVAVLKDGKAHYFNYGVANRESGAGVSEQTLFEIGSVSKTLTATLGAYAVVKGAMQLDDKASRHAPWLKGSAFDSITMGELATYSAGGLPLQFPEEVDSSEKMRAYYRQWAPVYSPGSHRQYSNPSIGLFGHLAASSLKQPFAPLMEQTLLPGLGMHHTYVNVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLRFVKANIGGVDDKALQQAISLTHQGHYSVGGMTQGLGWESYAYPVTEQTLLAGNSAKVSLEANPTAAPRESGSQVLFNKTGSTNGFGAYVAFVPARGIGIVMLANRNYPIEARIKAAHAILAQLAG ->ARGMiner~~~VIM-28~~~AEI25539.1~~~beta_lactam unknown +>ARGMiner~~~VIM-28~~~AEI25539.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVLELSRTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~RosA~~~YP_001871501~~~fosmidomycin unknown +>ARGMiner~~~RosA~~~YP_001871501~~~fosmidomycin~~~unknown MTDRSDTGCQQPVNVSVKRTSFSILGAISVSHLLNDMIQSLILAIYPLLQAEFSLSFAQIGLITLTYQLTASLLQPLIGLYTDKHPQPYSLPIGMGFTLSGILLLAVATTFPVVLLAAALVGTGSSVFHPESSRVARMASGGRHGLAQSVFQVGGNFGSALGPLLAAIIIAPYGKGNVGWFSLAALLAIVVLLQVSKWYKLQQRASYGKVLKISSAKTLPKNKIISTLAILMVLIFSKYFYLTSISSYYTFYLIHKFGVSVQSAQIHLFVFLFAVAAGTIIGGPLGDKIGRKYVIWGSILGVAPLTLALPYASLYWTGILTVFIGVILASAFSAILVYAQELIPGKVGMVSGLFFGFAFGMGGIGAAVLGYVADLTSIELVYQICAFLPLLGIFTALLPNLDDK ->ARGMiner~~~CARB-9~~~AAP22374.1~~~beta_lactam unknown +>ARGMiner~~~CARB-9~~~AAP22374.1~~~beta_lactam~~~unknown MKSLLVFALLMPSVVFASSSKFQSVEQEIKGIESSLSARIGVAILDTQNGESWDYNGDQRFPLTSTFKTIACAKLLYDAEHGKVNLNSTVEVKKADLVTYSPVLEKQVGKPITLSDACFATMTTSDNTAANIVINAVGDPKSITDFLRQIGDKETRLDRVEPELNEGKLGDLRDTTTPNAITSTLNQLLFGSTLSEASQKKLESWMVNNQVTGNLLRSVLPVTWSIADRSGAGGFGARSITAIVWSEEKKPIIVSIYLAQTEASMAERNDAIVKIGRSIFEVYTSQSR ->ARGMiner~~~mexE~~~CAA67866~~~multidrug unknown +>ARGMiner~~~mexE~~~CAA67866~~~multidrug~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETCSPPLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~CMY-105~~~AHL39330.1~~~beta_lactam unknown +>ARGMiner~~~CMY-105~~~AHL39330.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDEVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALVVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~OXA-194~~~AEB98920.1~~~beta_lactam unknown +>ARGMiner~~~OXA-194~~~AEB98920.1~~~beta_lactam~~~unknown MNIKALFLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~BL2a_III~~~ZP_04298283~~~beta_lactam unknown +>ARGMiner~~~BL2a_III~~~ZP_04298283~~~beta_lactam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKDDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKDATYDNKLIADAAEVIVKALK ->ARGMiner~~~OXA-13~~~AAC46344.1~~~beta_lactam unknown +>ARGMiner~~~OXA-13~~~AAC46344.1~~~beta_lactam~~~unknown MKTFAAYVITACLSSTALASSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNNLARASKEYLPASTFKIPSAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLSLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLFLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKGTEVYFFAFNMDIDNENKLPLRKSIPTKIMASEGIIGG ->ARGMiner~~~arr-4~~~ABV26705.1~~~rifampin unknown +>ARGMiner~~~arr-4~~~ABV26705.1~~~rifampin~~~unknown MTNDWIPTSHDNCSQVAGPFYHGTKAKLTVGDLLSPGHPSHFEQGRKLKHIYFAALMEPAIWGAELAMSLSSLEGRGHIYIVEPLGPFEDDPNLTNKKFPGNPTKSYRTTEPLRIVGIVEDWQGHSPEVLQGMLASLEDLQRRGLAIIED ->ARGMiner~~~CMY-25~~~ACA30420.1~~~beta_lactam unknown +>ARGMiner~~~CMY-25~~~ACA30420.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVTDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~cpxA~~~NP_312864.1~~~multidrug unknown +>ARGMiner~~~cpxA~~~NP_312864.1~~~multidrug~~~unknown MIGSLTARIFAIFWLTLALVLMLVLMLPKLDSRQMTELLDSEQRQGLMIEQHVEAELANDPPNDLMWWRRLFRAIDKWAPPGQRLLLVTTEGRVIGAERSEMQIIRNFIGQADNADHPQKKKYGRVELVGPFSVRDGEDNYQLYLIRPASSSQSDFINLLFDRPLLLLIVTMLVSTPLLLWLAWSLAKPARKLKNAADEVAQGNLRQHPELEAGPQEFLAAGASFNQMVTALERMMTSQQRLLSDISHELRTPLTRLQLGTALLRRRSGESKELERIETEAQRLDSMINDLLVMSRNQQKNALVSETIKANQLWSEVLDNAAFEAEQMGKSLTVNFPPGPWPLYGNPNALESALENIVRNALRYSHTKIEVGFAVDKDGITITVDDDGPGVSPEDREQIFRPFYRTDEARDRESGGTGLGLAIVETAIQQHRGWVKAEDSPLGGLRLVIWLPLYKRS ->ARGMiner~~~MdtL~~~NP_756491~~~multidrug unknown +>ARGMiner~~~MdtL~~~NP_756491~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLNFPWQSLFWTMAIMGVAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~lnuC~~~AAY32951.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~lnuC~~~AAY32951.1~~~macrolide-lincosamide-streptogramin~~~unknown MVNITDVNQIFQFAIDAEIKVFLDGGWGVDALLGYQSRAHNDIDIFVEKNDYQNFIEIMKANGFYEIKMEYTTLNHTVWEDLKNRIIDLHCFEYTDEGEILYDGDCFPVETLSGKGRIEEIEVSCIEPYSQVMFHLGYEFDENDAHDVKLLCETLHIEIPNEYR ->ARGMiner~~~APH(6)-Id~~~ZP_04405450~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~ZP_04405450~~~aminoglycoside~~~unknown MGLMFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQCDRRHTRYQATSPIPWEHINLTGEYRWPKP ->ARGMiner~~~QnrB20~~~BAG55487.1~~~quinolone unknown +>ARGMiner~~~QnrB20~~~BAG55487.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKINRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRRVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~AAC(2')-Ia~~~AAA03550.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(2')-Ia~~~AAA03550.1~~~aminoglycoside~~~unknown MGIEYRSLHTSQLTLSEKEALYDLLIEGFEGDFSHDDFAHTLGGMHVMAFDQQKLVGHVAIIQRHMALDNTPISVGYVEAMVVEQSYRRQGIGRQLMLQTNKIIASCYQLGLLSASDDGQKLYHSVGWQIWKGKLFELKQGSYIRSIEEEGGVMGWKADGEVDFTASLYCDFRGGDQW ->ARGMiner~~~OpcM~~~YP_371057~~~multidrug unknown +>ARGMiner~~~OpcM~~~YP_371057~~~multidrug~~~unknown MNNLHNTNGLMRFAKVAAASTLLATLLAACAVGPDYKRPDVSTPTAFKEAPTLAAGEQAGTWKTAEPSDGAHRGEWWKAFGDPVLDSLETQALAANQNLKAAAARVEEARAATRSARSQWFPQIGAGFGPTREGLSSASQFQPQGTGPTNATLWRAQGTVSYEADLFGRVGRNVEASRADQAQSEALFRSVQLALQADVAQNYFGLRQLDSDQDLYRRTVELREQALKLVQRRFNEGDISELDVSRAKNELATAQADAVGVARRRAASEHALAILLGKAPADFAFKETPIVPVAVKVPPGLPSALLERRPDVSAAERAMAAANARIGLAKSAYFPKLDITGAFGYEASTLGSLFMWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQDAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGAAPTAVGDAASVKAEVAAR ->ARGMiner~~~aadA6~~~CAJ32504.1~~~aminoglycoside unknown +>ARGMiner~~~aadA6~~~CAJ32504.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAARLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKVRQHSLALAGSAAEDFFNPVPEGDLFKALSDTLKLWNSQPDWEGDERNVVLTLSRIWYSAATGKIAPKDIVANWAMERLPDQHKPVLLEARQAYLGQGEDCLASRADQLAAFVHFVKHEATKLLSAMPVMSNNSFKPTPLRGAA ->ARGMiner~~~IMP-11~~~BAB72072.1~~~beta_lactam unknown +>ARGMiner~~~IMP-11~~~BAB72072.1~~~beta_lactam~~~unknown MKKLFVLCIFLFCSITAAGASLPDLKIEKLEEGVYVHTSFEEVNGWGVVSKHGLVVLVNTDAYLIDTPFTAKDTEKLVNWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASVLTNELLKKDGKVQAKNSFSGVSYWLVKNKIEVFYPGPGHTQDNVVVWLPKNKILFGGCFVKPYGLGNLDDANVEAWPHSAEKLISKYGNAKLVVPSHSDIGDASLLKLTWEQAVKGLNESKKSNTVH ->ARGMiner~~~TriC~~~NP_248848.1~~~triclosan unknown +>ARGMiner~~~TriC~~~NP_248848.1~~~triclosan~~~unknown MKGGFNLSDWALRHQSLVWYLMAVSLVMGVFSYLNLGREEDPSFAIKTMVIQTRWPGATVDDTLEQVTDRIEKKLEELDSLDYVKSYTRPGESTVFVYLKDTTKAGDIPDIWYQVRKKISDIQGEFPQGIQGPGFNDEFGDVFGSVYAFTADGLDFRQLRDYVEKVRLDIRSVKDLGKVQMIGAQNEVIYLNFSTRKLAALGLDQRQVVQSLQAQNAVTPSGVVEAGPERISVRTSGNFRSEKDLQAVNLRVNDRFYRLSDLASISRDFVDPPTSLFRYKGEPAIGLAVAMKEGGNILEFGEALNARMQEITGELPVGVGVHQVSNQAQVVKKAVGGFTRALFEAVVIVLIVSFVSLGLRAGLVVACSIPLVLAMVFVFMEYTDITMQRVSLGALIIALGLLVDDAMITVEMMITRLELGDSLHDSATYAYTSTAFPMLTGTLVTVAGFVPIGLNASSAGEYTFTLFAVIAVALLLSWIVAVLFAPVIAVHILPKTLKHKSEQKKGRIAERFDSLLHLAMRRRWTTIFLTALLFGVSLFLMKFVQHQFFPSSDRPELLVDLNLPQNSSIHETRAVMDRLEATLKDDEDIDHWSAYVGEGAIRFYLPLDQQLQNNFYGQLVIVTKDLEARERVAARLRDRLRKDYVGISTYVQPLEMGPPVGRPIQYRVSGPQIDKVREYAMGLAGVLDGNPNIGDIVYDWNEPGKMLKIDIAQDKARQLGLSSEDVAQIMNSVVTGSAVTQVRDDIYLVNVIGRAEDSERGSLETLESLQIVTPSGTSIPLKAFAKVSYELEQPLVWRRDRKPTITVKASLRGEIQPTDLVARLAPEVKRFADGLPANYRIEVGGTVEESGKAEGPIAKVVPLMLFLMATFLMIQLQSVQKLFLVASVAPLGLIGVVAALLPTGTPMGFVAILGILALIGIIIRNSVILVTQIDAFEKDGKTPWEAVLEATHHRTRPILLTAAAASLGMIPIAREVFWGPMAYAMIGGIVAATLLTLIFLPALYVAWYRIPEPGR ->ARGMiner~~~MdfA~~~YP_001438577~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_001438577~~~multidrug~~~unknown MQTHANRTGRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGVEWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVAWFIVTCLATLLARDIEQFTVLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHAAPWEMMFVLFAVLAAISFFGLWRAMPETATRLGEKLSLRELGRDYKAVLKNLRFVSGALAIGFVSLPLLAWIAQSPVIIISGEQMSTYEYGLLQVPIFGALIIGNLVLAKLTARRSVRSLIVMGGWPMMFGLALAALATVISSHAYLWMTAGLSIYAFGIGIANAGLVRLTLFASDISKGTVSAAMGMLQMTIFTVGIEISKHAWLGGGNALFNLFNFVSGLLWLGLMVIFLKDKTVGTRPEA ->ARGMiner~~~vanWG~~~ABA71730.1~~~glycopeptide unknown +>ARGMiner~~~vanWG~~~ABA71730.1~~~glycopeptide~~~unknown MIEVYKLTQRKRLTQLFPFLLPLRKWQRKKYFYFKMKFDGNRYAKKTSEKLLPNTVFETSSLMLNENSGFDMKYQINKVHNLKLAAKTINKVIIEPKETFSFWQLVRWADRHEKYKDGLNLVNGKIVGSYGGGLCQLSNMLFWLFLHTPLVIVERHGHAVESFPSTTEDLPCGTDATINEGWLDLKLRNDTDNTFQIEISFDDNFMYGRILSQSSVNIEYTVFNSSVSYFKREEKVYQIASVCRTEKDKMTGSQTEKELYVNQCEIAYKLPDDVKIEERGV ->ARGMiner~~~ErmG~~~AAC37034.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmG~~~AAC37034.1~~~macrolide-lincosamide-streptogramin~~~unknown MNKVNIKDSQNFITSKYHIEKIMNCISLDEKDNIFEIGAGKGHFTAELVKRCNFVTAIEIDSKLCEVTRNKLLNYPNYQIVNDDILKFTFPSHNPYKIFGSIPYNISTNIIRKIVFESSATISYLIVEYGFAKMLLDTNRSLALLLMAEVDISILAKIPRYYFHPKPKVDSTLIVLKRKPAKMAFKERKKYETFVMKWVNKEYEKLFTKNQFNKALKHARIYDINNISFEQFVSLFNSYKIFNG ->ARGMiner~~~pbp2~~~YP_002243220~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~YP_002243220~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDIADFRRALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTPAQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQSGQAP ->ARGMiner~~~myrA~~~BAA03674.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~myrA~~~BAA03674.1~~~macrolide-lincosamide-streptogramin~~~unknown MHPDLLPHLRCPVCGQPLHQADAAPPRALRCPAGHSFDIARQGYVNLLTGRAPHVGDTAEMIAAREEFLAAGHYDPFSAALATAAARAVPRRVRPGDGVGEPVAYPDLVVDAGAGTGRHLAAVLDAVPTAVGLALDVSKPALRRAARAHPRAGAAVCDTWGRLPLADATVAVLVNVFAPRNGPEFRRVLRPDGALLVVTPTAEHLVELVDRLGLLRVDPAKDARVADSLTRHFEPAGQSTHRHRLQLTRKEVLTLVGMGPSAWHTDPARLTARVAALSEPVTVTAAVRLARYRPI ->ARGMiner~~~OXA-390~~~AHL30283.1~~~beta_lactam unknown +>ARGMiner~~~OXA-390~~~AHL30283.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFTEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CMY-118~~~AIT76091.1~~~beta_lactam unknown +>ARGMiner~~~CMY-118~~~AIT76091.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~IND-3~~~AAG29761.2~~~beta_lactam unknown +>ARGMiner~~~IND-3~~~AAG29761.2~~~beta_lactam~~~unknown MKKRIQFFMVSMMLSSLFSAQVKDFVIEPPIKKNLHIYKTFGVFGGKEYSANSVYLVTQKGVVLFDVPWEKVQYQSLMDTIQKRHNLPVIAVFATHSHDDRAGDLSFFNNKGIKTYATSKTNEFLKKDGKATSTEIIKTGKPYRIGGEEFVVDFLGEGHTADNVVVWFPKYNVLDGGCLVKSKAATDLGYIKEANVEQWPKTINKLKSKYSKASLVIPGHDEWKGGGHVKHTLELLNKK ->ARGMiner~~~mexB~~~CAW25151~~~multidrug unknown +>ARGMiner~~~mexB~~~CAW25151~~~multidrug~~~unknown MSKFFIDRPIFAWVIALVIMLAGGLSILSLPVNQYPAIAPPAIAVQVSYPGASAETVQDTVVQVIEQQMNGIDNLRYISSESNSDGSMTITVTFEQGTDPDIAQVQVQNKLQLATPLLPQEVQRQGIRVTKAVKNFLMVVGVVSTDGSMTKEDLSNYIVSNIQDPLSRTKGVGDFQVFGSQYSMRIWLDPAKLNSYQLTPGDVSSAIQAQNVQISSGQLGGLPAVKGQQLNATIIGKTRLQTAEQFENILLKVNPDGSQVRLKDVADVGLGGQDYSINAQFNGSPASGIAIKLATGANALDTAKAIRQTIANLEPFMPQGMKVVYPYDTTPVVSASIHEVVKTLGEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPREAARKSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVIVALILTPALCATMLKPIEKGDHGEHKGGFFGWFNRMFLSTTHGYERGVASILKHRAPYLLIYVVIVAGMIWMFTRIPTAFLPDEDQGVLFAQVQTPPGSSAERTQVVVDSMREYLLEKESSSVSSVFTVTGFNFAGRGQSSGMAFIMLKPWEERPGGENSVFELAKRAQMHFFSFKDAMVFAFAPPSVRELGNATGFDLFLQDQAGVGHEVLLQARNKFLMLAAQNPALQRVRPNGMSDEPQYKLEIDDEKASALGVSLADINSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPDARMNPDDLSKWYVRNDKGEMVPFNAFATGKWEYGSPKLERYNGVPAMEILGEPAPGLSSGDAMAAVEEIVKQLPKGVGYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSMRGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKELHEQGKGIVEAAIEACRMRLRPIVMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMVTATVLAIFWVPLFYVAVSTLFKDEASKQQASVEKGQ ->ARGMiner~~~GES-26~~~AJP67510.1~~~beta_lactam unknown +>ARGMiner~~~GES-26~~~AJP67510.1~~~beta_lactam~~~unknown MRFIHALLLAAIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~SLB-1~~~AAT90846.1~~~beta_lactam unknown +>ARGMiner~~~SLB-1~~~AAT90846.1~~~beta_lactam~~~unknown MLSLPSYSHEVEPTSTTIQSVTSSLEGQLSISKLADGVYLHHSYKNVSNFGLVEANGLVVIKDKQAFIIDTPWTDNDTQKLVDWITQQGFIPVASISTHSHQDRAGGIGYLNRQGITTTVSETTQQILTENDKTTAKSTFTGMQYIMKTDLVEVYDLGAGHTKDNLVVWLPTQQILFGGCLIKSLNSSTLGYTGEADLQQWPLTIAKVQAQFPQVKIVVPGHGQVGDKALLEHTIELLIPKNETVNSSS ->ARGMiner~~~LRA-18~~~ACH58997.1~~~beta_lactam unknown +>ARGMiner~~~LRA-18~~~ACH58997.1~~~beta_lactam~~~unknown MLKRIRLPQLALALAALFPLAAYAAPDAAALRQAVDAAVGPVMAQFDVPGMAVAVTVDGQPHFFNYGVAARDSKQPVTEATIFELGSNSKTFTATLAAYAQAQGKLALDDHPGKYVPQLQGSALDGATLLHLGTYTAGGFPLQIPDNLKTREQLFSYFQHWKPDAAPGKQRNYSNPSIGLFGHIAGLALGGGFADAAERDLFPQLGLQHTYIRVPQSAMAHYAWGYSKDQAVRVQPDLFDSEAYGVKSTAADMIRYVQLQIDPSRLAAPMRRAVQATHTGYFKAGPMTQGLGWESYPYPVSLEQLLQGNSTDMAWKPQPVQAIQPVQTAAPALYNKTGSTRGFGSYVAFVPSQKIGIVLLANRAYPNDARIKLAYAILNQLAPAAN ->ARGMiner~~~smeE~~~CAG34257~~~multidrug unknown +>ARGMiner~~~smeE~~~CAG34257~~~multidrug~~~unknown MARFFIDRPIFAWVIAIIIMLAGGLALFKLPVSMYPNVAPPAVEISATYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIAFVSENGSMDANDIADYVGSNVVDRLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYGLSVAEVTAAIKAQNAQVAIGQLGGAPSIKGQQLNATINAQSRLQTPEQFRNIIVRGAQDGAELRLGDVARVELGAESYDFVTRYNGQPASGLAVTLATGANALDTAAGVNAALEDMKSFFPAGLKAEVPYDTTPFVRVSIKGVVQTLIEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAMLGFSVNMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHRGLAGRFFNGFNRGFDRTSESYQRGVRGIIHRPWRFMGIVAALFVLMGVLFVRLPSSFLPNEDQGVLMALVQAPVGATQERTLESIAALENHFLQNEKDAVDSVFSVQGFSFAGMGQNAGMAFVKLKDWSERDANNGVMPITGRAMAALGQIKDAFIFAFPPPAIPELGTASGYTFFLKDNSGQGHEALVAARNQLLGLAAGSKKLANVRPNGQEDTPQFRIDIDAAKATSLGLSIDQINGTLAAAWGSSYIDDFVDRGRVKRVFVQADQPFRMVPEDFDLWSVKNDKGEMVPFSAFATKHWDYGSPRLERYNGVSAMEIQGEPAPGVASGDAMAEIEQLAKQLPAGFGIEWTAMSYQERQAGSQTPLLYTLSLMIVFLCLAAMYESWSVPTAVLLAAPLGILGAVLANTFKGLERDIYFQVAMLTTVGLTSKNAILIVEFAKENLEKGAGLIESIMHAVRDRLRPIVMTSLAFGMGVVPLAISTGAGSGAKQAIGTGVLGGMIVGTVLGVFFVPLFFVVVQRVFKRKSTT ->ARGMiner~~~aadA12~~~ACJ47200.1~~~aminoglycoside unknown +>ARGMiner~~~aadA12~~~ACJ47200.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~tlrB~~~AAD12162.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~tlrB~~~AAD12162.1~~~macrolide-lincosamide-streptogramin~~~unknown MRKNVVRYLRCPHCAAPLRSSDRTLRCENGHTFDVARQGYVNLLRRPTKLAADTTDMVAARAALLDSGHYAPLTERLAGTAGRAAGAGAPDCVVDIGGGTGHHLARVLEEFEDAEGLLLDMSKPAVRRAARAHPRASSAVADVWDTLPLRDGAAAMALNVFAPRNPPEIRRILRPGGTLLVVTPQQDHLAELVDALGLLRVRDHKEGRLAEQLAPHFEAVGQERLRTTLRLDHDALGRVVAMGPSSWHQDPDELARRIAELPGIHEVTLSVTFTVCRPLP ->ARGMiner~~~MacB~~~YP_002214869~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_002214869~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRCEHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALVRE ->ARGMiner~~~tetX~~~AAA27471.1~~~tetracycline unknown +>ARGMiner~~~tetX~~~AAA27471.1~~~tetracycline~~~unknown MTMRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFMYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~tet34~~~BAC58936~~~tetracycline unknown +>ARGMiner~~~tet34~~~BAC58936~~~tetracycline~~~unknown MSKKFIITWDAMQTYCRELAEKQMPAEQWKGIWAVSRGGLVPGAILARELGIRYVDTICISSYDHDHQRDMTVLKAPEGDGEGYLIVEDLVDSGDTARKLREMYPKAKMIAVCAKPSGKELLDDYVVDIAQDTWIEQPWDMSIQYAEPVNRKQK ->ARGMiner~~~TEM-84~~~AAL29436.1~~~beta_lactam unknown +>ARGMiner~~~TEM-84~~~AAL29436.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERDRQIAEIGASLIKHW ->ARGMiner~~~SHV-72~~~CAJ47127.2~~~beta_lactam unknown +>ARGMiner~~~SHV-72~~~CAJ47127.2~~~beta_lactam~~~unknown MRYFRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPVGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADRTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-108~~~AEM44651.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-108~~~AEM44651.1~~~beta_lactam~~~unknown VKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRAEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVT ->ARGMiner~~~smeA~~~YP_001974113~~~multidrug unknown +>ARGMiner~~~smeA~~~YP_001974113~~~multidrug~~~unknown MSFLRPLSRSPRPLLLPLLLALAACSAGRTDAPAMPEVGVIIASAQPLALQQTLPGRAVPFEISEVRPQVGGLIRQRLFTEGQQVKAGQLLYQVDPAPYQAAFNTARGQLAQAEATVLSAQPKAERTRALVSMDAASKQDADDATSALKQAQANVIAARAALQAARINLDYTRVTAPIDGRIGTSSVTAGALVAAGQDTALTTIQRLDPVYLDVTQSSTQMLALRKQLDAGLVKAIDGKAQVKVLLEDGSTYAHEGTLEFVGSAVDPSTGNVKLRAVIPNPDGLLLPGMYLKAVLPMATDARALLVPQKAVVRNERGEPLLRLLDAKDHVVERRVSTGQVVGNQWQITSGLKAGERVIVSNGSAVSLGQQVKAVAATTAQLAAMPAVDPNGNTDEKSH ->ARGMiner~~~MdtN~~~YP_001460870~~~multidrug unknown +>ARGMiner~~~MdtN~~~YP_001460870~~~multidrug~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASTMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~nalD~~~NP_252264.1~~~multidrug unknown +>ARGMiner~~~nalD~~~NP_252264.1~~~multidrug~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~FosB~~~ZP_04244950~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04244950~~~fosfomycin~~~unknown MSEFSLIFCLFKEERGVLKGINHLCFSVSNLENSILFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQKDFERLLHRLEENNVHILQGRERNVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~OXA-1~~~AIA58911.1~~~beta_lactam unknown +>ARGMiner~~~OXA-1~~~AIA58911.1~~~beta_lactam~~~unknown MLAVKIKPFTKPILIMKNTIHINFAIFLIIANIIYSSASASTDISTVASPLFEGTEGCFLLYDASTNAEIAQFNKAKCATQMAPDSTFKIALSLMAFDAEIIDQKTIFKWDKTPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVKNSAIENTIENMYLQDLDNSTKLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYVFVSALTGNLGSNLTSSIKAKKNAITILNTLNL ->ARGMiner~~~FosB~~~YP_002773891~~~fosfomycin unknown +>ARGMiner~~~FosB~~~YP_002773891~~~fosfomycin~~~unknown MPQLQGLNHLLFSVSDLEKSFCFYRDVLHAKPLVRGRKLAYFDLNGYWLALNEEPDIPRNEIAHSYTHMAFTITEESFDEWYAHLEKHGVTILHGRDRSERDKRSIYFIDPDGHKFELHTGTLQDRLAYYRDEKHHMTFFE ->ARGMiner~~~MdtK~~~BAH63712~~~multidrug unknown +>ARGMiner~~~MdtK~~~BAH63712~~~multidrug~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARTMRDIRCVERFNGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMRKQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~TolC~~~YP_002847888~~~multidrug unknown +>ARGMiner~~~TolC~~~YP_002847888~~~multidrug~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDSNGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTRASDAGTRYDDSNEGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTIAPENAQQDAAADGYTSNSATPAAQPTAARSTSSTGNNPFRN ->ARGMiner~~~AAC(6')-Ia~~~AAA98298.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ia~~~AAA98298.1~~~aminoglycoside~~~unknown MNYQIVNIAECSNYQLEAANILTEAFNDLGNNSWPDMTSATKEVKECIESPNLCFGLLINNSLVGWIGLRPMYKETWELHPLVVRPDYQNKGIGKILLKELENRAREQGIIGIALGTDDEYYRTSLSLITITEDNIFDSIKNIKNINKHPYEFYQKNGYYIVGIIPNANGKNKPDIWMWKSLIKE ->ARGMiner~~~TEM-169~~~ACP18864.1~~~beta_lactam unknown +>ARGMiner~~~TEM-169~~~ACP18864.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRGEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~LRA-19~~~ACH59005.1~~~beta_lactam unknown +>ARGMiner~~~LRA-19~~~ACH59005.1~~~beta_lactam~~~unknown MNSEMSQTSFKIRILVTCLLSIAQLTMAQQVQVTEPPITNQDWVKPYPPFRIVGNLYYVGTYDLACYLIVTPQGNILINTGLASSAPMIEASIKALGFKFSDTKILLTTQAHYDHVGAMAAIKKSTNAQLMIDEKDSPVMADGGSSDYELFGSTGSTYEPVKADRLLKNGDKITLGGTTLVMLHHPGHTKGSCSFLFDVKDESKSYKVLIANMPSIITSKKFSDIPTYPGIAEDYTYTFDAMKKVHFDIWLSSHASQFGMHSKHKPGEAYNPGVFIDRAGYDKAVGDLEDKFSKKKQADK ->ARGMiner~~~acrB~~~YP_001571461~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_001571461~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPIDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAQFNGQPASGLGIKLATGANALDTAAAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEEKKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGDENKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGRDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPAGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTQHH ->ARGMiner~~~BL2a_1~~~ZP_04240432~~~beta_lactam unknown +>ARGMiner~~~BL2a_1~~~ZP_04240432~~~beta_lactam~~~unknown MKERVDNMKKNTLLKVGLCVSLLGTTQFVSTISSVQASQKVEQIVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLVLNTSKGLVLVDSSWDNKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGITALKERGIKAHSTALTAELAKNSGYEEPLGDLQTITSLKFGNTKVETFYPGKGHTEDNIVVWLPQYQILAGGCLVKSAEAKDLGNVADAYVNEWSTSIENVLKRYGNINSVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~TEM-159~~~ABM54869.1~~~beta_lactam unknown +>ARGMiner~~~TEM-159~~~ABM54869.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMISTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BacA~~~ZP_03903435~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03903435~~~bacitracin~~~unknown MDNLLVAAVLGIVEGLTEFLPVSSTGHLIITGHWLGFTGTKAATFEVVIQLGAILAVVVLYRRRFWGLLRPRAEEAFSGVRGLWLLFLTSLPASLLGLAVHDAIKTHLFGPVTVAWALGVGALAILLVEALPRRPRVDSLDSLTPWLALGIGCFQCLALWPGFSRSAATIMGGMLLGARRKVAAEYSFIAAVPIMVAATGFDMLGNYGLFSAEDFLFLGVGFVVSFLAAWAAVKVFIRLLAVTTLRPFAWYRLVIAPLVLVLLS ->ARGMiner~~~BL3_l~~~P52700~~~beta_lactam unknown +>ARGMiner~~~BL3_l~~~P52700~~~beta_lactam~~~unknown MRSTLLAFALAVALPAAHTSAAEVPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTPDGAVLLDGGMPQMASHLLDNMKARGVTPRDLRLILLSHAHADHAGPVAELKRRTGAKVAANAESAVLLARGGSDDLHFGDGITYPPANADRIVMDGEVITVGGIVFTAHFMAGHTPGSTAWTWTDTRNGKPVRIAYADSLSAPGYQLQGNPRYPHLIEDYRRSFATVRALPCDVLLTPHPGASNWDYAAGARAGAKALTCKAYADAAEQKFDGQLAKETAGAR ->ARGMiner~~~OKP-A-1~~~CAG25812.2~~~beta_lactam unknown +>ARGMiner~~~OKP-A-1~~~CAG25812.2~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPPPLEQITRSESQLAGRVGYVEMDLVSGRTLAAWRANERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHTLSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIAEKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPASMAERNQQIARIGAALIEHWQR ->ARGMiner~~~EmrD~~~ZP_04345284~~~multidrug unknown +>ARGMiner~~~EmrD~~~ZP_04345284~~~multidrug~~~unknown MKRHRSVNLLLMLVLLVAVGQMAQTIYIPAIADMARELNVREGAVQSVMAAYLLTYGLSQLFYGPLSDRVGRRPVILAGMSIFMLATLVAITTHSLTVLIIASAIQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGMLDTLWNWRACYIFLLILCAGVTFSMARWMPETRPAGAPRPRLIASYKTLFGNSSFTCYVLMLIGGLAGVAVFEVCSGVLLGAGLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLVAGLMMWIPGLFDVMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWFSAMLPQTGQASLGLLMTLMGLLIFVCWLPLASRVSHQGQAV ->ARGMiner~~~QnrB15~~~ABX72227.1~~~quinolone unknown +>ARGMiner~~~QnrB15~~~ABX72227.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIESSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNANALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~CEPH-A3~~~AAM63403.1~~~beta_lactam unknown +>ARGMiner~~~CEPH-A3~~~AAM63403.1~~~beta_lactam~~~unknown MMKGWIKCTLAGAVVLMASFWGGSVRAAGIELKQVSGPVYVVEDNYYVKENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSSKPVLEVINTNYHTDRAGGNAYWKSIGAKVVATRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFTLQEGKVRAFYAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFANVKAYPQTIERLKAMKLPIKTVIGGHDSPLHGPELIDHYEELIKAVPQS ->ARGMiner~~~mphC~~~CAJ51085.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~mphC~~~CAJ51085.1~~~macrolide-lincosamide-streptogramin~~~unknown MTQHNEIIKIAEKHQLHIKPQTISLNESGLDFQVAFGEDEHGIEWVLRLPRRPDVYKRTKPEKQMVDFLQKNVSFEVPNWKVHTKDLIAYPKLTGKPAATIDPEIQNYVWEIEHKPVTKNFINTLAETLVDLHNIPEENITAHHINIKTIQEIKNDFQRRMNKVRETYGVADGLWNRWKQWLENDELWPRRATMIHGDLHPGHIMVDNQANVTGLIDWTEATYSDPSMDFMGYHRVFDDEGLEQLITAYDKAGGETWPRMKEHIIELNAVFPMFIAEFAMESGEPAYEKMALQELGMKE ->ARGMiner~~~BcI~~~Q45726~~~beta_lactam unknown +>ARGMiner~~~BcI~~~Q45726~~~beta_lactam~~~unknown MMILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKQKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKRIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEASYDNQLIAEATEVIVKALK ->ARGMiner~~~OXY-4-1~~~AAL78161.1~~~beta_lactam unknown +>ARGMiner~~~OXY-4-1~~~AAL78161.1~~~beta_lactam~~~unknown MLKSSWRKSALMAAAVPLLLASGSLWASADTLQQKLADLEKRSGGRLGVALINTADDSQTLYRGDERFAMCSTGKVMAAAAVLKQSESHPDVVNKRLEIKKSDLVVWSPITEKHLQSGMTLAELSAAALQYSDNTAMNKIIGYLGGPEKVTAFAQSIGDVTFRLDRMEPALNSAIPGDKRDTTTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPASWAVGDKTGGGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKSRKEVLAAAAKIVTEGL ->ARGMiner~~~dfrA17~~~CAP72501~~~trimethoprim unknown +>ARGMiner~~~dfrA17~~~CAP72501~~~trimethoprim~~~unknown MLWSSNDVTQQGSRPKTKLAIKGVKLKISLISAVSENGVIGSGPDIPWSVKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISSSNENVLVFPSIENALKELSKVTDHVYVSGGGQIYNSLIEKADIIHLSTVHVEVEMISNSL ->ARGMiner~~~MdtH~~~YP_408416~~~multidrug unknown +>ARGMiner~~~MdtH~~~YP_408416~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~MdtM~~~BAG80136~~~multidrug unknown +>ARGMiner~~~MdtM~~~BAG80136~~~multidrug~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNKLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~SHV-129~~~ADE08533.1~~~beta_lactam unknown +>ARGMiner~~~SHV-129~~~ADE08533.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAELDQQIAGIGAALIEHWQR ->ARGMiner~~~EmrD~~~YP_001882481~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_001882481~~~multidrug~~~unknown MKRQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLVAVTTSSLTVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLVLCVGVTFSMARWMPETRPVDAPRTRLLTSYKTLFGNSGFNCYLLMLIGGLAGIAAFEACSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLLMWIPDWFGVMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGWLIVLCWLPLATRMSHQGQPV ->ARGMiner~~~PmrC~~~BAE78116.1~~~polymyxin unknown +>ARGMiner~~~PmrC~~~BAE78116.1~~~polymyxin~~~unknown MLKRLLKRPSLNLLAWLLLAAFYISICLNIAFFKQVLQALPLDSLHNVLVFLSMPVVAFSVINIVLTLSSFLWLNRPLACLFILVGAAAQYFIMTYGIVIDRSMIANIIDTTPAESYALMTPQMLLTLGFSGVLAALIACWIKIKPATSRLRSVLFRGANILVSVLLILLVAALFYKDYASLFRNNKELVKSLSPSNSIVASWSWYSHQRLANLPLVRIGEDAHRNPLMQNEKRKNLTILIVGETSRAENFSLNGYPRETNPRLAKDNVVYFPNTASCGTATAVSVPCMFSDMPREHYKEELAQHQEGVLDIIQRAGINVLWNDNDGGCKGACDRVPHQNVTALNLPDQCINGECYDEVLFHGLEEYINNLQGDGVIVLHTIGSHGPTYYNRYPPQFRKFTPTCDTNEIQTCTKEQLVNTYDNTLVYVDYIVDKAINLLKEHQDKFTTSLVYLSDHGESLGENGIYLHGLPYAIAPDSQKQVPMLLWLSEDYQKRYQVDQNCLQKQAQTQHYSQDNLFSTLLGLTGVETKYYQAADDILQTCRRVSE ->ARGMiner~~~OKP-B-9~~~CAJ19618.1~~~beta_lactam unknown +>ARGMiner~~~OKP-B-9~~~CAJ19618.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISESQLAGRVGYIEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRRLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMVERNQQIAGIGAALIEHWQR ->ARGMiner~~~AAC(3)-Ib/AAC(6')-Ib''~~~AAL82588.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ib/AAC(6')-Ib''~~~AAL82588.1~~~aminoglycoside~~~unknown MSIIATVKIGPDEISAMRAVLDLFGKEFEDIPTYSDRQPTNEYLANLLHSETFIALAAFDRGTAIGGLAAYVLPKFEQARSEIYIYDLAVASSHRRLGVATALISHLKRVAVELGAYVIYVQADYGDDPAVALYTKLGVREDVMHFDIDPLTNSNDSVTLRLMTEHDLAMLYEWVNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGGGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~CTX-M-38~~~AAV70602.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-38~~~AAV70602.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAARIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~VEB-1a~~~AAK14293.1~~~beta_lactam unknown +>ARGMiner~~~VEB-1a~~~AAK14293.1~~~beta_lactam~~~unknown MKIVKRILLVLLSLFFTVVYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKTWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~AAC(6')-Iak~~~BAO21229.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iak~~~BAO21229.1~~~aminoglycoside~~~unknown MTGSAATIRPAKAADAVAWAQLRLGLWPDADDPLETLVAALAEDAGAVFLACAAGGQAIGFAEVRLRHDYVNGTDSSPVGFLEGWYVQPQWQGRGVGRALLAAVRAWTRDAGCRELASDSRVEDVQAHAAHRACGFEETERVVYFRMPLEPSA ->ARGMiner~~~CTX-M-83~~~ACI29345.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-83~~~ACI29345.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTHVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~AcrB~~~YP_002932544~~~multidrug unknown +>ARGMiner~~~AcrB~~~YP_002932544~~~multidrug~~~unknown MAKFFIDRPIFAWVLAIIMMLAGALAIMSLPVAQYPTVAPPAVTISASYPGADAATVQNSVTQVIEQNMNGIDNLMYMSSTSDSAGNASITLTFEAGTDPDIAQVQVQNKLQLAMPSLPQEVQQQGVSVDKASSSILLVAGFISEDGSLSQDDIADYVASNIKDPLSRTQGVGSVQLFGSQYAMRIWLDPNKLNKYNLTPVDVVSQIKVQNNQIAGGQLGGTPPVPGQQLNASIIVQTRLKDPTEFGKILLKVQQDGSRVLLRDVARVELGAENYGTIARYNGKPAAGIAVKLATGANALDTAKAVKTELARLAPYFPASLKTVYPYDTTPFVQLSIDEVVKTLLEAIVLVFVVMYLFLQNIRATLIPTIAVPVVLLGTFAVLEIVGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATKKSMEQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSSMVLSVLVAMILTPALCATMLKPIAKGDHGVQTGFFGWFNRLFEKSTHHYTDSIGRILRGTGRYLVIYLLIVVGMGLLFIRLPTSFLPEEDQGVLLTMAQLPPGATQERTNNVLKQVSHYYLTKEKDNVESVFTVSGFGFSGQGQNNGLAFVSLKPWDERKGDQNKVTAIIQRAAVAFSKINDAMVYPFNLPAIVELGTASGFDFELIDQANLGHEKLTEARNQLLGMAAQHPDLLVGVRPNGLEDTPQFKLDIDQEKAQSLGVSLADVNQTISAALGGAYVNDFIDRGRVKRVYVQADAPFRMLPSDIDNWYVRSSNGQMVPLSAFSSSRWEYGSPRLERYNGLPSMEILGEAAPGKSTGEAMALMETLVAKLPAGVGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLATSLRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAVDLMHKEGKGLVEATLEAVRMRLRPILMTSLAFILGVMPLVISNGAGSGAQNAVGTGVMGGMVSATILAIFFVPVFFVVVRRRFGRRSEDLEHSRPVEPHNK ->ARGMiner~~~BacA~~~ZP_03945515~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03945515~~~bacitracin~~~unknown MRSPFKVVGAQGGYAVLEILKAVILGIVEGITEFLPISSTGHLVLVDEFIKLNEPKKFIDMFNVVIQLGAIMAVVVLYFHKLNPWSPKKSRLERSQTWTLWKKVIIAVIPSVIIGLPLNDWMDAHLMNWLVVSIALIVYGVLFIVIENHNQNLRPRFDSLNTLPYKVAILIGCFQILSLIPGTSRSGATILGAILIGTSRYVAAEFSFFLAIPTMFGASLLKLYKFFAHGGTLAGNQGLILAVGVIVSFVVAYASIRFLLNYIKTKDFKAFGWYRIILGVIVIAYFALLAH ->ARGMiner~~~MdfA~~~YP_215847~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_215847~~~multidrug~~~unknown MQNRLQSGGRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLATLLAKNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALISPLLGPLVGAAWVHVLPWEGMFILFAALAAIAFFGLQRAMPETATRRGETLSFKALGRDYRLVIKNRRFVAGALALGFVSLPLLAWIAQSPIIIISGEQLSSYEYGLLQVPVFGALIAGNLVLARLTSRRTVRSLIVMGGWPIVAGLIITAAATVVSSHAYLWMTAGLSVYAFGIGLANAGLVRLTLFSSDMSKGTVSAAMGMLQMLIFTVGIEVSKHAWLSGGNGLFSLFNLANGILWLLLMLVFLKDKRTGNLQTV ->ARGMiner~~~CMY-104~~~AGR82311.1~~~beta_lactam unknown +>ARGMiner~~~CMY-104~~~AGR82311.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNHTITPLMQKQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPSTKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASQVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVDAAWRILEKLQ ->ARGMiner~~~SHV-86~~~ABC58727.1~~~beta_lactam unknown +>ARGMiner~~~SHV-86~~~ABC58727.1~~~beta_lactam~~~unknown MRFIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASRRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~KPC-19~~~AIH07017.1~~~beta_lactam unknown +>ARGMiner~~~KPC-19~~~AIH07017.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAARLALEGLGVTGQ ->ARGMiner~~~SHV-94~~~ABN49111.1~~~beta_lactam unknown +>ARGMiner~~~SHV-94~~~ABN49111.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQHLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~MdfA~~~ACB17400~~~multidrug unknown +>ARGMiner~~~MdfA~~~ACB17400~~~multidrug~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSLYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLLLMVIFLKDKQMGNSHEG ->ARGMiner~~~CMY-28~~~ABQ51091.1~~~beta_lactam unknown +>ARGMiner~~~CMY-28~~~ABQ51091.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGELAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDNKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~AAC(6')-Ix~~~AAD03496.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ix~~~AAD03496.1~~~aminoglycoside~~~unknown MNIMPISESQLSDWLALRSLLWPDHDDAHLLEMHQLLKQTDTLQLLAYTDSQQAVAMLEASIRHEYVNGTQTSPVAFLEGIYILPEYRRSGIATQLVQYVEEWAKQFACTEFASDAAIDNTISHAMHRALGFHETERVVYFKKNIG ->ARGMiner~~~VatB~~~YP_001779894~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~VatB~~~YP_001779894~~~macrolide-lincosamide-streptogramin~~~unknown MNKFGPNPNSIYPNENINSICYIKNVIKNPNIQVGDYTYYDDINGAEKFEEHVTHHYEFIGDKLIIGKFCAIAKGIEFVMNGANHRMKSITTYPFNIMGGGWEKAMPTLEDLPLKGDTVVDNDVWIGQNVTVMPGVHIGDGSIIAANSVVTKDVPPYHIAGGNPCKIIKKRFDDELIDYLLNLKWWDWSEEKIFKNLEVLCSPDLDKIKSIK ->ARGMiner~~~ErmG~~~ZP_03015699~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmG~~~ZP_03015699~~~macrolide-lincosamide-streptogramin~~~unknown MNKVNIKDSQNFITSKYHIEKIMNCISLDEKDNIFEIGAGKGHFTAELVKRCNFVTAIEIDSKLCEVTRNKLLNYPNYQIVNDDILKFTFPSHNPYKIFGNIPYNISTNIIRKIVFESSATISYLIVEYGFAKSLLDTNRSLALLLMAEVDISILAKIPRYYFHPKPKVDSVLIVLKRKPAKMAFKERKKYETFVMKWVNKEYEKLFTKNQFNKALKYARIYDINNISFEQFVSLFNSYKIFNG ->ARGMiner~~~mdtE~~~ZP_03043001~~~multidrug unknown +>ARGMiner~~~mdtE~~~ZP_03043001~~~multidrug~~~unknown MNRRRKLLIPLFFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~ACT-7~~~ACJ05688.1~~~beta_lactam unknown +>ARGMiner~~~ACT-7~~~ACJ05688.1~~~beta_lactam~~~unknown MMRKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILEALQ ->ARGMiner~~~mdtF~~~YP_405004~~~multidrug unknown +>ARGMiner~~~mdtF~~~YP_405004~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLTIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKRNV ->ARGMiner~~~dfrA12~~~AHW42429.1~~~trimethoprim unknown +>ARGMiner~~~dfrA12~~~AHW42429.1~~~trimethoprim~~~unknown MNSESVRIYLVAAMGANRVIGNGPNIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRHTLVISRQANYRATGCVVVSTLSHAIALASELGNELYVAGGAEIYTLALPHAHGVFLSEVHQTFEGDAFFPMLNETEFELVSTETIQAVIPYTHSVYARRNG ->ARGMiner~~~MdtP~~~ACB17556~~~multidrug unknown +>ARGMiner~~~MdtP~~~ACB17556~~~multidrug~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~CTX-M-14~~~AAT45022~~~beta_lactam unknown +>ARGMiner~~~CTX-M-14~~~AAT45022~~~beta_lactam~~~unknown MVTKKVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLATLEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIRPTDLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~QnrD1~~~ACG70184.1~~~quinolone unknown +>ARGMiner~~~QnrD1~~~ACG70184.1~~~quinolone~~~unknown MEKHFINEKFSRDQFTGNRVKNIAFSNCDFSGVDLTDTEFVDCSFYDRNSLEGCDFNRAKLKNASFKSCDLSMSNFKNISALGLEISECLAQGADFRGANFMNMITTRSWFCSAYITKTNLSYANFSRVILEKCELWENRWNGTVITGAVFRGSDLSCGEFSSFDWSLADFTGCDLTGGALGELDARRINLDGVKLDGEQALQLVESLGVIVHR ->ARGMiner~~~CTX-M-117~~~AET99223.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-117~~~AET99223.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIQGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~SMB-1~~~BAL14456.1~~~beta_lactam unknown +>ARGMiner~~~SMB-1~~~BAL14456.1~~~beta_lactam~~~unknown MKIIASLILAAFASVAQAQDRDWSSPQQPFTIYGNTHYVGTGGISAVLLSSPQGHILVDGTTEKGAQVVAANIRAMGFKLSDVKYILSTHSHEDHAGGISAMQKLTGATVLAGAANVDTLRTGVSPKSDPQFGSLSNFPGSAKVRAVADGELVKLGPLAVKAHATPGHTEGGITWTWQSCEQGKCKDVVFADSLTAVSADSYRFSDHPEVVASLRGSFEAVEKLSCDIAIAAHPEVNDMWTRQQRAAKEGNSAYVDNGACRAIAAAGRKRLETRLASEKR ->ARGMiner~~~OXA-130~~~ACD84988.1~~~beta_lactam unknown +>ARGMiner~~~OXA-130~~~ACD84988.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTTNPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNTDIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~MIR-2~~~AAO42602.1~~~beta_lactam unknown +>ARGMiner~~~MIR-2~~~AAO42602.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVASAAFAAPMSETQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWVIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYHILDALQ ->ARGMiner~~~mdtE~~~YP_001882199~~~multidrug unknown +>ARGMiner~~~mdtE~~~YP_001882199~~~multidrug~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTSEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDEWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~cat~~~YP_001144149~~~chloramphenicol unknown +>ARGMiner~~~cat~~~YP_001144149~~~chloramphenicol~~~unknown MMQFTKIDINNWTRKEYFDHYFDNTPCTYSMTVKLDISKLKKDGKKLYPTLLYGVTTILNRHEEFRTALDKNGQVGVFSEMLPCYTIFHKETETFSSIWTEFTADYTEFLQNYQKDIDAYGERKGMFAKPNPPENTFPVSMIPWTSFEGFNLNLKKGYDYLLPIFTFGKYYEDGGKYYIPLSIQVHHAVCDGFHVCRFLDELQDLLNK ->ARGMiner~~~QnrS1~~~ABG56870.1~~~quinolone unknown +>ARGMiner~~~QnrS1~~~ABG56870.1~~~quinolone~~~unknown METYNHTYRHHNFSHKDLSDLTFTACTFIRSDFRRANLRDTTFVNCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIEFRACDLKGANFSRTNFAHQVSNRMYFCSAFISGCNLSYANMERVCLEKCELFENRWIGTNLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIAAWQQELILEALGIVVYPD ->ARGMiner~~~FosB~~~ZP_04151022~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04151022~~~fosfomycin~~~unknown MLINGFNHLCFSVSDLERSIQFYETVLEGKLLVKGRKLAYFDICGVWVALNEEADIARNEIHQSYTHLAFSVKQEDFGRLLKRLEENKVHILQGRERDVRDCQSIYFIDPDGHKFEFHSGTLQDRLKYYKEAKPHMKFY ->ARGMiner~~~AAC(6')-Ib9~~~AAD02244.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib9~~~AAD02244.1~~~aminoglycoside~~~unknown MLRSSSRPKTKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~vanWB~~~ZP_03947551~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~ZP_03947551~~~glycopeptide~~~unknown MRKGCYIMDRKRLTQRFPFLLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIRPGETFSFWWLVRHADKDTPYKDGLTVTNGKLTTMSGGGMCQMSNLLFWMFLHTPLTIIQRRGHEVKEFPEPNSDEIKGVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVSADKEPQALYKITNGSIQYVRESGGIYEYAQVKRMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEENQ ->ARGMiner~~~SHV-120~~~AEG79634.1~~~beta_lactam unknown +>ARGMiner~~~SHV-120~~~AEG79634.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~SHV-74~~~CAJ47129.2~~~beta_lactam unknown +>ARGMiner~~~SHV-74~~~CAJ47129.2~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLTVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~ACT-1~~~AAC45086.2~~~beta_lactam unknown +>ARGMiner~~~ACT-1~~~AAC45086.2~~~beta_lactam~~~unknown MMMTKSLCCALLLSTSCSVLATPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYEGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVKDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMVNMKPDSLQDNSLRKGLTLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILSAL ->ARGMiner~~~FosB~~~Q8CXK5~~~fosfomycin unknown +>ARGMiner~~~FosB~~~Q8CXK5~~~fosfomycin~~~unknown MNIKGLNHLLFSVSNLEQSIDFYQQVFDAKLLVKGRSTAYFDLNGIWLALNEEKHIPRNEINESYTHTAFSIDESELESAIQHLKALNVNILEGRERAEQDKQSIYFTDPDGHKFEFHTGTLQERLKYYRDQKKHMTFY ->ARGMiner~~~OXY-5-2~~~CAI43419.1~~~beta_lactam unknown +>ARGMiner~~~OXY-5-2~~~CAI43419.1~~~beta_lactam~~~unknown MLKSSWRKTALMAAAVPLLLASGSLWASADAIQQKLADLEKRSGGRLGVALINTADDSQTLYRGDERFAMCSTGKVMAAAAVLKQSESNPEVVNKRLEIKKADLVVWSPITEKHLQSGMTLAELSAAALQYSDNTAMNKIIGYLGGPEKVTAFALSIGDVTFRLDRMEPALNSAIPGDKRDTTTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPASWAVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKSRKEVLAAAAKIVTEGL ->ARGMiner~~~TEM-116~~~NP_052129.1~~~beta_lactam unknown +>ARGMiner~~~TEM-116~~~NP_052129.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRIDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPVAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BcII~~~ZP_04146665~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04146665~~~beta_lactam~~~unknown MEKMKKNILLKLGVCVSLLGTTQFVSTISSVQASQKVEQKVMKNEAGTISISQLNKNVWVHTELGYFNGEAVPSNGLVLTTSKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGMKTLKERGIKAHSTALTAALAKENGYEEPLGDLQTVTNLKFGNMKIETFYPGKGHTEDNIVVWLPQYQILAGGCLVKSAEAKDLGNVADAYVNEWSTSIENVLKRYRNITSVVPGHGEVGDRGLLLHTLDLLK ->ARGMiner~~~CMY-93~~~AHM76768.1~~~beta_lactam unknown +>ARGMiner~~~CMY-93~~~AHM76768.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANNRPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKFSDPVTHYWPELTGKQWQGISLLHLATYTAGGLPLQVPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTKRVLRPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMTRWVQANMDASQVQEKTLQQGIELAQSRYWRVGDMYQGLGWEMLNWPVKADSIISGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~IMP-32~~~AFR33816.1~~~beta_lactam unknown +>ARGMiner~~~IMP-32~~~AFR33816.1~~~beta_lactam~~~unknown MKKLFVLCVFFFCNIAVAEESLPDLKIEKLEEGVYVHTSFEEVKGWSVVTKHGLVVLVKNDAYLIDTPITAKDTEKLVNWFVERGYKIKGSISTHFHGDSTAGIEWLNSQSIPTYASELTNELLKKDNKVQAKHSFYGVSYSLIKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGYLGDANLEAWPKSAKILMSKYGKAKLVVSSHSDIGDVSLLKRTWEQAVKGLNESKKSSQPSD ->ARGMiner~~~FomA~~~BAA32493.1~~~fosfomycin unknown +>ARGMiner~~~FomA~~~BAA32493.1~~~fosfomycin~~~unknown MTPDFLAIKVGGSLFSRKDEPGSLDDDAVTPFARNFARLAETYRGRMVLISGGGAFGHGAIRDHDSTHAFSLAGLTEATFEVKKRWAEKLRGIGVDAFPLQLAAMCTLRNGIPQLRSEVLRDVLDHGALPVLAGDALFDEHGKLWAFSSDRVPEVLLPMVEGRLRVVTLTDVDGIVTDGAGGDTILPEVDARSPEQAYAALWGSSEWDATGAMHTKLDALVTCARRGAECFIMRGDPGSDLEFLTAPFSSWPAHVRSTRITTTASA ->ARGMiner~~~TEM-82~~~AAL29434.1~~~beta_lactam unknown +>ARGMiner~~~TEM-82~~~AAL29434.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMVSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDEQNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~BAB37346~~~multidrug unknown +>ARGMiner~~~tolC~~~BAB37346~~~multidrug~~~unknown MQMKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASSGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~OXA-347~~~AET35493.1~~~beta_lactam unknown +>ARGMiner~~~OXA-347~~~AET35493.1~~~beta_lactam~~~unknown MKNILFVVFISMIFLFVCCNTTTNKNIIETEISDFDKILDSFQVNGSILIYDNDKNTFYSNDFDWAKNGKLPASTFKIPNSIIAVELGIIENDTTILKWNGEQRKMDIWEKDLSFKDAFRISCVPCYQEIARKIGTIKMKEYLEKFEYKNMIFDSLTIDNFWLEGNSKISQKQQIDFLRKFYFSKFPISDRTIKIVKNIMEIERTENYILSGKTGLSSIEEKYNGWFVGYVETKSNVYFFATNVIPTDGLNVDDFISSRINVTKNALKQMNIMK ->ARGMiner~~~IMP-37~~~AFP97028.1~~~beta_lactam unknown +>ARGMiner~~~IMP-37~~~AFP97028.1~~~beta_lactam~~~unknown MKKLFVLCVCFFCSITAAGAALPDLKIEKLEEGVFVHTSFEEVNGWGVVTKHGLVVLVNTDAYLIDTPFTATDTEKLVNWFVERGYEIKGTISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKSGKVQAKYSFSEVSYWLVKNKIEVFYPGPGHTQDNLVVWLPESKILFGGCFIKPHGLGNLGDANLEAWPKSAKILMSKYGKAKLVVSSHSEKGDASLMKRTWEQALKGLKESKKTSSQSTAS ->ARGMiner~~~SHV-187~~~CEA29750.1~~~beta_lactam unknown +>ARGMiner~~~SHV-187~~~CEA29750.1~~~beta_lactam~~~unknown MVKRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~catB7~~~YP_002085096~~~chloramphenicol unknown +>ARGMiner~~~catB7~~~YP_002085096~~~chloramphenicol~~~unknown MGNYFESPFRGKLLSEQVSNPNIRVGRYSYYSGYYHGHSFDDCARYLMPDRDDVDKLVIGSFCSIGSGAAFIMAGNQGHRAEWASTFPFHFMHEEPAFAGAVNGYQPAGDTLIGHDVWIGTEAMFMPGVRVGHGAIIGSRALVTGDVEPYAIVGGNPARTIRKRFSDGDIQNLLEMAWWDWPLADIEAAMPLLCAGDIPALYRHWKQRQATA ->ARGMiner~~~IND-10~~~ADA13241.1~~~beta_lactam unknown +>ARGMiner~~~IND-10~~~ADA13241.1~~~beta_lactam~~~unknown MKKSIQFFIVSMLLSPFANAQVKDFVIEPPIKSNLYIYKTFGVFGGKEYSANAAYLKTKKGVILFDVPWEKVQYQSLMDTIKKRHNLPVIAVFATHSHDDRAGDLSFFNNKGIKKYATLKTNEFLKKDGKATSTEIIQTGKPYHIGGEEFVVDFLGEGHTADNVVVWFPKYNVLDGGCLVKSNSATDLGYIKEANVEQWPKTMNKLKTKYSKATLIIPGHDEWKGGGHVEHTLELLNKK ->ARGMiner~~~TolC~~~CAL18627~~~multidrug unknown +>ARGMiner~~~TolC~~~CAL18627~~~multidrug~~~unknown MQMKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPQQDAAVDNFTANSSAPVAQPAAARSTAPASSGTNPFRH ->ARGMiner~~~NorA~~~ZP_04677690~~~multidrug unknown +>ARGMiner~~~NorA~~~ZP_04677690~~~multidrug~~~unknown MRKQLFTLYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGILVASFALAQMIISPFGGGLADKLGKKLIICIGLVLFSISEFMFAVGHSFTILVISRVLGGFSAGMVMPGVTGLIADISPSQDKAKNFGYMSAIINSGFILGPGFGGFLAEVSHRLPFYFAGGLGIIAFIMSLIVIHNPKKMTTAGFPQYDPELLTKINWKVFLTPVILTLVLAFGLSAFETLFSLYTSDKAGYTPKDISIAITGGGIFGALFQVFFFDKFMKFTTELNFIAWSLLYSAIVLVMLIIAQGYWTIMLISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFIGPLVAGTLFDVNIEFPLYMAIAVSLSGIVIIFIEKMIRTRLNRIAQ ->ARGMiner~~~ACT-29~~~AIT76085.1~~~beta_lactam unknown +>ARGMiner~~~ACT-29~~~AIT76085.1~~~beta_lactam~~~unknown MMKKSLCCALLLSTSCSVLAAPMSEKQLAEMVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVKDMASWVMVNMKPDSLQDSSLRKGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~VIM-5~~~AAN52134.1~~~beta_lactam unknown +>ARGMiner~~~VIM-5~~~AAN52134.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRKAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVLALSRTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVTAHKNRSVAE ->ARGMiner~~~OXA-128~~~ABY77907.1~~~beta_lactam unknown +>ARGMiner~~~OXA-128~~~ABY77907.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNVLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQEVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~mfd~~~NP_415632.1~~~quinolone unknown +>ARGMiner~~~mfd~~~NP_415632.1~~~quinolone~~~unknown MPEQYRYTLPVKAGEQRLLGELTGAACATLVAEIAERHAGPVVLIAPDMQNALRLHDEISQFTDQMVMNLADWETLPYDSFSPHQDIISSRLSTLYQLPTMQRGVLIVPVNTLMQRVCPHSFLHGHALVMKKGQRLSRDALRTQLDSAGYRHVDQVMEHGEYATRGALLDLFPMGSELPYRLDFFDDEIDSLRVFDVDSQRTLEEVEAINLLPAHEFPTDKAAIELFRSQWRDTFEVKRDPEHIYQQVSKGTLPAGIEYWQPLFFSEPLPPLFSYFPANTLLVNTGDLETSAERFQADTLARFENRGVDPMRPLLPPQSLWLRVDELFSELKNWPRVQLKTEHLPTKAANANLGFQKLPDLAVQAQQKAPLDALRKFLETFDGPVVFSVESEGRREALGELLARIKIAPQRIMRLDEASDRGRYLMIGAAEHGFVDTVRNLALICESDLLGERVARRRQDSRRTINPDTLIRNLAELHIGQPVVHLEHGVGRYAGMTTLEAGGITGEYLMLTYANDAKLYVPVSSLHLISRYAGGAEENAPLHKLGGDAWSRARQKAAEKVRDVAAELLDIYAQRAAKEGFAFKHDREQYQLFCDSFPFETTPDQAQAINAVLSDMCQPLAMDRLVCGDVGFGKTEVAMRAAFLAVDNHKQVAVLVPTTLLAQQHYDNFRDRFANWPVRIEMISRFRSAKEQTQILAEVAEGKIDILIGTHKLLQSDVKFKDLGLLIVDEEHRFGVRHKERIKAMRANVDILTLTATPIPRTLNMAMSGMRDLSIIATPPARRLAVKTFVREYDSMVVREAILREILRGGQVYYLYNDVENIQKAAERLAELVPEARIAIGHGQMRERELERVMNDFHHQRFNVLVCTTIIETGIDIPTANTIIIERADHFGLAQLHQLRGRVGRSHHQAYAWLLTPHPKAMTTDAQKRLEAIASLEDLGAGFALATHDLEIRGAGELLGEEQSGSMETIGFSLYMELLENAVDALKAGREPSLEDLTSQQTEVELRMPSLLPDDFIPDVNTRLSFYKRIASAKTENELEEIKVELIDRFGLLPDPARTLLDIARLRQQAQKLGIRKLEGNEKGGVIEFAEKNHVNPAWLIGLLQKQPQHYRLDGPTRLKFIQDLSERKTRIEWVRQFMRELEENAIA ->ARGMiner~~~OXY-2-10~~~ACV44456.1~~~beta_lactam unknown +>ARGMiner~~~OXY-2-10~~~ACV44456.1~~~beta_lactam~~~unknown MIKSSWRKIAMLAAAVPLLLASGALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGDALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKNRKEVLAAATKIVTEGL ->ARGMiner~~~CMY-27~~~ACA30421.1~~~beta_lactam unknown +>ARGMiner~~~CMY-27~~~ACA30421.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYACGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~ceoB~~~YP_001116031~~~multidrug unknown +>ARGMiner~~~ceoB~~~YP_001116031~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVIILLGGVIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDNRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRGLSAEDVVQAIREQNVQVAAGVIGASPSLPGTPLQLSVNARGRLQTEDEFGDIVVKTTPDGGVTHLRDIARIGLDASEYGLRSLLDNKPAVAMAINQSPGANSLQISDEVRKTMAELKQDMPAGVDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASIIPLIAVPVSIVGTFSLLLAFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIESGMNARQATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRALGGFFRGFNKVFHRGAENYGRGVRGVLSRKTLMLGVYLVLVGATVLVSRIVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGSIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFSERHGKALSAGAIAGALNQKYGAIKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYAKLSDATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVPVTDVFNTMQVYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNDRGEMVPLSSLVTVTPTFGPEMVVRYNGYTAADINGGPAPGFSSGQAQAAIERIAHETLPRGVRFEWTDLTYQQILAGDSAMYVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGRTPLEAAIEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAGKDSAGYGGPAHGVPASDA ->ARGMiner~~~KsgA~~~YP_002240485~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~YP_002240485~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTMNFGELAEKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCQVIPVLEVPPSAFTPPPKVDSAVVRLVPHRTMPYPVKEVRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTELGVDPAVRAENISVEQYCKMANWLSNNLPSKES ->ARGMiner~~~ACT-5~~~ACJ05689.1~~~beta_lactam unknown +>ARGMiner~~~ACT-5~~~ACJ05689.1~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALAAPVSEKQLAEVVANTVTPLMKAQSIPGMAVAVIYQGKPHYYTFGKADIAASKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNAALLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPFEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGMKTNVQDMANWVMANMAPENVADASLKQGISLAQSRYWRIGSMYQGLGWEMLNWPVEANTVIEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYPILDALQ ->ARGMiner~~~MacB~~~YP_002382193~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_002382193~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELSNIRRSFPSGEDVVEVLKGINLTINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGIYKVAGQDVSTLDSDSLAQLRREHFGFIFQRYHLLSHLTALQNVEVPAVYAGIERKQREARAQALLQRLGLGERVDYRPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRERGHTVIIVTHDPHVAAQAERVIEIRDGEIVSNPPAKNSAIAQTFSDSSAQPRSGWRQFIAGFREALTMAWLALATNKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAIQKQSWVASATPAVSQNVRLRYGNIDVAAAANGVSGDYFNVYGMTFSEGNTFNKEQLNARAQVVVLDNNTRRQLFPHKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMASRVMGQSWLNSITVRVKEGYDSAQAEQQLTRLMTLRHGKKDFFTWNMDGILKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVMVCLIGGALGICLSLLIAFTLQLFLPGWEIGFSPVALLTAFLCSTITGILFGWLPARNASRLDPVEALARE ->ARGMiner~~~SHV-126~~~ACV32636.1~~~beta_lactam unknown +>ARGMiner~~~SHV-126~~~ACV32636.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGKRGARGIVALLGPNNKAERTVVIYLRDTPASMAERNQ ->ARGMiner~~~NDM-10~~~AGT37351.1~~~beta_lactam unknown +>ARGMiner~~~NDM-10~~~AGT37351.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEISPTIDQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPSFGAVTSNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGMVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDRTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~mph~~~EEL41021.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~mph~~~EEL41021.1~~~macrolide-lincosamide-streptogramin~~~unknown MEEIKMNTLKIKQLATKEGLNILEDSIKINESGVDFQVAHAKEQNGDKWILRIPRRPESMRHVLQEKKALEIIKNHAGFQVPDWSIFTEDLIAYKQLSGVPAATIDIEQQGYIWSFNEKNAPSEYHISLGKVLANLHSLPQQEFNNIGIEILTANELRASMEQRMNRVKEQYYVNQKLWDRWQAWLTEDSFWPSHVGVTHGDIHPGHILIDKKNNVTGLIDWTEVGIADVSIDFTSHYLLFGKDGLTKLISSYDNAGGKTWSRMDEHIIELLTTSSITVAEYAQVSGLKEMHEAAVHMLATES ->ARGMiner~~~SHV-102~~~ABS72342.1~~~beta_lactam unknown +>ARGMiner~~~SHV-102~~~ABS72342.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAAERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXY-6-4~~~CAI43425.1~~~beta_lactam unknown +>ARGMiner~~~OXY-6-4~~~CAI43425.1~~~beta_lactam~~~unknown MLKSSWRKSALMAAAAVPLLLASGSLWASADAIQQKLANLEKRSGGRLGVALINTADDSQTLYRGDERFAMCSTGKVMAAAAVLKQSESHPDVVNKRLEIKKSDLVVWSPITEKHLQSGMTLAELSAAALQYSDNTAMNKMISYLGGPEKVTAFAQSIGDVTFRLDRTEPALNSAIPGDKRDTTTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPASWAVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKSRKEVLAAAAKIVTEGL ->ARGMiner~~~tetU~~~AAB08925~~~tetracycline unknown +>ARGMiner~~~tetU~~~AAB08925~~~tetracycline~~~unknown MANENQLSEIQSKIEGRGAEKRTTINQLEKLNRISNSGLSVQGTTTPLKLNFDRITIVGKLNDTIAYWTKIRDI ->ARGMiner~~~EXO~~~AAA26775.1~~~beta_lactam unknown +>ARGMiner~~~EXO~~~AAA26775.1~~~beta_lactam~~~unknown MHPSTSRPSRRTLLTATAGAALAAATLVPGTAHASSGGRGHGSGSVSDAERRLAGLERASGARLGVYAYDTGSGRTVAYRADELFPMCSVFKTLSSAAVLRDLDRNGEFLSRRILYTQDDVEQADGAGPETGKPQNLANAQLTVEELCEVSITASDNCAANLMLRELGGPAAVTRFVRSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLVLGDALNPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRAPIVLTVLTAKTEQDAARDDGLVADAARVLAETLG ->ARGMiner~~~SHV-111~~~BAF95849.1~~~beta_lactam unknown +>ARGMiner~~~SHV-111~~~BAF95849.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALSGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~tet38~~~AAV80464.1~~~tetracycline unknown +>ARGMiner~~~tet38~~~AAV80464.1~~~tetracycline~~~unknown MNVEYSKIKKAVPILLFLFVFSLVIDNSFKLISVAIADDLNISVTTVSWQATLAGLVIGIGAVVYASLSDAISIRTLFIYGVILIIIGSIIGYIFQHQFPLLLVGRIIQTAGLAAAETLYVIYVAKYLSKEDQKTYLGLSTSSYSLSLVIGTLSGGFISTYLHWTNMFLIALIVVFTLPFLFKLLPKENNTNKAHLDFVGLILVATIATTVMLFITNFNWLYMIGALIAIIVFALYIKNAQRPLVNKSFFQNKRYASFLFIVFVMYAIQLGYIFTFPFIMEQIYHLQLDTTSLLLVPGYIVAVIVGALSGKIGEYLNSKQAIITAIILIALSLILPAFAVGNHISIFVISMIFFAGSFALMYAPLLNEAIKTIDLNMTGVAIGFYNLIINVAVSVGIAIAAALIDFKALNFPGNDALSSHFGIILIILGLMSIVGLVLFVILNRWTQSEK ->ARGMiner~~~SHV-151~~~AFQ23957.1~~~beta_lactam unknown +>ARGMiner~~~SHV-151~~~AFQ23957.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQPSGSVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~QnrB4~~~ABC17630.2~~~quinolone unknown +>ARGMiner~~~QnrB4~~~ABC17630.2~~~quinolone~~~unknown MMTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRANLKDAIFKSCDLSMADFRNINALGIEIRHCRAQGSDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSSFDWRAANVTHCDLTNSELGDLDIRGVDLQGVKLDSYQASLLLERLGIAVMG ->ARGMiner~~~KsgA~~~YP_001571902~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~YP_001571902~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDRFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDKLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTMNFGELSAQLGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCQVIPVLGVPPSAFTPPPKVDSAVVRLVPHATMPYPVKDIRVLSRITTEAFNQRRKTIRNSLGNLFSVETLTEMGIDPAMRAENISVAQYCQMANYLSENAPLKES ->ARGMiner~~~BcI~~~ZP_04191906~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04191906~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~OKP-A-14~~~ACL68095.1~~~beta_lactam unknown +>ARGMiner~~~OKP-A-14~~~ACL68095.1~~~beta_lactam~~~unknown MRYIRLCLFSLIAALPLAVFASPPPLEQITRSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTSHTLSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATIAERNQQIARIGAALIEHWQR ->ARGMiner~~~Bcr~~~NP_708081~~~multidrug unknown +>ARGMiner~~~Bcr~~~NP_708081~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVSAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIALLMAPIVGGWVLVWLSWHYIFWILALAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISAPLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR ->ARGMiner~~~CARB-17~~~AIL92326.1~~~beta_lactam unknown +>ARGMiner~~~CARB-17~~~AIL92326.1~~~beta_lactam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATARIDERNIVVWSPVMDKLTGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~Bcr~~~YP_001587036~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_001587036~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSAQMTLSTYILGFALGQLIYGPMADSLGRKPVILGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAIAAILASVMIFALIKETLPVERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVPPQDFGYYFALNIVFLFVMTIINSRFVRRVGALNMFRAGLWIQFAMAVWMVFSALMGIGFWALVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFTSAWPMIWSIALCAACSILFYLYASRPKKR ->ARGMiner~~~AAC(6')-Ib10~~~ABC54722~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib10~~~ABC54722~~~aminoglycoside~~~unknown MLRSSKTKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~MIR-13~~~AIT76115.1~~~beta_lactam unknown +>ARGMiner~~~MIR-13~~~AIT76115.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVTSSAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLNAEAYGVKTNVKDMASWVIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~APH(3')-VIa~~~CAA30578.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-VIa~~~CAA30578.1~~~aminoglycoside~~~unknown MELPNIIQQFIGNSVLEPNKIGQSPSDVYSFNRNNETFFLKRSSTLYTETTYSVSREAKMLSWLSEKLKVPELIMTFQDEQFEFMITKAINAKPISALFLTDQELLAIYKEALNLLNSIAIIDCPFISNIDHRLKESKFFIDNQLLDDIDQDDFDTELWGDHKTYLSLWNELTETRVEERLVFSHGDITDSNIFIDKFNEIYFLDLGRAGLADEFVDISFVERCLREDASEETAKIFLKHLKNDRPDKRNYFLKLDELN ->ARGMiner~~~TriB~~~NP_248847.1~~~triclosan unknown +>ARGMiner~~~TriB~~~NP_248847.1~~~triclosan~~~unknown MKPFSLAGLFGFALLLSGCGDEPPPAPPRPVLTVTVKTLKNDDLGRFAGSIQARYESVLGFRTNGRIASRLFDVGDFVGKGALLATLDPTDQQNQLRASQGDLASAEAQLIDAQANARRQEELFARSVTAQARLDDARTRLKTSQASFDQAKAAVQQARDQLSYTRLVTDFDGVITTWHAEAGQVVSAGQAVVTLARPEVREAVFDLPTEVAESLPADARFLVSAQLDPQARTTGSIRELGPQADASTRTRRVRLSLAQTPEAFRLGSTIQVQLSSAGSVRSVLPASVLLERDGKTQVWVVDGKQSSVALREVQVLSRDERQVVIGQGLADGDRVVRAGVNSLKPGQKIKLDEDAR ->ARGMiner~~~CARB-16~~~CCW43444.1~~~beta_lactam unknown +>ARGMiner~~~CARB-16~~~CCW43444.1~~~beta_lactam~~~unknown MDVRKHKASFFSVVITFLCLTLSLNANATDSVLEAVTNAETELGARIGLAVHDLETGKRWEHKSNERFPLSSTFKTLACANVLQRVDLGKERIDRVVRFSESNLVTYSPVTEKHVGKKGMSLAELCQATLSTSDNSAANFILQAIGGPKALTKFLRSIGDDTTRLDRWETELNEAVPGDKRDTTTPIAMVTTLEKLLIDETLSIKSRQQLESWLKGNEVGDALFRKGVPSDWIVADRTGAGGYGSRAITAVMWPPNRKPIVAALYITETDASFEERNAVIAKIGEQIAKTVLMENSRN ->ARGMiner~~~BacA~~~ZP_02913367~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_02913367~~~bacitracin~~~unknown MDIVEIIKAIILGMVEGLTEFAPVSSTGHMIIVDDMWLKSQEFLGKYAANTFKVVIQLGSILAVVVVFKDRFIDLLGLRGRHRDGKPRLKLMQVIVGLIPAGVLGVLFEDYIDEHLFSTATVLIGLVLGALLMIAADVFAKKAPKAQTVDQITYKQALIVGLVQCLSLWPGFSRSGSTISGGVLVGMSHRAAADFTFIMAVPIMMGASVLSLLKNWQYFTIDALPFFTAGFISAFLFALISIRFFLKLINQIRLVPFAVYRIVLALVIYIVYF ->ARGMiner~~~BL2e_cepa~~~ZP_02068298~~~beta_lactam unknown +>ARGMiner~~~BL2e_cepa~~~ZP_02068298~~~beta_lactam~~~unknown MRSFIVFLCLVPTLLFAQQTQLETQLKEAIKGKKAEIGIAVIIDGKDTITVNNDIHYPLMSVFKFHQALALADYMGKQGQSLETRLAIKKSDLKPDTYSPLRDKYPQGGIEMSIADLLKYTLQQSDNNACDILFDYQGGPDAVNKYIHSLGIRECAIVGTETAMHEDLNLCYENWTTPLAAAELVEIFRKKPLFPKVYKDFIFQTMVECQTGQDRLVAPLLDKKITVGHKTGTGDLNAKGQQIGCNDIGFVLLPGGRTYSIAVFVKDSEENNQANSKIIADISRIVYEYVMQH ->ARGMiner~~~CTX-M-4~~~CAA74573.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-4~~~CAA74573.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVAQINTADNSQILYVADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIRASDLVNYNPIAEKHVNGTMTLAELGAGALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNSAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGMPKSWGVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~OXA-119~~~AAN41427.1~~~beta_lactam unknown +>ARGMiner~~~OXA-119~~~AAN41427.1~~~beta_lactam~~~unknown MAIRFLTILLSTFFLTSFVHAQEHVLERSDWKKFFSDLRAEGAIVISDERQAEHALLVFGQERAAKRYSPASTFKLPHTLFALDADAVRDEFQVFRWDGVKRSFAGHNQDQDLRSAMRNSAVWVYELFAKEIGEDKARRYLKQIDYGNADPSTIKGDYWIDGNLEISAHEQISFLRKLYRNQLPFQVEHQRLVKDLMITEAGRNWILRAKTGWEGRFGWWVGWVEWPTGPVFFALNIDTPNRTDDLFKREAIARAILRSIDALPPN ->ARGMiner~~~catB7~~~ZP_01364091~~~chloramphenicol unknown +>ARGMiner~~~catB7~~~ZP_01364091~~~chloramphenicol~~~unknown MGNYFESPFRGKLLSEQVSNPNIRVGRYSYYSGYYHGHSFDDCARYLMPDRDDVDKLVIGSFCSIGSGAAFIMAGNQGHRAEWASTFPFHFMHEEPAFAGAVNGYQPAGDTLIGHDVWIGTEAMFMPGVRVGHGAIIGSRALVTGDVEPYAIVGGNPARTIRKRFSDGDIQNLLEMAWWDWPLADIEAAMPLLCTGDIPALYRHWKQRQATA ->ARGMiner~~~AAC(6')-Is~~~AAD03491.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Is~~~AAD03491.1~~~aminoglycoside~~~unknown MNIMPISESQLSDWLALRSLLWPDHEDAHLLEMRHVLKQTDTLQLLVYSETQLAIAMLEASIRHEYVNGTQTSPVAFLEGIYVLPEYRRSGIATQLVQCVEEWAKQFACTEFASDAALENTISHAMHRALGFHETERVVYFKKNIG ->ARGMiner~~~OXA-160~~~ADB28891.1~~~beta_lactam unknown +>ARGMiner~~~OXA-160~~~ADB28891.1~~~beta_lactam~~~unknown MKKFILPIFSISILVSLSACSSIKTKSEDNFHISSQQHEKAIKSYFDEAQTQGVIIIKEGKNLSTYGNALARANKEYVPASTFKMLNALIGLENHKATTNEIFKWDGKKRTYPMWEKDMTLGEAMALSAVPVYQELARRTGLELMQKEVKRVNFGNTNIGTQVDNFWLVGPLKITPVQEVNFADDLAHNRLPFKLETQEEVKKMLLIKEVNGSKIYAKSGWGMGVTSQVGWLTGWVEQANGKKIPFSLNLEMKEGMSGSIRNEITYKSLENLGII ->ARGMiner~~~DHA-10~~~AJO16043.1~~~beta_lactam unknown +>ARGMiner~~~DHA-10~~~AJO16043.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADVQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMTLNDPAEKYQPELALPQWKGITLLDLATYTAGGLPLQVPDEVKSRADLLHFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~IMP-1~~~CAK55562~~~beta_lactam unknown +>ARGMiner~~~IMP-1~~~CAK55562~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVEPGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVLVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~mexQ~~~BAE06008.1~~~multidrug unknown +>ARGMiner~~~mexQ~~~BAE06008.1~~~multidrug~~~unknown MTFPRFFIDRPIFAIVLSVLMMIGGIVSFFQLPLSEYPAVTPPTVQVTTAYPGANPDVIAQTVATPLEQAITGVEGMLYMSSQSATDGRMILTITFDQHIDPDMAQIQVQNRVSRVLSRLPDEVQRQGVVTQKTSPDILMVVHLLSPEQRYDPLYISNYAYLQVRDELLRLPGISDVVVWGAGEYSMRLWLDPDLIAARGLTAGEVIAAVREQNVQVAAGAVGQAPDSTAAFQVTVNTLGRLSDEEQFGDIIVRTGADGQVTRLRDVARIEMGGDAYALRSLLDGEPAVALQIIQSPGANALDTAEAVRATVARLEGNFPAGLSARIAYDPTVFVRASLQTVATTLLEAILLVVVVVVLFLRSWRASLIPLMAVPVSLVGTFAVMHLMGFSLNTLSLFGLVLSIGIVVDDAIVVVENVERHIENGEPPLQAARRAMDEVTGPIMAITSVLAAVFIPTAFLSGLQGEFYRQFALTIAISTILSALNSLTLSPALAGLLLRPRPAGGAVAGRFQRLLQVLGRPLRNAPEAYGNAVRKVVRVSGLALVVYGGLLGLTWVGFQAVPPGFVPMQDKYYLIGIAQLPNGASLERTDAVVRQMSRIGLDEPGVESVVAFPGLSVNGFVNVPNAAVMFFMLDPFESRTSADLGALAIAGRLQARFASIPDGFLGVFPPPPVPGLGTIGGFKMQVEDRGGAGLEALARQTQVLMMKATESGQLGGLMTSFDINAPQLEVVVDRTKVKSQGVRLADVFEALQVYLGSLYINDFNRFGRTYKVTAQADAPHRMQAEAIGRLQVRNAAGAMLPLSSFVTVTPSSGPDRVIHYNGYPSADISGGALPGVSSGQAVALMERLAGEVLPEGMTFEWTDLTYQQKLAGNSALFIFPLCVLLAYLILAAQYNSWLLPLAVLLIVPMCLLSAIAGVWLVGGDNNVFVQIGLVVLVGLAAKNAILIVEFARTLEAEGARALEAVVEACRLRLRPILMTSLAFIAGVVPLVMASGAGAEMRQAMGVAVFAGMLGVTLFGLFLTPVFYVLVRALAARLERRAGGSLAHLEGGRP ->ARGMiner~~~smeS~~~AAD51347.1~~~multidrug unknown +>ARGMiner~~~smeS~~~AAD51347.1~~~multidrug~~~unknown MAFAMAKFQLKFGLTAKTFLAIFTACLLVLAVNGIASRVAFQTGFLDYLNDQGDLRMQRLMPHLQREYREHGGWEHLHGDGDRWARLLRPDLAHGHEGPVPSLSDQTGVPSRLGLFDAQHRFVAGNPDATSDDEPHAVQVDGQTVGWLGMVPFQTVIATNDLNFYNTQVRAWWVIGIALLLVTVLLAWLVSRALRQRLAKLAAATHRLAAGDYATRIERTSDDELDALVNDFNRMAQALDDTERNRRAFIADISHELRTPLAVVRAELEAIEDGIRPLDRANLVGLQGEIRQLGKLIDDLHDLSMTQSGGLAYRFAPLDLVALLRSELNGMRVRFANAGLALEEDLPATPLQVSGDERRLQQVLANLLENALRYTHAGGRVRVQAARVPAGVQLVVEDTAPGVPPDKCALVFERFYRVESSRNRASGGSGLGLAISHNIILAHHGVIHAAPSPLGGLRVVITLPEPA ->ARGMiner~~~MexF~~~YP_236040~~~multidrug unknown +>ARGMiner~~~MexF~~~YP_236040~~~multidrug~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTDLDNAQVQVQNRVTRSEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVSEEEFENVVVRAGADGEITRLKDIARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKQSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVAATHKAMAEVTGPIIATALVLCAVFVPAAFISGLSGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDKMLGSWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWMGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVQDAIAFPGLSINGFTNSPNNGVVFVTLKPFDERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAAPGYSSGQAQAAVEKLLREELPTGMIYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARVNSQQNLPAEMH ->ARGMiner~~~APH(3')-VIIa~~~AAA76822.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-VIIa~~~AAA76822.1~~~aminoglycoside~~~unknown MKYIDEIQILGKCSEGMSPAEVYKCQLKNTVCYLKKIDDIFSKTTYSVKREAEMMMWLSDKLKVPDVIEYGVREHSEYLIMSELRGKHIDCFIDHPIKYIECLVNALHQLQAIDIRNCPFSSKIDVRLKELKYLLDNRIADIDVSNWEDTTEFDDPMTLYQWLCENQPQEELCLSHGDMSANFFVSHDGIYFYDLARCGVADKWLDIAFCVREIREYYPDSDYEKFFFNMLGLEPDYKKINYYILLDEMF ->ARGMiner~~~adeB~~~ABO12177~~~multidrug unknown +>ARGMiner~~~adeB~~~ABO12177~~~multidrug~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLNPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSAVNTSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~CfxA3~~~AAL79549.2~~~beta_lactam unknown +>ARGMiner~~~CfxA3~~~AAL79549.2~~~beta_lactam~~~unknown MEKNRKKQIVVLSIALVCIFILVFSLFHKSATKDSANPPLTNVLTDSISQIVSACPGEIGVAVIVNNRDTVKVNNKSVYPMMSVFKVHQALALCNDFDNKGISLDTLVNINRDKLDPKTWSPMLKDYSGPVISLTVRDLLRYTLTQSDNNASNLMFKDMVNVAQTDSFIATLIPRSSFQIAYTEEEMSADHNKAYSNYTSPLGAAMLMNRLFTEGLIDDEKQSFIKNTLKECKTGVDRIAAPLLDKEGVVIAHKTGSGDVNENGVLAAHNDVAYICLPNNISYTLAVFVKDFKGNESQASQYVAHISAVVYSLLMQTSVKS ->ARGMiner~~~mprF~~~Q8FW76~~~peptide unknown +>ARGMiner~~~mprF~~~Q8FW76~~~peptide~~~unknown MLWWDDISMSLIDDEIPSSQPQVSGRHFGWLKQYQHFLFPIAGIAIALLAIYVLENLLRHTSRTETLAALHNISWTTLALAVFFTALSYAAVALYDVVAVDTIAPNQIPRRIAAVAGAAGYAISNALGFSLLTGGALRYRIYAAEGISLADIGKIVGTSWFAIWFALIIMVGAALLIDPQDVPFLSAIDIRIDIVAGILILGGIGWLIYWLSHGERNVSIGSFSLRLPNSKGALTQIFAGVVDVGAAAATLYVLLPEGAVPSFAVFALVYVIAIVLGIASHAPGGLGAFEATIIAGLGLGGKPDAIAGLLAYRLIYTVLPLVVATAGILIWEVMRRRHMLDKQARFAKRLVEPLVPGLSASIIFLGGIILLISGATPDMRYRVKLLSDIVPEFLVEMSHLAASLVGVALLIVARGLSKRLERAWVAAMVLLLCGAVFSIAKGLDWEEASILCLFALSLWGFRDSFYRRPIAGPFELSWNWIATVGTTVLVSTWLGFFVYRHIEYSSDLWWDFAWNGNAPRFLRATVLVFAVVAAVGLHSIINRHGQRRRKVDHSIPDAVPALVARCPHTDAALAMLGDKQFLLAPDDSAFIMYAQSGGSLIALGEPIGDAEAGKELAWSFHSLADRLALRTVFYGVGPQSLPLFLDMGLIALKLGEVARVDLTDFSLEGPRRQPFRYADRKVDKDGLTFEIIPAADVPPLIPRLRAISDAWLDHKSGSEKGFSLGYFNDEYLKRFDIAVLKKDGEIVAFANIWRGADKYEITVDLMRYMPNVHKLLMDALFAKLLTFSKQEGYKWFNLGAAPLSGLSGSRLASRWNRFGSFIYRRGADLYHFDGLKAFKEKFDPVWTPHYMVCPGGLETPRALLDATTLINGSPLEFIRK ->ARGMiner~~~TolC~~~YP_002227903~~~multidrug unknown +>ARGMiner~~~TolC~~~YP_002227903~~~multidrug~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNAAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~vanB~~~AHH83938.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~AHH83938.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMMAAAGITLPALIDSLITLALKR ->ARGMiner~~~tolC~~~CAA37982~~~multidrug unknown +>ARGMiner~~~tolC~~~CAA37982~~~multidrug~~~unknown MQMKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANELTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~RosB~~~ZP_04612557~~~polymyxin unknown +>ARGMiner~~~RosB~~~ZP_04612557~~~polymyxin~~~unknown MGTLAHRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKAIAIPGAIAQIAVATVLGMGLSHLLGWDLMTGFVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFAGVMGNETTSLSQLFTELAITIGKVIAFITLMIVVGRRLVPWILAKTASTGSRELFTLAVLALALGIAYGAVGLFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILIHEPLAVLASLAIIIFGKSAAAYLLVRLFGHSKRTALTISVSLAQIGEFAFILAGLGISLGLMSEHGRNLVLAGAILSIMLNPLLFTLLDRYLTKNETMEDQILEEAVEEEKQIPVDLCNHALLVGYGRVGSLLGAKLHAEGVPLVVIESSRPRVEALREQGIHAVLGNAASADTMSLARLDCARWLLLTIPNGYEAGEIVAAARIRRPDLEIIARAHYDDEVVYISDRGANQVVMGEREIANSMLNMLKIDKLSEEDTRQGCPI ->ARGMiner~~~vanSM~~~ACL82958.1~~~glycopeptide unknown +>ARGMiner~~~vanSM~~~ACL82958.1~~~glycopeptide~~~unknown MAKMRSSFRTKIILLFAVSMLLAGMVTYLLFKGLQLYYHTMIHRGNPLAELRDFIESIGDFNFFFLLFILLSLSVFYILTKPYSAYFDEISTGIQYLALGDFKRRVNIQSNDEFGDIAQAINQASEKLEEAIQRGDFSENSKEQLVVNLAHDLRTPLTSVLGYLDLVLKDEKLTKEQVRHFLTIAFTKSQRLEKLIDELFEITRMNYGMLSIEKKPINLTDLLLQLKEELYPIFEKNGLTARMNTLPHLPVSADGEMLARVFENLLTNANRYGHDGQFVDINGFVDEEEVVVQVVNYGDSIPPNELPYLFDMFYTGDKARTHKEDSTGLGLFIAKNIVEQHNGTVTAESSLIRTVFEVRLPLESAPIDQV ->ARGMiner~~~VIM-17~~~ABW90721.1~~~beta_lactam unknown +>ARGMiner~~~VIM-17~~~ABW90721.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASMMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~rmtB~~~YP_001816610.1~~~aminoglycoside unknown +>ARGMiner~~~rmtB~~~YP_001816610.1~~~aminoglycoside~~~unknown MNINDALTSILASKKYRALCPDTVRRILTEEWGRHKSPKQTVEAARTRLHGICGAYVTPESLKAAAAALSAGDVKKALSLHASTKERLAELDTLYDFIFSAETPRRVLDIACGLNPLALYERGIASVWGCDIHQGLGDVITPFAREKDWDFTFALQDVLCAPPAEAGDLALIFKLLPLLEREQAGSAMALLQSLNTPRMAVSFPTRSLGGRGKGMEANYAAWFEGGLPAEFEIEDKKTIGTELIYLIKKNG ->ARGMiner~~~OXA-209~~~AEM66528.1~~~beta_lactam unknown +>ARGMiner~~~OXA-209~~~AEM66528.1~~~beta_lactam~~~unknown MKKTFILLNLILLVNLNGYCQTKSLKSNEIVKPEFRNILDSLKVKGAILIYDVKNKTYYSNDFSWTKTGIIPASTFKIPNSIIALETGIIKNDSTIFKWNGEKRKFKNWEEDLTFKKAFQVSCVPCYQEIARKIGVKRMKRYLKKLNYRGMVFDTLTIDQFWLEGESKITQMQQIDFLERLYFSKFPISDRTIKIVKNIMEIERTENYILSGKTGLSSIEEKYNGWFVGYVETKSNVYFFATNVIPTDGLNVDDFISSRINVTKNALKQMNIMK ->ARGMiner~~~pbp2b~~~ZP_03747370~~~beta_lactam unknown +>ARGMiner~~~pbp2b~~~ZP_03747370~~~beta_lactam~~~unknown MRNTGSANIIYENFKMLIKNEYKPRMLPKVEKIKKPVTSDGRIRIVLGCMALAFTALLGRGIYLQTTQHEFLKNQGDQRFVRTLTLPASRGMITDRNGATLALSAPTESLYAMPSGMEEMPTAEQLTKLAAIVDLPVETLQDKLAKKDKDFIYLKRQLSQEKAEEIKALGIKGLAFQKELKRHYPMGNLFAHVIGFTNIDGKGQEGLELSREDSLHGADGAKVVLRDNKGNIVDSLDSPRNSDPKNGQDMVLSLDQRIQTLAYDELNKAVAYHKAKAGTVVVLDAQTGEILALVNSPAYDPNQPGSADSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVGVADRFNTMPYKIGPATVRDTHVYPTLDVRGIMQKSSNVGTSKLSAKFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQPIEQATMSFGYGLQLSLLQLARAYTMLTHDGELLPVSFEKQAVAPKGKRVIKASTAREVRDLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVAGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~VanRB~~~ZP_04097279~~~glycopeptide unknown +>ARGMiner~~~VanRB~~~ZP_04097279~~~glycopeptide~~~unknown MNEINLKTTGKIQIAVLSFFWRYTFMDIRILLVEDDEHICNAVKVFLSGAGYKVDACFNGDEAHTKFYDSTYQLVILDILLPGMNGHELLREFRKLNNTPVLMMTALSDDENQIRAFDAEADDYVTKPFKIQLLLKRVEALLRRSGAVAKELHYGKLTILPEDFKVFYDDEELLLTLKEFEILMLLVQNNGRTLSHEVILSRVWGYDFDGDGSTVHTHIKNLRAKLPDNIIKTVRGVGYRLEETS ->ARGMiner~~~aadA11~~~AAV32840.1~~~aminoglycoside unknown +>ARGMiner~~~aadA11~~~AAV32840.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAAQLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKVRQHSLALAGSAAEDFFNPVPEGDLFKALSDTLKLWNSQPDWEGDERNVVLTLSRIWYSAATGKIAPKDIVANWAIERLPDQHKPVLLEARQAYLGQGEDCLASRADQLAAFVHFVKHEATKLLGAMPVMSNNSFKPNPLRGSA ->ARGMiner~~~OKP-A-13~~~ACL68096.1~~~beta_lactam unknown +>ARGMiner~~~OKP-A-13~~~ACL68096.1~~~beta_lactam~~~unknown MRYIRLCLFSLIAALPLAVFASPPPLEQITRSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHTLSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATMAERNQQIARIGAALIEHWQR ->ARGMiner~~~DHA-19~~~AIT76102.1~~~beta_lactam unknown +>ARGMiner~~~DHA-19~~~AIT76102.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADVQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSWKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPEQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~OXA-99~~~ABI53716.1~~~beta_lactam unknown +>ARGMiner~~~OXA-99~~~ABI53716.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIRQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEMNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CTX-M-107~~~AEM44650.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-107~~~AEM44650.1~~~beta_lactam~~~unknown VKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDRTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVT ->ARGMiner~~~CMY-63~~~AET07387.1~~~beta_lactam unknown +>ARGMiner~~~CMY-63~~~AET07387.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADITNNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKAVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKKLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~mphB~~~BAA12910.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~mphB~~~BAA12910.1~~~macrolide-lincosamide-streptogramin~~~unknown MSKDIKQVIEIAKKHNLFLKEETIQFNESGLDFQAVFAQDNNGIDWVLRLPRREDVMPRTKVEKQALDLVNKYAISFQAPNWIIYTEELIAYKKLDGVPAGTIDHNIGNYIWEIDINNVPELFHKSLGRVLAELHSIPSNKAAALDLVVHTPEEARMSMKQRMDAVRAKFGVGENLWNRWQAWLNDDDMWPKKTGLIHGDVHAGHTMIDKDANVTGLIDWTEAKVTDVSHDFIFNYRAFGEEGLEALILAYKEIGGYYWPKMKEHIIELNAAYPVSIAEFALVSGIEEYEQMAKEALEVQGS ->ARGMiner~~~leuO~~~NP_414618.4~~~sulfonamide unknown +>ARGMiner~~~leuO~~~NP_414618.4~~~sulfonamide~~~unknown MPEVQTDHPETAELSKPQLRMVDLNLLTVFDAVMQEQNITRAAHVLGMSQPAVSNAVARLKVMFNDELFVRYGRGIQPTARAFQLFGSVRQALQLVQNELPGSGFEPASSERVFHLCVCSPLDSILTSQIYNHIEQIAPNIHVMFKSSLNQNTEHQLRYQETEFVISYEDFHRPEFTSVPLFKDEMVLVASKNHPTIKGPLLKHDVYNEQHAAVSLDRFASFSQPWYDTVDKQASIAYQGMAMMSVLSVVSQTHLVAIAPRWLAEEFAESLELQVLPLPLKQNSRTCYLSWHEAAGRDKGHQWMEEQLVSICKR ->ARGMiner~~~tetB(P)~~~AAA20117.1~~~tetracycline unknown +>ARGMiner~~~tetB(P)~~~AAA20117.1~~~tetracycline~~~unknown MKKIINIGIVAHVDAGKTTITENLLYYSGAIKSVGRVDLGNTQTDSMELERKRGITIKSSTISFNWNNVKVNIIDTPGHVDFISEVERSLNSLDGAILVISGVEGIQSQTRILFDTLKELNIPTIIFVNKLDRIGANFNKVFEEIKKNMSNKVVRLQEVYDVGSKAVYIKKLFDTCIINDDAINVLSDLDEAFLERYIGGIEPDKEEIQEKLSLYAREGSLYPVFCGAAAIGLGIEDLLDGICSYFPFASNDCESDLSGVVFKIERTSKNEKKVYVRLFGGKISVRDKIQVPNKEIAEKVKKINRLENGGVVEAQRIEAGDIGILYGLTSFQVGDVIGISNDKIKNISIAKPALKTTISAIDKEKNPELFKALTLLAEEDPLLAFAMNDIDKEIYVNLFGEVQMEILSSMLDDLYGIKVEFSNIETIYKETPKGFGASIMHMQEDLNPFWATVGLEIEPAGRGEGLRYISNVSVGSLPKSFQNAIEEAVIKTSKQGLFGWEVTDVKVTLSCGEFFSPASTPADFRNVTPMVFMEALYKAQTVLLEPLHEFELKIPQNALSKAVWDLETMRATFDNPIVIGDEFSIKGLIPVENSKEYKMKIASYTEGRGMFVTKFYGYKEASAEFSKARKKTTYDPLNKKEYLLHKLNAIRD ->ARGMiner~~~CARB-3~~~AAD43097~~~beta_lactam unknown +>ARGMiner~~~CARB-3~~~AAD43097~~~beta_lactam~~~unknown MFDVIGASTMSTQQGMRPKTKLAILWSLMLLYKMCDNQNYGVTYMKFLLAFSLLIPSVVFASSSKFQQVEQDVKAIEVSLSARIGVSVLDTQNGEYWDYNGNQRFPLTSTFKTIACAKLLYDAEQGKVNPNSTVEIKKADLVTYSPVIEKQVGQAITLDDACFATMTTSDNTAANIILSAVGGPKGVTDFLRQIGDKETRLDRIEPDLNEGKLGDLRDTTTPKAIASTLNKFLFGSALSEMNQKKKESWMVNNQVTGNLLRSVLPAGWNIADRSGAGGFGARSITAVVWSEHQAPIIVSIYLAQTQASMAERNDAIVKIGHSIFDVYTSQSR ->ARGMiner~~~BacA~~~ZP_04626871~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04626871~~~bacitracin~~~unknown MYSLFVAFVLGVVEGLTEFLPVSSTGHMIIVGELLGFTGDKAKTFEVIIQLGSILAVVVVFWRRLFGLIGIHFGAVPHEGKTSGHLTLGHILLAMLPAVGLGLVFHNVIKSLFNPHSVMYALVAGGLLLLTAEWFKPKNAKAVGLDDITYRQAFAIGCFQCLALWPGFSRSGATISGGMLVGVNRYAASEFSFILAVPMMMGASGLDLYKSLHFLTLGDLPMFAVGFITAFIVALIAIKTFLSLIKRISFVPFAIYRFVVAAAVYWVFM ->ARGMiner~~~vanTrL~~~ABX54690.1~~~glycopeptide unknown +>ARGMiner~~~vanTrL~~~ABX54690.1~~~glycopeptide~~~unknown MVENKMRAYKEFYVESLLHNVQVIKKNIPKSTKIMAVVKANAYGINAVNVAIILEYIGIDFFAVATIDEAIALRKNGITSNILILGYTTPTKVDDLIHYELTQTIVSKEHAYFLNKTGKKIMCHLKVDTGMHRLGVEPTLEEICPIFNYPFLKIKGVYSHLGSADDLSEEGKQRTIKQISRYNTIIAELKRKRVDVGLTHLQSSYGILNYSELAYDYVRPGIILYGLLSNNDHNVKLHLDLQPVVAVKAQLISKKKIAPGEYIGYGTDTQLTSSKTIGVLSIGYADGIPRNLSNGEYCVVFEDKQIPQIGRICMDMMLVDLSNCSDIPLGVMVDVLPNIEEISQIQSTITNEIISCLGSRLGMEVK ->ARGMiner~~~TEM-108~~~AAM28884.1~~~beta_lactam unknown +>ARGMiner~~~TEM-108~~~AAM28884.1~~~beta_lactam~~~unknown MDPQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAELSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTSELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERSRQIAEIGASLIKHW ->ARGMiner~~~OXA-195~~~AEB98921.1~~~beta_lactam unknown +>ARGMiner~~~OXA-195~~~AEB98921.1~~~beta_lactam~~~unknown MNIKALFLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPSSQKVQDEVQSMLFIEEKNGNKMYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~BcI~~~ZP_03232649~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_03232649~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVSILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTEWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALK ->ARGMiner~~~TEM-79~~~AAF05611.1~~~beta_lactam unknown +>ARGMiner~~~TEM-79~~~AAF05611.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSGGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MdtK~~~B7LQA4~~~multidrug unknown +>ARGMiner~~~MdtK~~~B7LQA4~~~multidrug~~~unknown MQKYINEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSLLIMLVLWNAGYIIRAMDNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYVFIYGHFGMPELGGVGCGVATAAVYWVMFVAMLSYVKNARSMRDIRNQQHSGKPDTAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPLSLSAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATVTAIFTVSFREQIALLYNDNPQVITLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVQPMGPAGFWIGFIIGLTSAAIMMMLRMRYLQRLPSAIILQRAAR ->ARGMiner~~~SHV-101~~~ABV72593.1~~~beta_lactam unknown +>ARGMiner~~~SHV-101~~~ABV72593.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDGRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~SHV-62~~~CAI30651.2~~~beta_lactam unknown +>ARGMiner~~~SHV-62~~~CAI30651.2~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKYLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BacA~~~YP_001436495~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001436495~~~bacitracin~~~unknown MTDMHSLLVAAILGIVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGHPPHEGVGKGRLSLIHILLGMVPAVVLGLVFHDFIKSLFNPINVMYALVVGGVLLIIAEVLKPKEPKAPGLDDMTYRQAFFIGCFQCLALWPGFSRSGATISGGMLVGVSRYAASEFSFLLAVPMMMGATALDLYKSMGFLTMADLPMFAVGFVTAFVVALVAIKTFLHIIKRISFIPFAIYRFIVAAAVFAVFM ->ARGMiner~~~CMY-82~~~AHL39324.1~~~beta_lactam unknown +>ARGMiner~~~CMY-82~~~AHL39324.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANNRPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTHYWPELTGKQWQGISLLHLATYTAGGLPLQVPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTKRVLRPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMTRWVQANMDASQVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPVKADSIISGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CeoA~~~ZP_02508655~~~multidrug unknown +>ARGMiner~~~CeoA~~~ZP_02508655~~~multidrug~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRRGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRAAQGNARA ->ARGMiner~~~vanSA~~~AAA65954.1~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~AAA65954.1~~~glycopeptide~~~unknown MVIKLKNKKNDYSKLERKLYMYIVAIVVVAIVFVLYIRSMIRGKLGDWILSILENKYDLNHLDAMKLYQYSIRNNIDIFIYVAIVISILILCRVMLSKFAKYFDEINTGIDVLIQNEDKQIELSAEMDVMEQKLNTLKRTLEKREQDAKLAEQRKNDVVMYLAHDIKTPLTSIIGYLSLLDEAPDMPVDQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKTHIDLYYMLVQMTDEFYPQLSAHGKQAVIHAPEDLTVSGDPDKLARVFNNILKNAAAYSEDNSIIDITAGLSGDVVSIEFKNTGSIPKDKLAAIFEKFYRLDNARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNYTTFRVELPAMPDLVDKRRS ->ARGMiner~~~lnuD~~~ABR14060.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~lnuD~~~ABR14060.1~~~macrolide-lincosamide-streptogramin~~~unknown MVNKADAIEIILYAEENEIDIWLDGGWGVDALLGEETRSHNDIDLFVEEKNGKTFIEILKEKGFTEVIEAYTTTDHTVWKDDKDRIIDLHVFEFNEQGDLVFEGESYPSNVFSGIGKIGNKVVKCIDAENQVLFHLGYEHDENDVHDVRLLCERYNIPVPSEYK ->ARGMiner~~~KsgA~~~ZP_03319325~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~ZP_03319325~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLTDQFIIDSIVDAMHPQPGQAIVEIGPGLGALTEPVGSRMDKMTVVELDRDLAARLHVHPQLKDKLTIIQQDAMTVDFGELAKQAGQPIRVFGNLPYNISTPLMFHLFTFTNQISDMNFMLQKEVVNRLVAGPGSKAYGRLSVMAQYYCNVVPVLEVPPTAFAPPPKVDSAVVRLIPHKENPYPVKDIKVLSRITTQAFNQRRKTIRNSLGDLFSVEQLTELGIDPGTRAENISVEHYCKMANYLCNFSE ->ARGMiner~~~TEM-198~~~BAL68178.1~~~beta_lactam unknown +>ARGMiner~~~TEM-198~~~BAL68178.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQAIMDERNRQIAEIGASLIKHW ->ARGMiner~~~BcI~~~ZP_04072103~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04072103~~~beta_lactam~~~unknown MEGMMVLKNKRMLKIGICVGILGLSLTSLEAFTGGALQVEAKEKTGHVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRITMSDRFETALNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKALR ->ARGMiner~~~TEM-94~~~CAC85661.1~~~beta_lactam unknown +>ARGMiner~~~TEM-94~~~CAC85661.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~FOX-10~~~AGE45503.1~~~beta_lactam unknown +>ARGMiner~~~FOX-10~~~AGE45503.1~~~beta_lactam~~~unknown MQQRRAFALLTLGSLLLAPCTYASGEAPLTAAVDGIIQPMLKAYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFELDDKVSQHAPWLKGSALDGVTMAELATYSAGGLPLQFPDEVDSNDKMRTYYRSWSPVYPAGTHRQYSNPSISLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMVNYAYGYSKEDKPVRVTPGVLAAEAYGIKTGSADLLKFAEANMGYQGDAAVKSAIALTHTGFYSVGDMTQGLGWESYAYPVTEQTLLAGNAPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~KsgA~~~B7N7S6~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~B7N7S6~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDKLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTFNFGELAEKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPHPVKDVRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTGMGIDPAMRAENISVAQYCQMANYLAENALLQES ->ARGMiner~~~SHV-133~~~BAI94487.1~~~beta_lactam unknown +>ARGMiner~~~SHV-133~~~BAI94487.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDGVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~lsaB~~~NP_899166.1~~~multidrug unknown +>ARGMiner~~~lsaB~~~NP_899166.1~~~multidrug~~~unknown MSMIHVQNLTFSYPSSFDNIFEDVSFQIDTDWKLGFIGRNGRGKTTLFNLLLDKFEYRGKIISSVDFNYFPYPVEDKSKYTHEILEEICPQAEDWEFLREIAYLNVDAEAMYRPFETLSNGEQTKVLLVALFLNEGQFLLIDEPTNHLDTEARKTVSNYLRKKKGNILISHDRNFLDGSVDHILSINRADIEVQSGNYSSWKLNFDRQQGHEQATNERLQKDIGRLEQSTKRSAGWSNRVEASKNGTTNSGSKLDKGFVGHKAAKMMKRSKNLEARQQKSIEEKSKLLKNIEKTESLQFEPVEYKSKELIQLTDVSVIYDGQVVNKPISFNVEQGDRIVLDGKNGSGKSSILKLILGDPIQYTGTLNTGSNLITSYVQQDTSHLKGMLADFIEENEIDESLFKAILRKLDFDRVQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQTFNPTMVFVEHDQTFQETISTKIIKI ->ARGMiner~~~mexB~~~YP_002089536~~~multidrug unknown +>ARGMiner~~~mexB~~~YP_002089536~~~multidrug~~~unknown MSKFFIDRPIFAWVIALVIMLAGGLSILSLPVNQYPAIAPPAIAVQVSYPGASAETVQDTVVQVIEQQMNGIDNLRYISSESNSDGSMTITVTFEQGTDPDIAQVQVQNKLQLATPLLPQEVQRQGIRVTKAVKNFLMVVGVVSTDGSMTKEDLSNYIVSNIQDPLSRTKGVGDFQVFGSQYSMRIWLDPAKLNSYQLTPGDVSSAIQAQIVQISSGQLGGLPAVKGQQLNATIIGKTRLQTAEQFENILLKVNPDGSQVRLKDVADVGLGGQDYSINAQFNGSPASGIAIKLATGANALDTAKAIRQTIANLEPFMPQGMKVVYPYDTTPVVSASIHEVVKTLGEAILLVFLVMYLFLQNFRATLISTIAVPVVLLGTFGVLAAFGLLDHTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPREAARKSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVIVALILTPALCATMLKPIEKGDHGEHKGGFFGWFNRMFLSTTHGYERGVASILKHRAPYLLIYVVIVAGMIWMFTRIPTAFLPDEDQGVLFAQVQTPPGSSAERTQVVVDSMREYLLEKESSSVSSVFTVTGFNFAGRGQSSGMAFIMLKPWEERPGGENSVFELAKRAQMHFFSFKDAMVFAFAPPSVLELGNATGFDLFLQDQAGVGHEVLLQARNKFLMLAAQNPALQRVRPNGMSDEPQYKLEIDDEKASALGVSLADINSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPDARMNPDDLSKWYVRNDKGEMVPFNAFATGKWEYGSPKLERYNGVPAMEILGEPAPGLSSGDAMAAVEEIVKQLPKGVGYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSMRGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKELHEQGKGIVEAAIEACRMRLRPIVMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMVTATVLAIFWVPLFYVAVSTLFKDEASKQQASVEKGQ ->ARGMiner~~~QnrB2~~~ABO93588.1~~~quinolone unknown +>ARGMiner~~~QnrB2~~~ABO93588.1~~~quinolone~~~unknown MALALVGEKINRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEISHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRRVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~Mdr~~~ZP_03053667~~~multidrug unknown +>ARGMiner~~~Mdr~~~ZP_03053667~~~multidrug~~~unknown MNHVDKGKASTRLVVIGLLLGIFMAAMDNTIVATAMGSIVADLGSFDKFAWVTASYMVAVMAGMPIYGKLSDMYGRKRFFLFGLIFFLIGSALCGIAQTMDQLIIYRAIQGLGGGALLPIAFTIIFDIFPPEKRGKMSGMFGAVFGLSSVLGPLLGAIITDSIGWHWVFYINVPIGIVSVFLIARYYHESLEHRKQKIDWSGAITLVVAVVSLMFALELGGKSYAWDSVQILTLFAVFVVFGTIFFIVERKAEEPIISFWMFKNRLFATSQILAFLYGGTFIILAVFIPIFVQAVYGESATSAGFILTPMMIGSVIGSMIGGTMQTKVPFRRLMAISVISFFAGMLLLANMSPDTARLWLTIFMMISGFGVGFSFSLLPSASMNDLAPRYRGSANSTNSFMRSLGMTLGVTIFGTIQTNVLSNRLADAFSGMKGAPSQIGDPQAIFQEGARSQIPPDILNKVIDAMSQSITYVFLIALFPIALAAITVLFMGNARVRTSNEMKESE ->ARGMiner~~~MdtK~~~ZP_03000572~~~multidrug unknown +>ARGMiner~~~MdtK~~~ZP_03000572~~~multidrug~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVEVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~BEL-3~~~ACT09140.1~~~beta_lactam unknown +>ARGMiner~~~BEL-3~~~ACT09140.1~~~beta_lactam~~~unknown MKLLLYPLLLFLVIPAFAQADFEHAISDLEAHNQAKIGVALVSENGNLIQGYRANERFAMCSTFKLPLAALVLSRIDAGEENPERKLHYDSAFLEEYAPAAKRYVATGYMTVTEAIQSALQLSDNAAANLLLKEVGGPPLLTKYFRSLGDKVSRLDRIESTLNTNTPGDERDTTTPMSMAQTVSKLIFGDTLTYKSKGQLRRLLIGNQTGDKTIRAGLPDSWVTGDKTGSCANGGRNDVAFFITTAGKKYVLSVYTNAPELQGEERALLIASVAKLARQYVVH ->ARGMiner~~~VanSA~~~ABK86187~~~glycopeptide unknown +>ARGMiner~~~VanSA~~~ABK86187~~~glycopeptide~~~unknown MKNKNKNKKIDYSKLKRKLYQYILTIVMAAVVFVLFLRLFIQGTLGEWIVRFLENSYHLERWDAMIIYQYTIRNNIEIFIYVAVAISILILCRVMLLKFVKYFEEINTGIDILIQNVDKQIELSAEMEFMEQKLNTLKRTLEKREHDAKLAEQRKNEVVMYLAHDIKTPLTSVIGYLILLDEAPDMPREQKAKYVRITLEKAYRLEQLIDEFFEITRYNLQTITLTKKHIDLYYMLVQMTDEFYPQLAAKGKQVVLHASEDLTVFGDPDKLARVFNNILKNATAYSKDDSVIDIKADLSEDVVSIVFENAGYIPKDKLATIFEKFYRLDDARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNSTTFTVELPAFQDLVDKEGS ->ARGMiner~~~VanSA~~~ZP_03233797~~~glycopeptide unknown +>ARGMiner~~~VanSA~~~ZP_03233797~~~glycopeptide~~~unknown MKNKNKNKKIDYSKLKRKLYQYILTIVMAAVVFVLFVRLFIQGTLGEWIVRFLENSYHLERWDAMIIYQYTIRNNIEIFIYVAVAISILILCRVMLLKFVKYFEEINTGIDILIQNEDKQIELSAEMEFMEQKLNTLKRTLEKREHDAKVAEQRKNEVVMYLAHDIKTPLTSVIGYLILLDEAPDMPREQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKKYIDLYYMLVQMTDEFYPQLAAKGKQVVLHASEDLTVFGDPDKLARVFNNILKNAAAYSENDSVIDITAGLSEDVVSIVFENVGNIPKDKLATIFEKFYRLDDARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNSTTFTVELPAFQGLVDKGNS ->ARGMiner~~~tetB~~~BAC67143.1~~~tetracycline unknown +>ARGMiner~~~tetB~~~BAC67143.1~~~tetracycline~~~unknown MNSSTKIALAITLLDAMGIGLIMPVLPTLLREFIASEDIANHFGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLNNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~CMY-108~~~AGZ20169.1~~~beta_lactam unknown +>ARGMiner~~~CMY-108~~~AGZ20169.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWHILEKLQ ->ARGMiner~~~AAC(6')-30/AAC(6')-Ib'~~~CAE48335.2~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-30/AAC(6')-Ib'~~~CAE48335.2~~~aminoglycoside~~~unknown MTFLIRPVEQSDAESWERLRNLLWEGDDHKSEITQFFNGEVEEPNEVLLAVTEENDAIAHIELSLRYDIDGLTGIKTGYIEGLFVEERHRAAGVVLKLLRAAEFWARDQGCLAFASDRDDRVIIYARYTGAPPNNSLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~CTX-M-30~~~AAP43508.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-30~~~AAP43508.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~VgbB~~~AAC61670.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~VgbB~~~AAC61670.1~~~macrolide-lincosamide-streptogramin~~~unknown MNFYLEEFNLSIPDSGPYGITSSEDGKVWFTQHKANKISSLDQSGRIKEFEVPTPDAKVMCLIVSSLGDIWFTENGANKIGKLSKKGGFTEYPLPQPDSGPYGITEGLNGDIWFTQLNGDRIGKLTADGTIYEYDLPNKGSYPAFITLGSDNALWFTENQNNSIGRITNTGKLEEYPLPTNAAAPVGITSGNDGALWFVEIMGNKIGRITTTGEISEYDIPTPNARPHAITAGKNSEIWFTEWGANQIGRITNDKTIQEYQLQTENAEPHGITFGKDGSVWFALKCKIGKLNLNE ->ARGMiner~~~BacA~~~YP_302125~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_302125~~~bacitracin~~~unknown MLILELIKGIILGIVEGLTEFAPVSSTGHMILVDDMWLKSSEFLGSQSAFTFKIVIQLGSVFAGAWVFRERYFEMLHIGKYRHEPIDGIGQKPKRLNLLHIIVGMIPAGVLGLLFDDVIQEYLFSVPTVMIGLFIGAIYMIIADIYSKKVTNPRNVDQINYFQAFVIGLSQAIAMWPGFSRSGSTISTGVLMKMNHKSASDFTFIMAVPVMLAASGLSLVKNMEYIHMSDIGFYVLGFLAAFIVGLIAIKTFLYLISKIKLIPFAIYRIVLVIIIAILYFGFGIGQGITGE ->ARGMiner~~~OXA-198~~~ADT70779.1~~~beta_lactam unknown +>ARGMiner~~~OXA-198~~~ADT70779.1~~~beta_lactam~~~unknown MHKHMSKLFIAFLAFLLSVPAAAEDQTLAELFAQQGIDGTIVISSLHNGKTFIHNDPRAKQRFSTASTFKILNTLISLEEKAISGKDDVLKWDGHIYDFPDWNRDQTLESAFKVSCVWCYQALARQVGAEKYRNYLRKSVYGELREPFEETTFWLDGSLQISAIEQVNFLKKVHLRTLPFSASSYETLRQIMLIEQTPAFTLRAKTGWATRVKPQVGWYVGHVETPTDVWFFATNIEVRDEKDLPLRQKLTRKALQAKGIIE ->ARGMiner~~~BacA~~~YP_001434323~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001434323~~~bacitracin~~~unknown MGSSDLVARNEARSRNVHILTIGAIALLGIALIIAVPAAGDWWKVVILGVVEGLTEFLPISSTGHLLIVSSLLDFEGSLGGTFEIFIQLGAVLAVVGYYAVDLLHQARQAPRDPQTRRFWLAIVLAFIPAAVTGLALHDWIKAVLFSPTVIGIALITGGVVLIIVERLPRGAATIHDATHLSLRQALGIGIAQALALTPGVSRSAASIIGGMLVGLDRRAATTFSFYLAIPTLGAATVVDLLTSLDQVTPSDVGRLFLGLVVSLIVAWLSIGWLLRYVANHSFVAFGIYRIVAGLIVLALVALGRL ->ARGMiner~~~SHV-189~~~AJO16047.1~~~beta_lactam unknown +>ARGMiner~~~SHV-189~~~AJO16047.1~~~beta_lactam~~~unknown MRYFRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGSVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~smeF~~~CAC14596.1~~~multidrug unknown +>ARGMiner~~~smeF~~~CAC14596.1~~~multidrug~~~unknown MEVIPMKSASLFLSIAATLALAGCSTLAPKNTAVAPAIPAQWPAEAAQGEVADVAAVGWRDFFTDARLQQVIEQSLQNNRDLRVAVLNVERARGQYRVQRADRVPGVAVTGQMDRRGTDAGVTEQFSAGVGVAEFELDLFGRVRNLSEAALQQYFAVAANRRNAQLSLVAETATAWLTYGADAQRLKIADATLKTYEDSLRLAEARHERGGSSALELTQTRTLVETARTDAARLRGQLAQDRNALALLAGGQLDPALLPDSIEPQLLALAPPPAGLPSDVLLQRPDIMAAEHQLLAANANIGAARAAFFPSISLTGSIGSGSSELSNLFDSGTRVWSFLPKITLPIFQGGKLRANLAIANADRDIALAQYEKSIQVGFRETADALALNVSLDEQVSSQQRLVEAAEQANRLSQARYDAGLDSFVTLLDARRTAYNAQQTQLQAQLAQQANRITLYKVLGGGWHERG ->ARGMiner~~~ceoB~~~ZP_02889083~~~multidrug unknown +>ARGMiner~~~ceoB~~~ZP_02889083~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVIILLGGVIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDNRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRGLSAEDVVQSIREQNVQVAAGVIGASPSLPGTPLQLSVNARGRLQTEDEFGDIVVKTTPDGGVTHLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLQISDEVRKTMAELKQDMPAGIDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASLIPLIAVPVSIIGTFSLLLGFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIESGMNARQATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFKRFNKVFHRGAENYGRGVRGVLSRKTLMLGVYLVLVGATVLVSKIVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGSIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFSERHGKALSAGAIAGALNQQYGAIKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYAKLSDATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVPVTDVFNTMQVYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNDKGEMVPLSSLVTVTPTFGPEMVVRYNGYTAADINGGPAPGFSSGQAQAAVERIAHETLPRGVRFEWTDLTYQQILAGDSAMWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGRTPLEAAIEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGIAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAGKDSAGYGVSPSGASGSGVPATDA ->ARGMiner~~~Bmr~~~AAA22277.1~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~Bmr~~~AAA22277.1~~~multidrug~~~Multi-drug efflux pumps MEKKNITLTILLTNLFIAFLGIGLVIPVTPTIMNELHLSGTAVGYMVACFAITQLIVSPIAGRWVDRFGRKIMIVIGLLFFSVSEFLFGIGKTVEMLFISRMLGGISAPFIMPGVTAFIADITTIKTRPKALGYMSAAISTGFIIGPGIGGFLAEVHSRLPFFFAAAFALLAAILSILTLREPERNPENQEIKGQKTGFKRIFAPMYFIAFLIILISSFGLASFESLFALFVDHKFGFTASDIAIMITGGAIVGAITQVVLFDRFTRWFGEIHLIRYSLILSTSLVFLLTTVHSYVAILLVTVTVFVGFDLMRPAVTTYLSKIAGNEQGFAGGMNSMFTSIGNVFGPIIGGMLFDIDVNYPFYFATVTLAIGIALTIAWKAPAHLKAST ->ARGMiner~~~TEM-75~~~AAN05028.1~~~beta_lactam unknown +>ARGMiner~~~TEM-75~~~AAN05028.1~~~beta_lactam~~~unknown FFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNR ->ARGMiner~~~TEM-110~~~AAL68923.1~~~beta_lactam unknown +>ARGMiner~~~TEM-110~~~AAL68923.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~arnA~~~B7NNT4~~~polymyxin unknown +>ARGMiner~~~arnA~~~B7NNT4~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLIHDKILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKDGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKQS ->ARGMiner~~~SHV-93~~~ABN49110.1~~~beta_lactam unknown +>ARGMiner~~~SHV-93~~~ABN49110.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGTVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIDDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~tetM~~~AAO24820~~~tetracycline unknown +>ARGMiner~~~tetM~~~AAO24820~~~tetracycline~~~unknown MKIINIGVLAHVDAGSTCRHASLLYTSGAIAESGSVDTGTTRTDTTFLERQRGITIQTAVTSFQWKDIKVNIIHTPGHMDFLAKVYRSLSVLDGAILLISAKDGVQAQTRILFHALNKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSMEIIIKQKVELHPNMCVMSCTEPEQWDVVIEGNDYLLEKYTLGKSLEILELEQEEIRRFQNCSLYPVYHGSAKSNIGIEQLIEVITNKFYSSTYRKKSELCGNVFKIEYSEERQRLAYVRLYGGILHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQKERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLQYYVDSTTHEIILSFLGKVQMEVTCALLQEKYHVEVKIKKPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRNDLTFFTNGRSVCLTELKGYYVTTGESVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~AAC(3)-Id~~~AAR21614.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Id~~~AAR21614.1~~~aminoglycoside~~~unknown MSVEIIHLTGNDVALLQSINAMFGEAFNDQDSYARNKPSSSYLQKLLSTSSFIALAAVDEQKVIGAIAAYELQKFEQQRSEIYIYDLAVAATRRREGIATALIKKLKAIGAARGAYVIYVQADKGVEDQPAIELYKKLGTIEDVFHFDIAVEQSKNHA ->ARGMiner~~~Bcr~~~ZP_03059695~~~multidrug unknown +>ARGMiner~~~Bcr~~~ZP_03059695~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALANTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILALAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCASSSILFCLYASRPKKR ->ARGMiner~~~mdtl~~~A0A029LAY4~~~multidrug multi-drug efflux pumps +>ARGMiner~~~mdtl~~~A0A029LAY4~~~multidrug~~~multi-drug efflux pumps MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMATAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAIMGIAVLMLSLFILKETRPAAPAASDKSRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLTVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPAAAHEEIHHHA ->ARGMiner~~~CAU-1~~~CAC87665.1~~~beta_lactam unknown +>ARGMiner~~~CAU-1~~~CAC87665.1~~~beta_lactam~~~unknown MKRLILAAAASLLALASAAHADDMPANWTKPTKPYRVVGNIYYVGTEGISSWLITSSEGHVVLDGGPNAETGKLVERNITALGFQLADVKILINTHAHYDHAGGLAQLKADTGAKLWISRDDAPAMAAGHHIGDNIYGPTPMPAAKPDRSFGDQTKLKLGEIAMVAHLTPGHTIGCTSWTTAVVEKGRPLTVTFPCSLSVAGNVLVGNKTHRTIVADYRASFAKLRAIPTDVMLPAHEEQGNLLAKRQKQLRGDPNAFVDPGELARFVDASEAAFNKELARQQAAGPNR ->ARGMiner~~~CMY-24~~~ABN69070.1~~~beta_lactam unknown +>ARGMiner~~~CMY-24~~~ABN69070.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVYVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~catB9~~~ZP_04417104~~~chloramphenicol unknown +>ARGMiner~~~catB9~~~ZP_04417104~~~chloramphenicol~~~unknown MNFFTSPFSGSPLDQQVTNPNIIVGKHSYYSGYYHGHSFDDCARYLHPERDDVDKLVIGSFCSIGSGAVFMMAGNQGHRSDWISTFPFFYQDNDNFADARDGFTRSGDTIIGHDVWIGTEAMIMPGVKIGHGAIIASRSVVTKDVAPYEVVGSNPAKHIKFRFSDVEIAMLLEMAWWNWPESWLKESMQSLCSSDIEELYLYWQSKART ->ARGMiner~~~acrB~~~YP_002225584~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_002225584~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVSSSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~OXA-178~~~ADI58622.1~~~beta_lactam unknown +>ARGMiner~~~OXA-178~~~ADI58622.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDSKKRLFPEWEKDMTLGDAMKASAILVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~BacA~~~ZP_04060511~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04060511~~~bacitracin~~~unknown MIIIEFIKALILGIVEGLTEFAPVSSTGHMILVDDMWLQSSQFLGSHSAFTFKIVIQLGSVFAAAWVFRERYFEMLHIGKYKHVAHSEGMRSKPRRLNLLHVLVGMIPAGILGVLFDDFIEAHLFSVPTVMIGLFIGAIYMIIADKYSTKVKHPQTVDQINYFQAFVIGISQAVAMWPGFSRSGSTISTGVLMKLNHKSASDFTFIMAVPIMLAASTLSLLKNYQYIDLAHVPFYIIGFLAAFIVGLIAIKTFLHLINKVKLVPFAIYRIVLVIFIAILYFGFGIGKGI ->ARGMiner~~~OXA-77~~~AAX51233.1~~~beta_lactam unknown +>ARGMiner~~~OXA-77~~~AAX51233.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLPRRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CMY-41~~~BAG14343.1~~~beta_lactam unknown +>ARGMiner~~~CMY-41~~~BAG14343.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAATKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEEKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDEVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~TolC~~~Q54001~~~multidrug unknown +>ARGMiner~~~TolC~~~Q54001~~~multidrug~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTVRNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTPSTGISDTSYSGSKTNAAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDCAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~smeC~~~YP_002705657~~~multidrug unknown +>ARGMiner~~~smeC~~~YP_002705657~~~multidrug~~~unknown MKPMLLRALAAATMTTVLGGCVSMAPRYQRPEAPVPVQFGNAATSETDSALAMPAWREVFVEPRLQQAIALALQNNRDLRVAVLQVEKERAQYRIQRAALLPSVDASGSVTRSRVSDANSETGTTQITEADAVQVGISSWELDLFGRIRSLNNEALQNWLASAENQRAARTSLVAEVATAWLALAADGQSLAFTQQTLDSQQQTLQRTEARHAQGLASGLDLSQVQTSVEAARGALARLQTQQAQDRDALQLLVGAPLDAALLPSAHVLDGSVALAPLPADLPSSVLLQRPDVLSAEHALQAANADIGAARAAFFPTLTLTANYGHSSTALSTLFSAGTRGWSFAPSITAPIFHAGALKASLDASKIGKDIGIAQYEKAIQQAFSEVADALATRDHLATQMDAQRALVAASQRSYTLADARYRTGLDGYLQALDAQRSLYTAQQDLIALQQQEAGNRVTLFKVLGGGADAR ->ARGMiner~~~OXA-181~~~AEP16366.1~~~beta_lactam unknown +>ARGMiner~~~OXA-181~~~AEP16366.1~~~beta_lactam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIAAWNRDHDLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATQQIAFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~acrA~~~ZP_03281411~~~multidrug unknown +>ARGMiner~~~acrA~~~ZP_03281411~~~multidrug~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQAEQGAQQMPEVGVVTLKSEPLQMTTELPGRTSAYRIAEVRPQVSGIILKRNFTEGGDVKAGESLYQIDPATYQASYESAKGDLAKAEAAAKISQLTLNRYKKLLGTQYISQQDYDTALADAQQTNAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQTNALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVELVSNDGIKFPQAGTLEFSDVTVDQTTGSITLRAIFPNPDKNLLPGMFVRARLEEGTNPNALLVPQQGVTRTPRGDASALVVGADDKVETRNITATQAIGDKWLVTDGLKAGDRVIITGLQKVRPGAQVKAQEVKSDDKQQAAAGGQSEQTKS ->ARGMiner~~~PmrA~~~YP_002037607~~~quinolone unknown +>ARGMiner~~~PmrA~~~YP_002037607~~~quinolone~~~unknown MTEINWKDNLRIAWFGNFLTGASISLVVPFMPIFVENLGVGSQQVAFYAGLAISVSAISAALFSPIWGILADKYGRKPMMIRAGLAMTITMGGLAFVPNIYWLIFLRLLNGVFAGFVPNATALIASQVPKEKSGSALGTLSTGVVAGTLTGPFIGGFIAELFGIRTIFLLVGSFLFLAAILTICFIKEDFQPVAKEKAIPTKELFTSVKYPYLLLNLFLTSFVIQFSAQSIGPILALYVRDLGQTENLLFVSGLIVSSMGFSSMMSAGVMGKLGDKVGNHRLLVVAQFYSVIIYLLCANASSPLQLGLYRFLFGLGTGALIPGVNALLSKMTPKAGISRVFAFNQVFFYLGGVVGPMAGSAVAGQFGYQAVFYATSLCVAFSCLFNLIQFRTLLKVKEI ->ARGMiner~~~MUS-2~~~WP_063860852~~~beta_lactam unknown +>ARGMiner~~~MUS-2~~~WP_063860852~~~beta_lactam~~~unknown MHRILSVITMLICTTLVHAQSDKLKIKQLNDNMYIYTTYQEFQGVTYSSNSMYVLTDEGAILIDTPWDKDQYEPLLEYIRSNHNKEVKWVITTHFHEDRSGGLGYFNSIGAQTYTYALTNEILKERNEPQAQYSFNKEKQFTFGNEKLAVYFLGEGHSLDNTVVWFPKEEVLYGGCLIKSAEATTIGNIADGNVIAWPKTIEAVKQKFKNAKVIIPGHDEWDMIGHIENTERILSAYNQQHSTKND ->ARGMiner~~~mexD~~~CAW29737~~~multidrug unknown +>ARGMiner~~~mexD~~~CAW29737~~~multidrug~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~mdtG~~~ZP_03836245~~~multidrug unknown +>ARGMiner~~~mdtG~~~ZP_03836245~~~multidrug~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGLAQNIWQFLVLRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFILTLFFIRENFQPVSKKEMLHIREVVASLKKPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNAIYSWNSLRRRRSAELAG ->ARGMiner~~~OXA-223~~~AEL88491.1~~~beta_lactam unknown +>ARGMiner~~~OXA-223~~~AEL88491.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEYHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~AcrB~~~CAG74079~~~multidrug unknown +>ARGMiner~~~AcrB~~~CAG74079~~~multidrug~~~unknown MAKFFIDRPIFAWVLAIMVMLTGLLAIVKLPIAQYPTIAPPAIEITANYPGADASTLQDSVTQVIEQNMNGIDNLMYMSSSSDSSGTVQITLTFEASTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVNVQKSSSSFLMVAAFISEDGKMSQEDIADYVAANVKDPISRTSGVGDAQLFGSQYAMRIWLDPNKLNNYQLTSGDVSAAIRVQNNQIAAGQLGGAPPVPGQQLNASIIAQTRLNSAEEFSKILLKVNADGSQVRLKDVARVELGAEGYDVIARFNGKPAAGIGIKLATGANALDTANAVKDALTKAEEFFPSGLKVVYPYDTTPFVKISINEVVKTLVEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSAFGYSINTLTMFAMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATKRSMEQIQGALVGIALVLSAVFVPMAFTGGSTGAIYRQFSITIVSAMVLSVLVALILTPALCATLLKPIAKGDHGEKKGFFGWFNRLFEKSTQHYTDSVANILRSTGRYLVIYLLIVVGLALLFLRLPTSFLPDEDQGVLLNIVQLPSGATQENTQKIMDRMTQYYLDNEKGNVKSVFTVTGFGFSGRGQNAGLAFASLNDWSERSGAENKVMAIAGRANAAFSQYKEAMVFAVNLPAIIELGTATGFDFQLIDQANLGHAKLTEARNQLLGMAAQRPDTLVQVRPNGMEDTPQFRLDIDQEKAQALGVSLSDISSTLATTLGGSYVNDFIDRGRVKKVYVQADAPFRMLPDDIKNWYIRGSNGQMVPFSAFTQSHWEYGSPRLERYNGQPSMQIQGEAAPGKSSGDAMALMEEFVTKLPQGIGYQWTGMSYQERLSGNQAPAIYAISLIVVFLCLAALYESWSIPFSVMLVVPLGIIGALIAANMTGLENDVYFKVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSKKVDDTTAH ->ARGMiner~~~blt~~~AAC36944.1~~~quinolone unknown +>ARGMiner~~~blt~~~AAC36944.1~~~quinolone~~~unknown MKKSINEQKTIFIILLSNIFVAFLGIGLIIPVMPSFMKIMHLSGSTMGYLVAAFAISQLITSPFAGRWVDRFGRKKMIILGLLIFSLSELIFGLGTHVSIFYFSRILGGVSAAFIMPAVTAYVADITTLKERSKAMGYVSAAISTGFIIGPGAGGFIAGFGIRMPFFFASAIALIAAVTSVFILKESLSIEERHQLSSHTKESNFIKDLKRSIHPVYFIAFIIVFVMAFGLSAYETVFSLFSDHKFGFTPKDIAAIITISSIVAVVIQVLLFGKLVNKLGEKRMIQLCLITGAILAFVSTVMSGFLTVLLVTCFIFLAFDLLRPALTAHLSNMAGNQQGFVAGMNSTYTSLGNIFGPALGGILFDLNIHYPFLFAGFVMIVGLGLTMVWKEKKNDAAALN ->ARGMiner~~~CTX-M-49~~~AAV97954.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-49~~~AAV97954.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGRRLGVPLIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~BcII~~~ZP_04079630~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04079630~~~beta_lactam~~~unknown MKERVEKMKNTLLKLGVCVSLLGITPFVSTISSVQAERTVEHKVIKNETGTISISQLNKNIWVHTELGYFNGEAVPSNGLILNTSKGLVLVDSSWDDKLTKELIEMAEKKFKKSVTDVIITHAHADRIGGIKTLKERGIKTHSTTLTAELAKKNGYEEPLGVLQAITKLKFGNMKVETFYPGKGHTEDNIVVWLPQYNMLVGGCLVKSASAKDLGNITDAYVNEWSTSIENVLKRYENINFVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~KHM-1~~~BAF91108.1~~~beta_lactam unknown +>ARGMiner~~~KHM-1~~~BAF91108.1~~~beta_lactam~~~unknown MKIALVISFGLLLFTNMVCADDSLPELDIQKIEDGVYLYTAYEKIEGWGLVGSNGLVVLDNKNAYLIDTPISATDTEKLVKWIDAQGFTAKASISTHFHTDSTGGIAFLNSKSIPTYASKLTNQLLKNKGEEQATHSFGKNPYWLLKNKIEAFYPGAGHTPDNLVVWLPKQKILFGGCFVKPEGLGNLSHAVIAEWPASAEKLIARYSNATMVVPGHGKVGDASLLEKTRQRAVEALAAKK ->ARGMiner~~~vanE~~~AAL27442.1~~~glycopeptide unknown +>ARGMiner~~~vanE~~~AAL27442.1~~~glycopeptide~~~unknown MKTVAIIFGGVSSEYEVSLKSAVAIIKNMESIDYNVMKIGITEEGHWYLFEGTTDKIKKDRWFLDESCEEIVVDFAKKSFVLKNSKKIIKPDILFPVLHGGYGENGAMQGVFELLDIPYVGCGIGAAAISMNKIMLHQFAEAIGVKSTPSMIIEKGQDLQKVDAFAKIHGFPLYIKPNEAGSSKGISKVERKSDLYKAIDEASKYDSRILIQKEVKGVEIGCGILGNEQLVVGECDQISLVDGFFDYEEKYNLVTAEILLPAKLSIDKKEDIQMKAKKLYRLLGCKGLARIDFFLTDDGEILLNEINTMPGFTEHSRFPMMMNEIGMDYKEIIENLLVLAVENHEKKLSTID ->ARGMiner~~~mdtF~~~YP_002847505~~~multidrug unknown +>ARGMiner~~~mdtF~~~YP_002847505~~~multidrug~~~unknown MANFFIERPVFAWVLAIIMMFTGGIAIMNLPVAQYPQIAPPTITISAAYPGADAKTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASIILTFKTGTSPDIAQVQVQNKLQLAMPSLPQEVQQQGISVDKSSSNILMVAGFISDNNSLSQYDIADYVASNIKDPLSRTAGVGSVQLFGSQYAMRIWLDPQKLDKYNLTPEDVITQLKVQNNQISGGQLGGMPQSADQQLNASIIVQTRLQTTDEFGKIFLKVQQDGSQVLLRDVARIELGAENYATVARYNGKPAAGIAIKLAAGANALATSQAVKQELNRLSAWFPASMKTVYPYDTTPFIEISIQGVFHTLIEAIILVFLVMYLFLQSFRATLIPTIAVPVVILGTFAILDVAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERIIAEEHLSPKAATHKAMGQLQRALVGIAVVLSAVFMPMAFMSGATGEIFRQFSITLISSMLLSVFVAMSLTPALCAMLLKSHEGEKENTHFLFTRFNHFMEKCTQHYTDSTRRLLRRTGRYMVVYLVIGAGMIVLFLRTPTSFLPEEDQGVFMTTAQLPSGSTMVNTSKVLGEITDYYLTKEQKNVASVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIRRAMMALSTINNAVVYPFNLPAVAELGTASGFDMELLDNGNLGHEKMMQARNQLLALANQSSGEVDGVRPNGLEDTPMFRIHVNAKKAEAMGVALSDINQTISTAFGSRYVNDFLNQGRVKKVYVQADTPFRMLPDNINHWYVRNASGSMAPLSAYSSTEWTYGSPRLERYNGQPAMEILGQPVEGKSSGDAMKFMASLINKLPAGVGYAWTGLSYQEALSTNQAPMLYGISLIVVFLALAALYESWSIPFSVMLVVPIGVVGALLATDLRGLSNDVYFQVGLLTTMGLSAKNAILIVEFAVEIMQKEGKTPLEAAVEAAQMRLRPILMTSLAFILGVIPLAISNGAGSGAQNAVGTGVIGGMLAATVLAIYFVPVFFVLVENTLARFKARR ->ARGMiner~~~VIM-2~~~ABR10840.1~~~beta_lactam unknown +>ARGMiner~~~VIM-2~~~ABR10840.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~BacA~~~ZP_01723236~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_01723236~~~bacitracin~~~unknown MEIIELLKALILGFVEGMTEFAPVSSTGHMIIVDDMWLKTEEFLGKYPANTFKIVVQLGSILAVIVVMWKRMLSLVGLYKIDGQSQSINRRFNLLHVIVGMLPAVVLGFAFKDFIDDHLFKVEHVVYALVAGAILMIAADKLAPKKPKVDSLDKISYGLAFKVGLVQCLSLWPGFSRSGATISGGVLFGMSHRVAADFTFIMAVPIMAGASLVSVLKNWDTLSMDYFGFYAVGFISSFIFALLSIKFFLALISKVKLMPFAIYRLVLAAVLCVIIFM ->ARGMiner~~~MacB~~~NP_455432~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~NP_455432~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-17~~~CAA74912.2~~~beta_lactam unknown +>ARGMiner~~~TEM-17~~~CAA74912.2~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~PDC-8~~~ACQ82813.1~~~beta_lactam unknown +>ARGMiner~~~PDC-8~~~ACQ82813.1~~~beta_lactam~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~TEM-167~~~ACJ04051.1~~~beta_lactam unknown +>ARGMiner~~~TEM-167~~~ACJ04051.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSVLPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~QnrB57~~~AFR46588.1~~~quinolone unknown +>ARGMiner~~~QnrB57~~~AFR46588.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGNLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~MdtM~~~YP_002640141~~~multidrug unknown +>ARGMiner~~~MdtM~~~YP_002640141~~~multidrug~~~unknown MTVLSFAIPSWGSPTWSAFFMQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGRTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~mdtG~~~YP_001570871~~~multidrug unknown +>ARGMiner~~~mdtG~~~YP_001570871~~~multidrug~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFQPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLQRRRLATE ->ARGMiner~~~BL1_ec~~~YP_002806354~~~beta_lactam unknown +>ARGMiner~~~BL1_ec~~~YP_002806354~~~beta_lactam~~~unknown MGFLRSGCYPDSCHADWCRYNLTHRQCKSGPPMAGRFVWKPDPMFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~TEM-95~~~CAC67290.1~~~beta_lactam unknown +>ARGMiner~~~TEM-95~~~CAC67290.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGAKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~catQ~~~AAA23215.1~~~chloramphenicol unknown +>ARGMiner~~~catQ~~~AAA23215.1~~~chloramphenicol~~~unknown MKFNLIDIEDWNRKPYFEHYLNAVRCTYSMTANIEITGLLREIKLKGLKLYPTLIYIITTVVNRHKEFRTCFDQKGKLGYWDSMNPSYTVFHKDNETFSSIWTEYDENFPRFYYNYLEDIRNYSDVLNFMPKTGEPANTINVSSIPWVNFTGFNLNIYNDATYLIPIFTLGKYFQQDNKILLPMSVQVHHAVCDGYHISRFFNEAQELASNYETWLGEK ->ARGMiner~~~bacA~~~B7NJS0~~~bacitracin unknown +>ARGMiner~~~bacA~~~B7NJS0~~~bacitracin~~~unknown MSDMHSLLIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQHEGESKGRLTLIHILLGMIPAVVLGLLFHDTIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATALDLYKSWGFLTNGDIPMFAVGFITAFVVALIAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~BcrA~~~ZP_04081918~~~bacitracin unknown +>ARGMiner~~~BcrA~~~ZP_04081918~~~bacitracin~~~unknown MSAIIKTTNLTKIYGNQKSVDNLNITVNQGEIYGFLGRNGAGKTTTIRMLLGLIKPTHGKIEIFGEDLFKNQKEILKRIGSIVEVPGFYENLTARENLLINAKIIGIHKKNAIDEALEIVGLQHETKKLVGKYSLGMKQRLGIARSLLHYPELLILDEPTNGLDPIGIKEIRRLIKNLAKERKITLLISSHILSEIEQLVDHMGIIHEGKLLEEIDFNSLRKKNRKYIEFQVSNDNKATTILEKNFDIFDYEVHDEGIIRVYSKLGQQGDINKIFVQNDIQVLKILMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~CTX-M-28~~~CAD70280.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-28~~~CAD70280.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~H-NS~~~NP_309766.1~~~multidrug unknown +>ARGMiner~~~H-NS~~~NP_309766.1~~~multidrug~~~unknown MSEALKILNNIRTLRAQARECTLETLEEMLEKLEVVVNERREEESAAAAEVEERTRKLQQYREMLIADGIDPNELLNSLAAVKSGTKAKRAQRPAKYSYVDENGETKTWTGQGRTPAVIKKAMDEQGKSLDDFLIKQ ->ARGMiner~~~mepR~~~YP_001440920.1~~~tetracycline unknown +>ARGMiner~~~mepR~~~YP_001440920.1~~~tetracycline~~~unknown MEFTYSYLFRMISHEMKQKADQKLEQFDITNEQGHTLGYLYAHQQDGLTQNDIAKALQRTGPTVSNLLRNLERKKLIYRYVDAQDTRRKNIGLTTSGIKLVEAFTSIFDEMEQTLVSQLSEEENEQMKANLTKMLSSLQ ->ARGMiner~~~vanSL~~~ABX54692.1~~~glycopeptide unknown +>ARGMiner~~~vanSL~~~ABX54692.1~~~glycopeptide~~~unknown MKSKAETTTIKQILIKYLVTIGLSMLAYLVFLLTILIIMRNFVWDGTEPIYRVLHFFYRLFNFEGILIIGVILILFVVTLFFVMKIIGYLKQIIEATKQLLEKPEQRVKLSSGLFELQEEMNQLREKNNADNRAAKEAEKRKNDLIVYLAHDLRTPLTSVIGYLTLLKEEPEISVQTRAKYTNIALSKAFRLEELLSEFFDVTRFNLTNLTINEELVDLSVMLEQISYEFLPILEEKKLSWNLHVESNIKSLLDPGKMERVFDNLMRNAINYSFEDTIIDLSLEKKESQAIFKITNRTYTIPKEKLEKIFEPFYRMDTSRSSSTGGTGLGLPIVREIIEASKGTINVSSSNNEMTFIIYLPYID ->ARGMiner~~~BL3_l~~~YP_001972437~~~beta_lactam unknown +>ARGMiner~~~BL3_l~~~YP_001972437~~~beta_lactam~~~unknown MRFTLLAFALAVALPAVHASAAEAPLPQLRAYTVDASWLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAVGSKASAEALTCNAYADAAEKKFDAQLARETAGTR ->ARGMiner~~~ceoB~~~ZP_02371789~~~multidrug unknown +>ARGMiner~~~ceoB~~~ZP_02371789~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVVILLAGMIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPNDSYDMTYLRNYALINVKDRLSRIQGVGQVQLWGAGDYAMRVWLDPQKVAQRNLTADDVVRAIREQNVQVAAGVIGASPTLPGTPLQLSVNARGRLQNEDEFGDIVVKTAPDGGVTRLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLAISDEVRKTMAELKQDFPAGVDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASIIPLIAVPVSIVGTFSLLLLFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIENGLTARAATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRGFNKVFHRGAEHYGRGVRGVLSRKAVMLGVYLVLVGATLMVSKIVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGAIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFDQRHGKALSAGAIAGALNQKYAAIKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYARLADATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVNVTDVFDTMQIYLGSLYVNDFNRFGRVYQVRVQADAPFRQHADDILQLKTRNAAGEMVPLSSLVTVSPTFGPEMVVRYNAYTAADVNGGPAPGYSSGQAQAAVERIAAQTLPRGVKFEWTDLTYQQILAGDSAFWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGKTPFEAAVEASRLRLRPILMTSIAFIMGVVPLVLSTGAGAEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAQKDSAGYGVPAPDA ->ARGMiner~~~MdfA~~~YP_002636476~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_002636476~~~multidrug~~~unknown MQNRLQSGGRLGRQALLPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLATLLAKNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFILFAALAAIAFFGLQRAMPETATRRGETLSFKALGRDYRLVIKNRRFVAGALALGFVSLPLLAWIAQSPIIIISGEQLSSYEYGLLQVPVFGALIAGNLVLARLTSRRTVRSLIVMGGWPIVAGLIIAAAATVVSSHAYLWMTAGLSVYAFGIGLANAGLVRLTLFSSDMSKGTVSAAMGMLQMLIFTVGIEVSKHAWLSGGNGLFSLFNLANGILWLLLMLVFLKDKRTGNLQTV ->ARGMiner~~~OXA-16~~~AAB97924.1~~~beta_lactam unknown +>ARGMiner~~~OXA-16~~~AAB97924.1~~~beta_lactam~~~unknown IACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQITREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEDQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~FosB~~~YP_002445444~~~fosfomycin unknown +>ARGMiner~~~FosB~~~YP_002445444~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFEYLIQRLEENDVHILKGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~OXY-1-4~~~AAL78276.1~~~beta_lactam unknown +>ARGMiner~~~OXY-1-4~~~AAL78276.1~~~beta_lactam~~~unknown MLKSSWRKTALMAAAVPLLLASGSLWASADAIQQKLADLEKRSGGRLGVALINTADDSQTLYRGDERFAMCSTGKVMAAAAVLKQSESNPEVVNKRLEIKKSDLVVWSPITEKHLQSGMTLAELSAAALQYSDNTAMNKMISYLGGPEKVTAFAQSIGDVTFRLDRTEPALNSAIPGDKRDTTTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPASWVVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKSRKEVLAAAAKIVTEGL ->ARGMiner~~~oprJ~~~AAB41958.1~~~multidrug unknown +>ARGMiner~~~oprJ~~~AAB41958.1~~~multidrug~~~unknown MRKPAFGVSALLIALTLGACSMAPTYERPAAPVADSWSGAAAQRQGAAIDTLDWKSFIVDAELRRLVDMALDNIRSLRQTLLDIEAARAQYRIQRADRVPGLNAAATGNRQRQPADLSAGNRSEVASSYQVGLALPEYELDLFGRVKSLTDAALQQYLASEEAARAARIALVAEVSQAYLSYDGALRRLALTRQTLVSREYSFALIDQRRAAGAATALDYQEALGLVEQARAEQERNLRQKQQAFNALVLLLGSDDAAQAIPRSPGQRPKLLQDIAPGTPSELIERRPDILAAEHRLRARNADIGAARAAFFPRISLTGSFGTSSAEMSGLFDGGSRSCSFLPTLTLPIFDGGRNRANLSLAEARKDSAVAAYEGTIQTAFREVADALAASDTLRREEKALRALANSSNEALKLAKARYESGVDNHLRYLDAQRSSFLNEIAFIDGSTQRQIALVDLFRALGGGWDEGRSLVVHRGGRS ->ARGMiner~~~tetA~~~ZP_02961649~~~tetracycline unknown +>ARGMiner~~~tetA~~~ZP_02961649~~~tetracycline~~~unknown MIEKSEMNSSTKIALVITLLDAMGIGLIMPVLPTLLREFIASEDIANHFGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNADTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISKGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLTNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~AdeA~~~ZP_04661877~~~multidrug unknown +>ARGMiner~~~AdeA~~~ZP_04661877~~~multidrug~~~unknown MQKHLLLPLFLSIGLVIQGCSSEEAKQPEVPPAKVSVLSLKPQSVNFSENLPARVQAFRTAEIRPQVGGIIEKVLFTQGSEVKAGQALYKINAETFQADVNSNKASLNKAEAEVARLKVQLERYEQLLPSNAISKQEVSNAQAEYRQALADVAQMKALLARQNLNLQYATVRAPISGRIGQSFVTEGALVSQGDTNTLATIQQIDKVYVDVKQSIGEYERLQTALQNGELSANSDKTVRISNSHGQFYNVSAKMLFEDINVDPETGDVTIRIVVNNPERKLLPGMYVRVNIDRASVPQALLVPSQAIQRNNNGDPQVYVINAKGLADIRPIELGQQYEQFYLVNKGLKVGDKVIVEGIDRIQPNQKLEISKWKAPITQGAQ ->ARGMiner~~~AmrB~~~ZP_02909221~~~multidrug unknown +>ARGMiner~~~AmrB~~~ZP_02909221~~~multidrug~~~unknown MARFFIDRPVFAWVIALFIMLGGAFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTALIEREMNGAPGLLYTSATSSAGAASLYLTFKQGVNADLAAVEVQNRLKTVEARLPEPVRRDGIQVEKAADNIQLVVSLTSEDGRMTAVQLGEYASANVVQALRRVDGVGKVQFWGAEYAMRIWPDPVKLAGHGLTASDIASAVRAHNARVTVGDIGRSAVPDSAPIAATVFADAPLKTPADFGAIALRTQPDGSALHLRDVARIEFGGNDYNYPSYVNGKVATGMGIKLAPGSNAVATEKRVRATMDELSAYFPPGVKYQIPYETSSFVRVSMNKVVTTLIEAGVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGVMYAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEGLAPYDATVKAMRQISGAIIGITVVLTSVFVPMAFFGGAVGNIYRQFALSLAVSIGFSAFLALSLTPALCATLLKPVSGDHHEKRGFFGWFNGFVARSTQRYATRVGAMLKKPLRWLVVYGALTAAAAFMLTQLPSAFLPDEDQGNFMVMVIRPQGTPLAETMQSVREVESYIRRDEPAAYTFALGGFNLYGEGPNGGMIFVTLKNWKERKAERDQVQAIVARINARFAGTANTTVFAMNSPALPDLGSTSGFDFRLQNRSGLDYAAFSAAREQLLAAGGKDPALTDVMFAGTQDAPQLKLDIDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVIVQADGLHRLDPADVKKLRVRNASGEMVPLAAFATLHWTLGPPQLTRYNGYPSFTINGSAAPGHSSGEAMAAIERLAAKLPAGIGHAWSGQSFEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVLGVTLRAMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLVDAALEAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQMAIGTGVLGGVITATVLAVFLVPLFFVIVGRLFEVGPRRRGGSQPATMEGSQ ->ARGMiner~~~MSI-1~~~AJP77057~~~beta_lactam unknown +>ARGMiner~~~MSI-1~~~AJP77057~~~beta_lactam~~~unknown MGARSCSRAWCCSRATRSAPPGRRSGRLSCARCRRPSTGCNPSSTPPITGDIMKHIRLACVAAGLVAAASSVSAIGADWNAPQEPFALFGNSYYVGPHGVASVLITSNQGHILIDGGSDKSPPQIAARIRQLGFKPEDIRFILVSHEHIDHAGGVAELQRLSNAEVLAGAAAVPVLHSGEAGRNDPQYGGLPKMAPVARVRAVRDGEVVKLGPLAVTMHATPGHTQGGASWTWQSNEGGRTANMVYADSLTAFGSGGFRYSGDARYPSARADVERSIAKVAALPCDILVSAHPEVSELWTRYERRAAQGNAAFIDAGACKAYAVKARVKLQEQLARETAKP ->ARGMiner~~~CMY-9~~~BAB72158.1~~~beta_lactam unknown +>ARGMiner~~~CMY-9~~~BAB72158.1~~~beta_lactam~~~unknown MQQRQSILWGAVATLMWAGLAHAGEASPVDPLRPVVDASIQPLLKEHRIPGMAVAVLKDGKAHYFNYGVANRESGASVSEQTLFDIGSVSKTLTATLGAYAVVKGAMQLDDKASRHAPWLKGSVFDSITMGELATYSAGGLPLQFPEEVDSSEKMRAYYRQWAPVYSPGSHRQYSNPSIGLFGHLAASSLKQPFAQLMEQTLLPGLGMHHTYVNVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANIGGVDDKALQQAISLTHKGHYSVGGMTQGLGWESYAYPVTEQTLLAGNSAKVILEANPTAAPRESGSQVLFNKTGSTNGFGAYVAFVPARGIGIVMLANRNYPIPARVKAAHAILAQLAG ->ARGMiner~~~arr-3~~~ACD56151.1~~~rifampin unknown +>ARGMiner~~~arr-3~~~ACD56151.1~~~rifampin~~~unknown MVKDWIPISHDNYKQVQGPFYHGTKANLAIGDLLTTGFISHFEDGRILKHIYFSALMEPAVWGAELAMSLSGLEGRGYIYIVEPTGPFEDDPNLTNKRFPGNPTQSYRTCEPLRIVGVVEDWEGHPVELIRGMLDSLEDLKRRGLHVIED ->ARGMiner~~~IMP-6~~~BAB15941.1~~~beta_lactam unknown +>ARGMiner~~~IMP-6~~~BAB15941.1~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPGHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~CTX-M-129~~~AFJ59956.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-129~~~AFJ59956.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLRGNTTGAASIRAGLPTSWTVGDKTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~MdtL~~~ZP_03063399~~~multidrug unknown +>ARGMiner~~~MdtL~~~ZP_03063399~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMATAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQMLFLAAGITLAVSPSHAISLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVATHEEIHHHA ->ARGMiner~~~FosB~~~ZP_01722744~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_01722744~~~fosfomycin~~~unknown MTIQSINHLLFSVSDLEQSIAFYENVFDAKLLVKGNSTAYFDVNGLWLALNIEKDIPRNDIQYSYTHIAFTISEDEFDKMYDKLVQLKVHILDGRQRDERDKKSIYFTDPDGHKFEFHTGTLQDRLDYYKKEKLHMEFFNH ->ARGMiner~~~EmrD~~~ZP_03078078~~~multidrug unknown +>ARGMiner~~~EmrD~~~ZP_03078078~~~multidrug~~~unknown MLLMLVLLVAVGQMAQTIYIPAIADMAQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLIAMTTHSLTVLIAASAMQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTLWNWRACYAFLLVLCAGVTFSMARWMPETRPAGAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMLPQTGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~amrA~~~YP_001348629~~~multidrug unknown +>ARGMiner~~~amrA~~~YP_001348629~~~multidrug~~~unknown MHIQWTGSLRGLLATLVALFLLGCDKAPEAEKATDAPAEVGVIVAKPAPIGITSELPGRLEAYRQAEVRARVAGIVTRRLYEEGQDVRAGTVLFQIDPAPLKAALDISRGALARAEASHAAAADKLKRYADLVKDRAISEREYTEAQTDARQSLAQIASARAELEQARLRLGYATVTAPIDGRARRALVTEGALVGEDSPTPLTRVEQIDPIYVNFSQPAGEVAAMQRAIREGQVQGVADKDIAVRLVLADGSEYPLAGELLFSDLAVDPGTDTIAMRALFRNPHRELLPGGYVQVRLQRAVNPQAITVPRDALIRTAQSAVVKVVNPQGVVEDVEVHADTLQGRDWIVSRGLKGGERVIVENAAQHAAGSSVQAVVRQPASAGAPSPLAASPAGQ ->ARGMiner~~~TEM-11~~~AAW66604.1~~~beta_lactam unknown +>ARGMiner~~~TEM-11~~~AAW66604.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BcI~~~ZP_04197552~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04197552~~~beta_lactam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGICVGILGLSLTSLEAFTGGALQVEAKEKTRPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSIDKLNEVITYTKDDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRITMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPAHKRNILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEAAEVIVKALR ->ARGMiner~~~OXA-233~~~AID67109.1~~~beta_lactam unknown +>ARGMiner~~~OXA-233~~~AID67109.1~~~beta_lactam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPSAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAFPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~tetQ~~~ZP_03476117~~~tetracycline unknown +>ARGMiner~~~tetQ~~~ZP_03476117~~~tetracycline~~~unknown MNIINLGILAHIDAGKTSVTENLLFASGATEKCGRVDNGDTITDSMDIEKRRGITVRASTTSIIWNGVKCNIIDTPGHMDFIAEVERTFKMLDGAVLILSAKEGIQAQTKLLFNTLQKLQIPTIIFINKIDRDGVNLERLYLDIKTNLSQDVLFMQTVVDGLVYPICSQTYIKEEYKEFVCNHDDNILERYLADSEISPADYWNTIIDLVAKAKVYPVLHGSAMFNIGINELLDAITSFILPPASVSNRLSSYLYKIEHDPKGHKRSFLKIIDGSLRLRDVVRINDSEKFIKIKNLKTINQGREINVDEVGANDIAIVEDMDDFRIGNYLGAEPCLIQGLSHQHPALKSSVRPDRPEERSKVISALNTLWIEDPSLSFSINSYSDELEISLYGLTQKEIIQTLLEERFSVKVHFDEIKTIYKERPIKKVNKIIQIEVPPNPYWATIGLTLEPLPLGAGLQIESDISYGYLNHSFQNAVFEGIRMSCQSGLHGWEVTDLKVTFTQAEYYSPVSTPADFRQLTPYVFRLALQQSGVDILEPMLCFELQIPQVASSKAITDLQKLMSEIEDISCNNEWCHIKGKVPLNTSKDYASEVSSYTKGLGIFMVKPCGYQITKDGYSDNIRMNEKDKLLFMFQKSIYELIQNKKKI ->ARGMiner~~~MacB~~~YP_002242988~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_002242988~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNSVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtF~~~ACB18310~~~multidrug unknown +>ARGMiner~~~mdtF~~~ACB18310~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMRQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~vanHA~~~AAA65955.1~~~glycopeptide unknown +>ARGMiner~~~vanHA~~~AAA65955.1~~~glycopeptide~~~unknown MNNIGITVYGCEQDEADAFHALSPRFGVMATIINANVSESNAKSAPFNQCISVGHKSEISASILLALKRAGVKYISTRSIGCNHIDTTAAKRMGITVDNVAYSPDSVADYTMMLILMAVRNVKSIVRSVEKHDFRLDSDRGKVLSDMTVGVVGTGQIGKAVIERLRGFGCKVLAYSRSRSIEVNYVPFDELLQNSDIVTLHVPLNTDTHYIISHEQIQRMKQGAFLINTGRGPLVDTYELVKALENGKLGGAALDVLEGEEEFFYSDCTQKPIDNQFLLKLQRMPNVIITPHTAYYTEQALRDTVEKTIKNCLDFERRQEHE ->ARGMiner~~~MdtH~~~NP_706986~~~multidrug unknown +>ARGMiner~~~MdtH~~~NP_706986~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSASAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~OXA-338~~~AHN07454.1~~~beta_lactam unknown +>ARGMiner~~~OXA-338~~~AHN07454.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~rif~~~ABZ80060~~~rifampin unknown +>ARGMiner~~~rif~~~ABZ80060~~~rifampin~~~unknown MNNTIINSLIGGDDSIKRSNVFAVDSQIPTLYMPQYISLSGVMTNDGPDNQAIASFEIRDQYITALNHLVLSLELPEVKGMGRFSYVPYVGYKCINHVSISSCNGVIWEIEGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYSQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGIVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTIFAHISHSINIIDTSIPVSLWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~CMY-10~~~AAK31368.1~~~beta_lactam unknown +>ARGMiner~~~CMY-10~~~AAK31368.1~~~beta_lactam~~~unknown MQQRQSILWGAVATLMWAGLAHAGEASPVDPLRPVVDASIQPLLKEHRIPGMAVAVLKDGKAHYFNYGVANRESGAGVSEQTLFEIGSVSKTLTATLGAYAVVKGAMQLDDKASRHAPWLKGSAFDSITMGELATYSAGGLPLQFPEEVDSSEKMRAYYRQWAPVYSPGSHRQYSNPSIGLFGHLAASSLKQPFAPLMEQTLLPGLGMHHTYVNVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLRFVKANIGGVDDKALQQAISLTHQGHYSVGGMTQGLGWESYAYPVTEQTLLAGNSAKVILEANPTAAPRESGSQVLFNKTGSTNGFGAYVAFVPARGIGIVMLANRNYPIEARIKAAHAILAQLAG ->ARGMiner~~~MdtL~~~B1LL36~~~multidrug unknown +>ARGMiner~~~MdtL~~~B1LL36~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~BcI~~~ZP_04120479~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04120479~~~beta_lactam~~~unknown MEGMVILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYNNQLIAEATKVIVKALR ->ARGMiner~~~FosC2~~~BAJ10053.1~~~fosfomycin unknown +>ARGMiner~~~FosC2~~~BAJ10053.1~~~fosfomycin~~~unknown MLRGLNHITIAVSDLERSVEFYTRLLGMKAHVRWDSGAYLSLEATWICLSCDEVHPSQDYCHIAFDVSEENFEPVTKKLREAHVVEWKQNRSEGLSLYLLDPDGHKLEIHSGSLQSRLESLKSKPYQGLVWL ->ARGMiner~~~RosA~~~ZP_04631862~~~fosmidomycin unknown +>ARGMiner~~~RosA~~~ZP_04631862~~~fosmidomycin~~~unknown MTDRSETEFQPSVNTSVKRTSFSILGAISVSHLLNDMIQSLILAIYPLLQAEFSLSFAQIGLITLTYQLTASLLQPLIGLYTDKHPQPYSLPIGMGFTLSGILLLAVATTFPVVLLAAALVGTGSSVFHPESSRVARMASGGRHGMAQSIFQVGGNFGSALGPLLAAILIAPYGKGNVGWFSLAALLAIVVLLQVSKWYQQQQRASHGKVIKVSSAKVLPRKTVVSTLAILMVLIFSKYFYLTSISSYYTFYLMHKFGVSVQNAQIHLFVFLFAVAAGTIIGGPLGDRIGRKYVIWGSILGVAPFTLILPYASLYWIGILTVIIGVILASAFSAILVYAQELIPGKVGMVSGLFFGFAFGMGGLGAAVLGYVADLTSIELVYQICAFLPLLGIFTALLPNIEDK ->ARGMiner~~~blaR1~~~ABU39979.1~~~beta_lactam unknown +>ARGMiner~~~blaR1~~~ABU39979.1~~~beta_lactam~~~unknown MTLPHILLSLVLITATILVIFFVRAVFYKQLSAKWRYHLWFLLITVLTLPFIPIHLLTGLSFFDQGRQQITPSAQKRFGFADQNEQWMVDFGTSVSRFDDTFIHAVFVSIWIGGMIFFLLLTLYHYAKLQRLVKAASRIQNQKVENAFSDCMAELQITNKLTNLESPAIQTPMTFGWLKTYILLPKNIELYLSDDEIRHVLLHELHHYKSKHIKVNYIFVVYQIVYWFHPLVWKAFKEMRLDRELACDTEVLLTLGQREYKAYGQTIMRFLERNSRFLYLTNPLHSSKKAFKNTKSYNIAFFYWRVKSGAQLKKPWVVFAGLTRFCIAQFPFLTATAVSTERYQFDESQAVVEDYSTYFAGNEGSFVLYSLTSDQFEIYNKEKSVRRVSPNSSYKIYTALMALELGVIGRDDSWLEWDGVEYEDEAWNSGQDLKSAMSQSVTWYFQELDERIKQRNIQSFVNQLDYGNKDLSGGLNHYWLESSLKISPVEQVELLHSFYTNQLDFKEEHVQFVKEVMKLEENQKGTLYGKTGTGIVNGHAINGWFIGFVETETDTYFFATNIQQKIMHMEARLLKSLYPFCQAKEFID ->ARGMiner~~~MdtO~~~YP_001726874~~~multidrug unknown +>ARGMiner~~~MdtO~~~YP_001726874~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHSIAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~MdfA~~~YP_001462039~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~MdfA~~~YP_001462039~~~multidrug~~~Multi-drug efflux pumps MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAVIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIITCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQQAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~cepA~~~AAA21532.1~~~beta_lactam unknown +>ARGMiner~~~cepA~~~AAA21532.1~~~beta_lactam~~~unknown MQKRLIHLSIIFFLLCPALVVAQNSPLETQLKKAIEGKKAEIGIAVIIDGQDTITVNNDIHYPMMSVFKFHQALALADYMHHQKQPLETRLLIKKSDLKPDTYSPLRETYPQGGIEMSIADLLKYTLQQSDNNACDILFNYQGGPDAVNKYLHSLGIRECAVIHTENDMHKNLEFCYQNWTTPLAAAKLLEIFRNENLFDKEYKNFIYQTMVECQTGQDRLIAPLLDKKVTMGHKTGTGDRNAKGQQIGCNDIGFILLPDGHAYSIAVFVKDSEADNRENSEIIAEISRIVYEYVTQQID ->ARGMiner~~~PDC-2~~~ACQ82806.1~~~beta_lactam unknown +>ARGMiner~~~PDC-2~~~ACQ82806.1~~~beta_lactam~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTVTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~ACT-13~~~CCK86741.1~~~beta_lactam unknown +>ARGMiner~~~ACT-13~~~CCK86741.1~~~beta_lactam~~~unknown MMMTKSLCCALLLSTSCSVLAAPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVKDMASWVMVNMKPDSLEESSLRKGLTLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILSAL ->ARGMiner~~~aadA~~~ABO42050~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~ABO42050~~~aminoglycoside~~~unknown MRSRNWSRTLTERSGGNGAVAVFMACYDCFFGVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTKLDIMRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~CTX-M-74~~~ACS32293.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-74~~~ACS32293.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTETTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~SHV-69~~~ABA06590.1~~~beta_lactam unknown +>ARGMiner~~~SHV-69~~~ABA06590.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLTDGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-48~~~AAV97953.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-48~~~AAV97953.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTNAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~OXA-48~~~AAP70012.1~~~beta_lactam unknown +>ARGMiner~~~OXA-48~~~AAP70012.1~~~beta_lactam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~CeoA~~~ZP_02365020~~~multidrug unknown +>ARGMiner~~~CeoA~~~ZP_02365020~~~multidrug~~~unknown MAILRTSRSRIAAATLAVVVIAGLGAFGAIRVNASAPDKSAAPLPEVDVANVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREAFANLKAADAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNQDGALVPGLYARVKVGGSAPHPALLIDDAAVNTDQDKKFVFVVDQQGRVSYREVQLGSQHGNQRVIVGGLSAGDRIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPQTTQGNARA ->ARGMiner~~~BacA~~~YP_277581~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_277581~~~bacitracin~~~unknown MSMIYITLNIIAYVIDVRSLILDVRRLVFSLILGIVEGLTEFLPISSTGHMILVENILNCMDDSVIAFTVIIQLGAILSITKIFWSQLYGMSMICIKKIFFKQHDDHNHLCIRHIFLGTFPGIMLGMIFYEKIGLIFELTYIMYGLIIGGIFLLVGELCASKEPRVSRINNITYLQAFLIGCFQCLAFWPGFSRAGATIGGGLVVGLDRRISSEFSFFLAVPIIFGSAVLTLYHYRSCIGLMDVLLLIAGSATAFFIALFTVRYFLKIVKNVSLIPFAIYRFLLAGGIYWGLMT ->ARGMiner~~~MdtH~~~YP_002848923~~~multidrug unknown +>ARGMiner~~~MdtH~~~YP_002848923~~~multidrug~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGLITFLALGWQFSLKRPTRGMLEPDA ->ARGMiner~~~SHV-142~~~AEX99752.1~~~beta_lactam unknown +>ARGMiner~~~SHV-142~~~AEX99752.1~~~beta_lactam~~~unknown MRYIRLCIISLLAALPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BL2a_kcc~~~Q06650~~~beta_lactam unknown +>ARGMiner~~~BL2a_kcc~~~Q06650~~~beta_lactam~~~unknown MRKPTSSLTRRSVLGAGLGLGGALALGSTTASAASAGTTPSENPAAVRRLRALEREHQARIGVFALNLATGASLLHRAHELFPMCSVFKTLAAAAVLRDLDHDGSQLARVIRYTEADVTKSGHAPVTKDHIDTGMTIRDLCDATIRYSDNCAANLLLRELGGPTAVTRFCRSLGDPVTRLDRWEPELNSGEPDRRTDTTSPYAIARTYQRLVLGNALNRPDRALLTDWLLRNTTTLTTFRTGLPKGWTVADKSGGGDTYGTRNEAAIAWTPDGAPVLLTALTHKPSLPTAPGDTPLIIKLATVLSEAVAPA ->ARGMiner~~~IND-1~~~AAD20273.1~~~beta_lactam unknown +>ARGMiner~~~IND-1~~~AAD20273.1~~~beta_lactam~~~unknown MKKSIRFFIVSILLSPFASAQVKDFVIEPPIKNNLHIYKTFGVFGGKEYSANSMYLVTKKGVVLFDVPWEKIQYQSLMDTIKKRHNLPVVAVFATHSHDDRAGDLSFFNNKGIKTYATAKTNEFLKKDGKATSTEIIKTGKPYRIGGEEFVVDFLGEGHTADNVVVWFPKYNVLDGGCLVKSNSATDLGYIKEANVEQWPKTINKLKAKYSKATLIIPGHDEWKGGGHVEHTLELLNKK ->ARGMiner~~~IMP-13~~~CAD80251.1~~~beta_lactam unknown +>ARGMiner~~~IMP-13~~~CAD80251.1~~~beta_lactam~~~unknown MKKLFVLCVCFFCSITAAGAALPDLKIEKLEEGVFVHTSFEEVNGWGVVTKHGLVVLVNTDAYLIDTPFTATDTEKLVNWFVERGYEIKGTISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKSGKVQAKYSFSEVSYWLVKNKIEVFYPGPGHTQDNLVVWLPESKILFGGCFIKPHGLGNLGDANLEAWPKSAKILMSKYGKAKLVVSSHSEKGDASLMKRTWEQALKGLKESKKTSSPSN ->ARGMiner~~~ErmB~~~YP_001836040~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmB~~~YP_001836040~~~macrolide-lincosamide-streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNTRVTLIHQDILQFQFPNKQRYKIVGNIPYHLSTQIIKKVVFESRASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPKVNSVLIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTVTYEQVLSIFNSYLLFNGRKFYLLLIILIRLFAMSC ->ARGMiner~~~SHV-50~~~AAP41108.1~~~beta_lactam unknown +>ARGMiner~~~SHV-50~~~AAP41108.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMTATLRKLLTSQRLSARSQRHLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~MdtN~~~YP_691420~~~multidrug unknown +>ARGMiner~~~MdtN~~~YP_691420~~~multidrug~~~unknown MALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMTTVRAPFDGRIISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~AAC(6')-Iu~~~AAD03493.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iu~~~AAD03493.1~~~aminoglycoside~~~unknown MNILPISESQLSDWLALRSLLWPDHEEAHLQEMRQLLKQTDTLQLLAYSETQHAIAMLEASIRHEYVNGTQTSPVAFLEGIYVLPEYRRSGIATQLVQCVEEWAKQFACTEFASDAALDNTISHAMHRALGFHETERVVYFKKNIS ->ARGMiner~~~OXA-72~~~ABP87779.2~~~beta_lactam unknown +>ARGMiner~~~OXA-72~~~ABP87779.2~~~beta_lactam~~~unknown MKKFILPIFSISILVSLSACSSIKTKSEDNFHISSQQHEKAIKSYFDEAQTQGVIIIKEGKNLSTYGNALARANKEYVPASTFKMLNALIGLENHKATTNEIFKWDGKKRTYPMWEKDMTLGEAMALSAVPVYQELARRTGLELMQKEVKRVNFGNTNIGTQVDNFWLVGPLKITPVQEVNFADDLAHNRLPFKLETQEEVKKMLLIKEVNGSKIYAKSGWGMDVTPQVGWLTGWVEQANGKKIPFSLNLEMKEGMSGSIRNEITYKSLENLGII ->ARGMiner~~~CTX-M-125~~~AFO69261.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-125~~~AFO69261.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAEHRRDVLASAARIIAEGL ->ARGMiner~~~MexF~~~YP_274481~~~multidrug unknown +>ARGMiner~~~MexF~~~YP_274481~~~multidrug~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAAKAQRLQNLPAEMH ->ARGMiner~~~TEM-162~~~ABO64442.1~~~beta_lactam unknown +>ARGMiner~~~TEM-162~~~ABO64442.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPKTLVKVKDAENQLGARVGYIELDLNSGKILESFRPEKRFPMMSTFKVLLCGAVLSRIDAGQEQLGRRIHYSQSDVVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPVAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~CMY-117~~~AIT76097.1~~~beta_lactam unknown +>ARGMiner~~~CMY-117~~~AIT76097.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDITDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHAQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPVPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~VanSC~~~AAK53982~~~glycopeptide unknown +>ARGMiner~~~VanSC~~~AAK53982~~~glycopeptide~~~unknown MLIIIPFAVRFVAGMRVWYGYEPIYQILRLFVDYWLLCLAIGALLIWVSTTIYYMSKAISYLNETIQATNQLIAEPTKRIVLSSNMIDVQEVLNQLREKNLQDQRAAKEAEQRKNDLIVYLAHDLRTPLTSVIGYLTLLEEEPQLSTELRARYTKIALQKAQRLELLISEFFEITRFNLTTIALQTETTDLSLMLEQLTFEFLPLLEEKNLHWQLQLQKKVFATVDTEKMERVFDNLIRNAINYSYPNSPLRLAISESDDILIRLTNQGKTIPAEKIGLIFEPFYRMDASRATATGGTGLGLPIAKEIVEALGGKIWAESRDETIVFSIRLPKAAMEKNEQLSKPHPSEPTA ->ARGMiner~~~IMP-44~~~BAM98942.1~~~beta_lactam unknown +>ARGMiner~~~IMP-44~~~BAM98942.1~~~beta_lactam~~~unknown MKKLFVLCIFLFCSITAAGASLPDLKIEKLEEGVYVHTSFEEVNGWGVFSKHGLVVLVNTDAYLIDTPSTAKDTEKLVNWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASVLTNELLKKDGKVQAKNSFSGVSYWLVKNKIEVFYPGPGHTQDNVVVWLPKNKILFGGCFVKPYGLGNLDDANVEAWPHSAEKLISKYGNAKLVVPSHSDIGDASLLKLTWEQAVKGLNESKKSNTVH ->ARGMiner~~~TEM-47~~~CAA71322.1~~~beta_lactam unknown +>ARGMiner~~~TEM-47~~~CAA71322.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASKRGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-422~~~AIY30331.1~~~beta_lactam unknown +>ARGMiner~~~OXA-422~~~AIY30331.1~~~beta_lactam~~~unknown MNKYFTCYVVASPFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~BL2e_y56~~~ZP_04635798~~~beta_lactam unknown +>ARGMiner~~~BL2e_y56~~~ZP_04635798~~~beta_lactam~~~unknown MKHSPLRRSLLLAGITVPLLNFALPSWAVGAKMSLDNQLAELEKSSNGRLGLALINTGKGTKIHYRGGQRFPFCSTFKLMLAAAVLGRSQSQPNLLSKHITYHESDLLAYAPITRKHLAQGMTVAELCAATIQYSDNTAANLLIKQLGGLAMVNQFARSIGDQTFRLDRWEPELNTALPNDPRDTTTPAAMAASVNKLVLGDALAAPQREQLALWLKGNTTGAATIRAGAPTDWVIGDKTGSGDYGTTNDVAVLWPAKGAPLVLVVYFTQLKKEAEPRRDVLAAATKIVLAHLS ->ARGMiner~~~TEM-54~~~AAD22539.1~~~beta_lactam unknown +>ARGMiner~~~TEM-54~~~AAD22539.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSLGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-59~~~AAD45935.1~~~beta_lactam unknown +>ARGMiner~~~TEM-59~~~AAD45935.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMGDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAE ->ARGMiner~~~VIM-34~~~AFN88953.1~~~beta_lactam unknown +>ARGMiner~~~VIM-34~~~AFN88953.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAISTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSSTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~AcrB~~~ACK77685~~~multidrug unknown +>ARGMiner~~~AcrB~~~ACK77685~~~multidrug~~~unknown MAKFFIDRPIFAWVIAIIVMLAGVLAIMKLPIAQYPTIAPPAVSISANYPGADAKTVQDTVTQIIEQNMNGIDNLMYMSSTSDSSGSVTITLTFDSGTDPDIAQVQVQNKLSLATPLLPQEVQQQGLKVEKSSSSFLMVAGFVSDDPNMTQDDIADYVASNIKDPISRSSGVGEVQLFGAQYAMRIWLDPNKLNNYQLTTTDVTSAITEQNNQIAAGQLGGLPPVPGQQLNASIIAQTRLTSPEEFGKILLKVNTDGSQVRLRDVAHIERGAESYAVTARYNGKPAAGLGIKLATGANALNTAKGVKDELAKMAPFFPQGMKVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIPKGDHGVKTGFFGWFNRMFEKSTHHYTDSVGNILRSTGRYLIIYLLIVVGMGLLFLRLPSSFLPDEDQGILLTMVQLPAGATESRTNKVLEEVSDYFLNKEKDNVVSVFTVAGFGFNGNGQNNGLAFVSLKDWGERPGAGNKVEAIAGRAMGAFSQIKEGLVFPFNLPAIIELGTATGFDFELIDQGGLGHEKLTEARNQLLGMVAQHPDVLVGVRPNGLEDTPQFKLIVDQEKAKALGVSITTINSTLSTALGGSYVNDFIDRGRVKKVYVQAEAPFRMLPEDINKWYVRGTSGQMVPFSAFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMNLMEQLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISILVVFLCLAALYESWSVPFSVMLVLPLGVIGALLAATMRGMNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSKKNEDLEHSHPVEHH ->ARGMiner~~~MdtN~~~ACB17398~~~multidrug unknown +>ARGMiner~~~MdtN~~~ACB17398~~~multidrug~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVEKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~OXA-316~~~AGU69254.1~~~beta_lactam unknown +>ARGMiner~~~OXA-316~~~AGU69254.1~~~beta_lactam~~~unknown MNIQALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMEASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPHGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~BacA~~~Q2NWE3~~~bacitracin unknown +>ARGMiner~~~BacA~~~Q2NWE3~~~bacitracin~~~unknown MADMHEWVIAFILGGVEGLTEFLPVSSTGHMILVGSLLGFTDDKAKTFEVIIQLGSILAVVVVFWRRLFGLIGIHFGQVPHEGIGSGRLRLGHILLGMIPAVVLGLVFHEQIKAIFAPIYVMYALVVGGVLLLAGEWLKPKVPRAAGIDDLTYLQAFLIGCFQCLALWPGFSRSGATISGGLLVGVSRYAASEFSFILAVPMMLGATVLDLYKSLPFLSWQDLPMFAIGFVTAFVVALLAIKFFLQIIKRISFVPFAIYRFILAVVVYWILIG ->ARGMiner~~~QnrS~~~ZP_01811734~~~quinolone unknown +>ARGMiner~~~QnrS~~~ZP_01811734~~~quinolone~~~unknown MDTNHSTYHHHNFAQQDLSDMTFTACTFIRCDFRRCNLRDTTFINCKFIEQGDIEGCHFGIADLRDASFQNCQLAMANFSNANCYGIELRECDLKGANFTRPNFANQVSNRMYFCSAYITGCNLSYANFEQACLEKCELFENRWIGTYLAGASLKESDLSRGVFSEDVWGQFSMQGANLCHAELEGLDPRKVDTSGIKIVAWQQEQLLETMGIVVMPD ->ARGMiner~~~Bcr~~~YP_002850162~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_002850162~~~multidrug~~~unknown MTTRQNSSIAIVFILGLLAMLMPLSIDMYLPALPVISEQFGVPAGSAQMTLSTYILGFAVGQLLYGPMADSIGRKPVILGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLLAPIVGGWVLVWLSWHYIFWILAMAAVLASVMIFTLIKETLPVERRQPFRLRTTIGNFASLFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVSPQHFGYYFALNIVFLFVMTIINSRFVRRVGALNMFRTGLWIQFVMAGWMVFSALFGLGFWALVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATCSILFYLYASRAQKR ->ARGMiner~~~MdtH~~~NP_287199~~~multidrug unknown +>ARGMiner~~~MdtH~~~NP_287199~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGXWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARXRGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSVHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~pbp2~~~ZP_02696486~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~ZP_02696486~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDISDFRRALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTPAQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQPGQAP ->ARGMiner~~~mdtG~~~YP_002226908~~~multidrug unknown +>ARGMiner~~~mdtG~~~YP_002226908~~~multidrug~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLIGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIHENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLPGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~KsgA~~~YP_002113107~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~YP_002113107~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDRFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDKLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTMNFGELSTQLGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCQVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPYPVKDIRVLSRITTEAFNQRRKTIRNSLGNLFSVETLTEMGIDPAMRAENISVAQYCQMANYLSENAPLKES ->ARGMiner~~~OXA-376~~~AHL30276.1~~~beta_lactam unknown +>ARGMiner~~~OXA-376~~~AHL30276.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEYHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CTX-M-64~~~BAF63422.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-64~~~BAF63422.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~bacA~~~AAC76093.1~~~bacitracin unknown +>ARGMiner~~~bacA~~~AAC76093.1~~~bacitracin~~~unknown MSDMHSLLIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQHEGESKGRLTLIHILLGMIPAVVLGLLFHDTIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATALDLYKSWGFLTSGDIPMFAVGFITAFVVALIAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~TEM-1~~~CAD09800.1~~~beta_lactam unknown +>ARGMiner~~~TEM-1~~~CAD09800.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BL2e_fpm~~~P52664~~~beta_lactam unknown +>ARGMiner~~~BL2e_fpm~~~P52664~~~beta_lactam~~~unknown MTMFKTTFRQTATIAVSLISLLVSPMLWANTNNTIEEQLSTLEKYSQGRLGVALINTEDNSQITYRGEERFAMASTSKVMAVAAVLKESEKQAGLLDKNITIKKSDLVAYSPITEKHLVTGMSLAQLSAATLQYSDNTAMNKILDYLGGPAKVTQFARSINDVTYRLDRKEPELNTAIHGDPRDTTSPIAMAKSLQALTLGDALGQSQRQQLVTWLKGNTTGDHSIKAGLPKHWIVGDKTGSGDYGTTNDIAVIWPKNHAPLILVVYFTQQEQDAKYRKDIIVKATEIVTKEISNSPQTK ->ARGMiner~~~Bcr~~~YP_002244306~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_002244306~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSLGRKPVILGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAIAAILASVMIFALIKETLPVERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVPPQDFGYYFALNIVFLFVMTIINSRFVRRVGALNMFRAGLWIQFAMAVWMVFSALMGIGFWALVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFTSAWPMIWSIALCAACSILFYLYASRPKKR ->ARGMiner~~~VanRB~~~ZP_03105209~~~glycopeptide unknown +>ARGMiner~~~VanRB~~~ZP_03105209~~~glycopeptide~~~unknown MDIRILLVEDDEHICNAVKVFLSGAGYKVDACFNGDEAHTKFYDNTYQLVILDILLPGMNGHELLREFRKLNNTPVLMMTALSDDENQIRAFDAEADDYVTKPFKIQLLLKRVEALLRRSGAVAKELHYGKLTILPEDFNVFYDDEELLLTLKEFEILMLLVQNNGRTLSHEVILSRVWGYDFDGDGSTVHTHIKNLRAKLPDNIIKTVRGVGYRLEETS ->ARGMiner~~~KsgA~~~YP_001439344~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~YP_001439344~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTMDFGELSQKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYFCQVIPVLEVPPTAFTPPPKVDSAVVRLVPHATPPHPVKELRLLSRLTTEAFNQRRKTIRNSLGNVFSPEVLTSLGIDPAMRAENISVAQYCQMANYLADNPPSKES ->ARGMiner~~~MdtK~~~YP_310429~~~multidrug unknown +>ARGMiner~~~MdtK~~~YP_310429~~~multidrug~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~QnrB49~~~AFD54601.1~~~quinolone unknown +>ARGMiner~~~QnrB49~~~AFD54601.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRRVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~MdtH~~~YP_002226896~~~multidrug unknown +>ARGMiner~~~MdtH~~~YP_002226896~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIALWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~AAC(3)-Ic~~~CAD53575.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ic~~~CAD53575.1~~~aminoglycoside~~~unknown MISTQTKITRLNSQDVGVMRAMLGMFGEAFEDAENYCRAQPSDSYLQDLLCGSGFIAIAALQGQEVIGGLAAYVLPKFEQQRKEIYIYDLGVQGAYRRRGIATALINELQRIAHDIGAYVIFVQADYGDDPAVALYTKLGIREDVMHFDIEPQPAA ->ARGMiner~~~OXA-236~~~AFH36332.1~~~beta_lactam unknown +>ARGMiner~~~OXA-236~~~AFH36332.1~~~beta_lactam~~~unknown MKTLILLPLLSCLSLTACSLPVSNSSSQITSTQSIQTIAKLFDQAQSSGVLVIQRGPHLQVYGNDLSRAHTEYIPASTFKILNALIGLQHGKATTNEIFKWDGKKRSFAAWEKDMTLGQAMQASAVPVYQELARRIGLELMQQEVQRIRFGNQQIGQHIDNFWLVGPLKITPVQEVEFASALAQEQLAFDPQVQQQVKAMLLLQERQDYRLYAKSGWGMDVEPQVGWLTGWIETPQDEIVAFSLNMQMQSNMDPAIRLKILQQALAELALYPKAEG ->ARGMiner~~~SHV-84~~~CAJ32371.1~~~beta_lactam unknown +>ARGMiner~~~SHV-84~~~CAJ32371.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADRTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIG ->ARGMiner~~~CTX-M-3~~~AAT35601~~~beta_lactam unknown +>ARGMiner~~~CTX-M-3~~~AAT35601~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCCTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGKTTGAASIQAGLPASWVVGDKTGSGDYGTTNDTAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~EmrD~~~ABG71850~~~multidrug unknown +>ARGMiner~~~EmrD~~~ABG71850~~~multidrug~~~unknown MIMKRHRNVNLLLMLVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLVAVTTSSLTVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLALCAGVTFSMARWMPETRPVDAPRTRLLTSYKTLFGNSGFNCYLLMLIGGLAGIAAFEACSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLLMWIPDWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGLLIVLCWLPLATRMSHQGQPV ->ARGMiner~~~OXA-213~~~AEV91552.1~~~beta_lactam unknown +>ARGMiner~~~OXA-213~~~AEV91552.1~~~beta_lactam~~~unknown MYKKALIVATSILFLSACSSNMVKQHQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDFKRASTDYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRVGFGNANIGSKVDNFWLVGPLKITPQQETQFAYQLAHKTLPFSKDVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OKP-B-6~~~CAP12355.2~~~beta_lactam unknown +>ARGMiner~~~OKP-B-6~~~CAP12355.2~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~vanYF~~~AAF36805.1~~~glycopeptide unknown +>ARGMiner~~~vanYF~~~AAF36805.1~~~glycopeptide~~~unknown MKKWGLLLVFALFLVFIFNILPISQDKVEDRIYEQNDKDTSDDKMTAENMQKIELTEEQIYQGNLLLVNNEHPVHQKSIKSDIINLFTHKELTKGYGLLDNEIKLSEEIAGKFSEMIAAAEEDGVSNFLISSGYRDLDEQSRLYEEMGSDFALPAGHSEHNLGLSLDVGSTQMKMDKAPEGKWIEKNCWEYGFILRYPLDKTDVTGIQYEPWHIRYVGLPHSAIMQEMNLALEEYLDYLKEEKSISVRVDGKKYTISYDPISQNETIEVEVPADEQYEISGNNIDGVIVTTFS ->ARGMiner~~~vanYG1~~~ABA71729.1~~~glycopeptide unknown +>ARGMiner~~~vanYG1~~~ABA71729.1~~~glycopeptide~~~unknown MNHMNMKHRRRKRRRNQSFLFTGILLLVVVSASSFLWYGFGNAAKKDSVIEEMPFTITQDGMQAKEEIKKTVLETSYGGKQQVAEENHGNTQNAGTDEAWNLMLVNRDNAIPDNYEVNLVEVEGGERVDERIYEPLMEMLNAAREENWGELPMVVSGYRTQEKQQSLYDEKIAKFKKEGYSDSEAVRQAEQWVAVPGHSEHQLGFAVDINGATYDVYLWLQENSYKYGFIFRYPGSKTDITGTAEEVWHYRYVGVEAATEMYENGLCLEEYLEKKQSEN ->ARGMiner~~~QnrC~~~ACK75961.1~~~quinolone unknown +>ARGMiner~~~QnrC~~~ACK75961.1~~~quinolone~~~unknown MNYSHKTYDQIDFSGQDLSSHHFSHCKFFGCNFNRVNLRDAKFMGCTFIESNDFEGCNFIYADLRDASFMNCMLSMANFQGANCFGLELRECDLKGANFSQANFVNHVSNKMYFCSAYITGCNLSYANFDKQCLEKCDLFENKWVGASLQGASFKESDLSRGSFSDDFWEQCRIQGCDLTHSELNGLEPRKVDLTGVKICSWQQEQLLEQLGVIVIPDKVF ->ARGMiner~~~amrB~~~YP_001348630~~~multidrug unknown +>ARGMiner~~~amrB~~~YP_001348630~~~multidrug~~~unknown MARFFIDRPVFAWVVSLLIVLAGILAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEALTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSASGRYGAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPARLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPLDAGHHEKRGFFGWFNRTFLRLTGRYQKAVGGILARPIRWMLVYALVIGVVALLFARLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVADVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERQDASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYDALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGARRLSIDDIGKLHVRNEQGEMVPLATFARAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMAAMEQLMQGLPEGVAHEWSGQSYEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMDLLQATLEAARLRLRPIVMTSLAFGFGVIPLALSSGAGSGAQIAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRADASANTPTELT ->ARGMiner~~~QnrB22~~~ACS71746.1~~~quinolone unknown +>ARGMiner~~~QnrB22~~~ACS71746.1~~~quinolone~~~unknown MMTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLCGTEFIGCQFYDRESQKGCNFSRANLKDAIFKSCDLSMADFRNINALGIEIRHCRAQGSDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSSFDWRAANVTHCDLTNSELGDLDIRVVDLQGVKLDSYQASLLLERLGIAVMG ->ARGMiner~~~CTX-M-69~~~ABY91281.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-69~~~ABY91281.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPNAESRRDVLASAAKIVTNGL ->ARGMiner~~~BLA1~~~ZP_04096643~~~beta_lactam unknown +>ARGMiner~~~BLA1~~~ZP_04096643~~~beta_lactam~~~unknown MEQIYFGDCLLCVSKKSVKNLKGMMILKNKRMLKIGICVGILGLSLTSIEAFTGGPLQVEAKEKKGQIKHKNQATHKEFSQLEKKFDARLGVYAIDTGTKQTVAYRPNERFAFASTYKALAAGVLLQQNSTMKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTAGNALPNHKRNILTEWMKGNATGDKLIRAGVPTNWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~MexF~~~YP_348389~~~multidrug unknown +>ARGMiner~~~MexF~~~YP_348389~~~multidrug~~~unknown MNFSQFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKITLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGQLGAPPAPTAQSFQLSINTQGRLVTEEEFENIVIRAGEDGEITRLKDIARVELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRAKMEELKKGFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLNPFDATKKAMGEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRVLDKIFGGWLFRPFNRFFDRASHSYVGTVRRVIRGSGIALFLYAGLMVLTFFGFSSTPTGFVPGQDKQYLVAFAQLPDAASLDRTEDVIKRMSDLALKQPGVESAVAFPGLSINGFTNSPNAGIVFVTLKPFDERKDPSMSAGAIAGALNGQYAGIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYEELYKETMNIIAKSHNVPELANLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQIYLGSLYANDFNRFGRTYQVNVQAEQQFRLESDQIGQLKVRNNKGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGAAAPGYSSGQAEKAIEKLLKDELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIISGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQQEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVFSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNFVERSEARKAAKAQNLQKPLEAHP ->ARGMiner~~~aadA14~~~CAI57696.1~~~aminoglycoside unknown +>ARGMiner~~~aadA14~~~CAI57696.1~~~aminoglycoside~~~unknown MTNKPPESIAEQVSEARSILENHLETIQAIHLFGSAVDGGLKPFSDIDLLVTVGTPLNESTRAALMSDLLAVSAFPGTDSKRRALEVTVLTQEDVVPWRYPAKRQMQFGEWLRDDINARIFEPALMDHDLAILLTKVRRHSVALYGPAAHEFFDEIPVVDVQRSLLETLTLWTTEADWKGDERNIVLALVRIWYTAMTGEITSKVAAADWALQRLPREIKSVVIAARDAYLGLEAADLAAYPKERADLRNHIHSSVTAKLQ ->ARGMiner~~~FomB~~~BAA32494.1~~~fosfomycin unknown +>ARGMiner~~~FomB~~~BAA32494.1~~~fosfomycin~~~unknown MLENLTIRSSRVVDLNLVKVRLSTNLEDFAAYSYFSAFAEDESAPADYEVVCVDLDRDDIPAELYADRTDRTFRGKRFKGGYYLVHYFGEPAHLITVGRTFYVFGRSLEKTVWPYFVKHILTVHSADHGFLHLKAAGFELPGAGATLLVGRNGAGKTVFLAQACLNGARFLSNTHTLVRDGVAHGVPSSIRVRRDQCFGELIDKHDLTAHMESGDYVTDSSTLFESPQISTARVRNVVIVDYDPARPQGLMPISPAAAGTFMEQFSFAVTTYGLKDDLLAHHGDFDTYVDSLARMRAQLTELVEGARCYRANADMLAKEVRDSTLKQLAE ->ARGMiner~~~QnrB18~~~CAP45903.1~~~quinolone unknown +>ARGMiner~~~QnrB18~~~CAP45903.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIDNSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~ANT(3'')-Ii-AAC(6')-IId~~~AAL51021.2~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-Ii-AAC(6')-IId~~~AAL51021.2~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAAQLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKVRQHSLALAGSAAEDFFNPVPEGDLFKALSDTLKLWNSQPDWEGDERNVVLTLSRIWYSAATGKIAPKDIVANWAIERLPDQHKPVLLEARQAYLGRGEDCLASRADQLAAFVHFVKHEATKLLGAMPVMSKTKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~BcI~~~ZP_04065292~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04065292~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKALR ->ARGMiner~~~OXA-387~~~AHK51384.1~~~beta_lactam unknown +>ARGMiner~~~OXA-387~~~AHK51384.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIMTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~cat~~~AAQ63644.1~~~chloramphenicol unknown +>ARGMiner~~~cat~~~AAQ63644.1~~~chloramphenicol~~~unknown MEILTLLIRDTMGSKRIFLNPYFQQQPTFSLTNEINITILMKNLKKKHYKLYPAFIFMVTKIVNAHREFRINFNSEGNLGYWTEICPLYTIFDNKSHTFSGIWSPNLTIFSEFHSKYENDAERYNGTRRLFPKKPIPDNPIPISMIPWSSFTAFNLNINNGGDFLLPIITGGKYSQVNDELFLPVSIQNASCLFVMATMQSVFINDLQNLVDESEDWIYLVVSDEWYY ->ARGMiner~~~CMY-79~~~BAA02494~~~beta_lactam unknown +>ARGMiner~~~CMY-79~~~BAA02494~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDITDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPVPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-113~~~AIT76089.1~~~beta_lactam unknown +>ARGMiner~~~CMY-113~~~AIT76089.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALVVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASLVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~bacA~~~B7LQD5~~~bacitracin unknown +>ARGMiner~~~bacA~~~B7LQD5~~~bacitracin~~~unknown MSDMHSLLVAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQHEGESKGRLTLIHILLGMIPAVVLGLLFHDAIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATALDLYKSWGFLTTGDIPMFAVGFITAFVVALVAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~BacA~~~YP_001480516~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001480516~~~bacitracin~~~unknown MADMHSLFIAFVLGVVEGLTEFLPVSSTGHMIIVGEWLGFTGDKAKTFEVIIQLGSILAVVVMFWRRLFGLIGIHFGGKPVEHEGKTTGHLKLGHILLAMIPAVVLGLLFHDVIKSLFAPKNVMYALVVGGFLLLAAEWLKPKKPSAEGLDDITYRQAFMIGCFQCLALWPGFSRSGSTIAGGMLVGVNRYAAAEFSFILAVPMMIGASGLDLYKSLHFLTMGDLPMFAVGFATAFVVALIAIKTFLTLIKRISFVPFAIYRFIVAGVVYMVFM ->ARGMiner~~~SHV-137~~~AEI83430.1~~~beta_lactam unknown +>ARGMiner~~~SHV-137~~~AEI83430.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAALTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPHNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~mexH~~~NP_252895.1~~~multidrug unknown +>ARGMiner~~~mexH~~~NP_252895.1~~~multidrug~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~dfrA21~~~CAP69659.1~~~trimethoprim unknown +>ARGMiner~~~dfrA21~~~CAP69659.1~~~trimethoprim~~~unknown MNPESVRIYLVAAMGANRVIGNGPDIPWKIPGEQKIFRRLTESKVVVMGRKTFESIGKPLPNRHTVVLSRQARYSAPGCAVVSTLSQAIAIAAEHGKELYVAGGAEVYALALPHANGVFLSEVHQTFEGDAFFPVLNAAEFEVVSSETIQGTITYTHSVYARRNG ->ARGMiner~~~BL2b_ula~~~P22390~~~beta_lactam unknown +>ARGMiner~~~BL2b_ula~~~P22390~~~beta_lactam~~~unknown MFKKRGRQTVLIAAVLAFFTASSPLLARTQGEPTQVQQKLAALEKQSGGRLGVALINTADRSQILYRGDERFAMCSTSKTMVAAAVLKQSETQHDILQQKMVIKKADLTNWNPVTEKYVDKEMTLAELSAATLQYSDNTAMNKLLEHLGGTSNVTAFARSIGDTTFRLDRKEPELNTAIPGDERDTTCPLAMAKSLHKLTLGDALAGAQRAQLVEWLKGNTTGGQSIRAGLPEGWVVGDKTGAGDYGTTNDIAVIWPEDRAPLILVTYFTQPQQDAKGRKDILAAAAKIVTEGL ->ARGMiner~~~MIR-1~~~AAD22636.1~~~beta_lactam unknown +>ARGMiner~~~MIR-1~~~AAD22636.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVASSAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHFAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWLIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~macB~~~AAV85982.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~macB~~~AAV85982.1~~~macrolide-lincosamide-streptogramin~~~unknown MSLIECKNINRYFGSGENRVHILKDISLSIEKGDFVAIIGQSGSGKSTLMNILGCLDTAGSGSYRIDGIETAKMQPDELAALRRERFGFIFQRYNLLSSLTARDNVALPAVYMGMGGKERSARADKLLQDLGLASKEGNKPGELSGGQQQRVSIARALMNGGEIIFADEPTGALDTASGKNVMEIIRRLHEAGHTVIMVTHDPGIAANANRVIEIRDGEIISDTSKNPEIPASNVGRIQEKASWSFYYDQFVEAFRMSVQAVLAHKMRSLLTMLGIIIGIASVVSVVALGNGSQKKILEDISSMGTNTISIFPGRGFGDRRSGKIKTLTIDDAKIIAKQSYVASATPMTSSGGTLTYRNTDLTASLYGVGEQYFDVRGLKLETGRLFDENDVKEDAQVVVIDQNVKDKLFADSDPLGKTILFRKRPLTVIGVMKKDENAFGNSDVLMLWSPYTTVMHQITGESHTNSITVKIKDNANTRVAEKGLAELLKARHGTEDFFMNNSDSIRQMVESTTGTMKLLISSIALISLVVGGIGVMNIMLVSVTERTKEIGIRMAIGARRGNILQQFLIEAVLICIIGGLVGVGLSAAVSLVFNHFVTDFPMDISAASVIGAVACSTGIGIAFGFMPANKAAKLNPIDALAQD ->ARGMiner~~~aadA25~~~AET15272.1~~~aminoglycoside unknown +>ARGMiner~~~aadA25~~~AET15272.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~CTX-M-123~~~AFA51701.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-123~~~AFA51701.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-25~~~AAM70498.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-25~~~AAM70498.1~~~beta_lactam~~~unknown MMRKSVRRAMLMTTACVSLLLASVPLCAQANDVQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAVAAVLKQSETQKGLLSQRVEIKPSDLINYNPIAEKHVNGTMTFGELSAAALQYSDNTAMNKLIAHLGGPDKVTAFARTIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQALRNLTLGNALGDTQRAQLVMWLKGNTTGAASIQAGLPTSWVVGDKTGSGGYGTTNDIAVIWPEGRAPLVLVTYFTQSEPKAESRRDVLAAAARIVTDGY ->ARGMiner~~~EmrD~~~ZP_03063464~~~multidrug unknown +>ARGMiner~~~EmrD~~~ZP_03063464~~~multidrug~~~unknown MIMKRQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLVAVTTSSLTVLIAASAMQGMGTGVGGVMARTLLRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLVLCAGVTFSMARWMPETRPVDAPRTRLLTSYKTLFGNSGFNCYLLMLIGGLAGIAAFEACSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLLMWIPDWFGVMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGLLIVLCWLPLATRMSHQGQPV ->ARGMiner~~~PER-3~~~AAU89132.1~~~beta_lactam unknown +>ARGMiner~~~PER-3~~~AAU89132.1~~~beta_lactam~~~unknown MNVIIKAVVTASTLLMVSFSSFETSAQSPLLKEQIESIVIGKKATVGVAVWGPDDLEPLLINPFEKFPMQSVFKLHLAMLVLHQVDQGKLDLNQTVIVNRAKVLQNTWAPIMKAYQGDEFSVPVQQLLQYSVSLSDNVACDLLFELVGGPAALHDYIQSMGIKETAVVANEAQMHADDQVQYQNWTSMKGAAEILKKFEQKTQLSETSQALLWKWMVETTTGPERLKGLLPAGTVVAHKTGTSGIKAGKTAATNDLGIILLPDGRPLLVAVFVKDSAESSRTNEAIIAQVAQTAYQFELKKLSALSPN ->ARGMiner~~~AcrB~~~ZP_04631836~~~multidrug unknown +>ARGMiner~~~AcrB~~~ZP_04631836~~~multidrug~~~unknown MAKFFIDRPIFAWVIAIIIMLAGALAIMKLPVAQYPTIAPPAITISANYPGADATTVQNTVTQVIEQNMNGIDNLLYMSSSSDSSGNVQLTLTFNSGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVAGFISEDGTMLQEDIADYVGSNIKDPISRTTGVGDVQLFGSQYAMRIWMDPHKLNNFGLTPVDVISAIRVQNNQVAAGQLGGTPPVPGQELNSSIIAQTRLTTAEEFSQILLKVNTDGSQVRLKDVAIVKLGAESYNIIARYNGKPAAGIGIKLATGANALNTSAAVKAELAKLQPFFPAGLKVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMQEEGLPPKEATKKSMEQIQGALVGIALVLSAVFIPMAFFGGATGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPIAKGEHGPKTGFFGWFNRMFEKSTHHYTDSVANILRSTGRYLVIYLALVIVMGLLFIRLPSSFLPEEDQGVFLTMVQMPAGATQERTQNVLNQVTDYYLDKEKDVVNSVFTVNGFGFSGQGQNTGLAFVSLKNWDERPGDQNKVPAIVGRASAAFSQIKDGLVFAFNLPAIVELGTATGFDFQLIDQGNLGHQKLTEARNQLLGMAAQHPDMLVGMRPNGLEDTPQFKVEVDQEKAQALGVSISDINTTLGSAMGGSYVNDFIDRGRVKKVYVQADAPFRMLPGDIDKWYVRNSAGQMVSFATFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMDLMQELAAKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALMAASLRGLENDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVESTLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSRKNEDVEHAHAVDHKVP ->ARGMiner~~~TEM-76~~~AAF05613.1~~~beta_lactam unknown +>ARGMiner~~~TEM-76~~~AAF05613.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMGDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-154~~~ACO07310.1~~~beta_lactam unknown +>ARGMiner~~~TEM-154~~~ACO07310.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~CfxA6~~~ACT97371.1~~~beta_lactam unknown +>ARGMiner~~~CfxA6~~~ACT97371.1~~~beta_lactam~~~unknown MSNYSVAELRNMKKNRKKQIVVLCIALVCIFILVFSLSHKSATKGSANPPLTDVLTDSISQIVSACPGEIGVAVIINNTDTVSVNNKSIYPMMSVFKVHQALALCNDFDKKGLSLDTLVKINREKLDPKTWSPMMKDYSAPVISLTVRDLLRYTLSQSDNNASNIMFKNMLNTAQTDSFIAKLIPRSSFQIAYTEEEMSADHDKAYSNYTSPLGAAMLMNRLFTESLISNEKQDFIKNALKECKTGIDRIVAPLLDKEGVVIAHKTGSGNVNENGILAAQNDVAYICLPNKVCYTLAVFVKDFKGNESQASQFVAHISAVVYSLLINTALN ->ARGMiner~~~OXA-256~~~AAS92348~~~beta_lactam unknown +>ARGMiner~~~OXA-256~~~AAS92348~~~beta_lactam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPIAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~CTX-M-10~~~AAT68658.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-10~~~AAT68658.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTVDVQQKLAELEQQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~bacA~~~YP_312012~~~bacitracin unknown +>ARGMiner~~~bacA~~~YP_312012~~~bacitracin~~~unknown MSDMHSLLIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQHEGESKGRLTLIHILLGMIPAVVLGLLFHDTIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATALDLYKSWGFLTTGDISMFAVGFITAFVVALIAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~pbp2~~~ZP_02346204~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~ZP_02346204~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDIADFCHALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTPAQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKKPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQPGQAP ->ARGMiner~~~OXA-173~~~ADI58617.1~~~beta_lactam unknown +>ARGMiner~~~OXA-173~~~ADI58617.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAVPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGLDVNLQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~TEM-183~~~ADR71220.1~~~beta_lactam unknown +>ARGMiner~~~TEM-183~~~ADR71220.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWLIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tetS~~~AAA25293.1~~~tetracycline unknown +>ARGMiner~~~tetS~~~AAA25293.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYSSGAIKELGSVDSGTTKTDTMFLERQRGITIQTAITSFQRENVKVNIVDTPGHMDFLADVYRSLSVLDGAILLISAKDGVQSQTRILFHALRKMNIPIIFFINKIDQNGINLPDVYQDIKDKLSDDIIIKQTVNLNLKPYVIDYTEPEQWETVIVGNDYLLEKYTIGKTLNIAELEKEENERIQSCSLYPVYHGSAKNNIGIKQLIEVITSKLFSPTQLNSDKLCGNVFKVEYSDDGQRLVYVRLYSGTLHLRDSVNISEKEKIKVTEMYTSINGELRQIDKAEPGEIIILKNELLKLNNVLGDKKRLPHREILENPLPMLQTTIEPCKSVQREKLLDALFEISDSDPLLQYYVDTVTHEIVLSFLGEVQMEVTCTLIQEKYHIEIETRKPTVIYMERPLKKSEFTIDIEVPPNPFWASIGLSVTPLPLGSGIQYESLVSLGYLNQSFQNAVMEGIRYGCEQGLYGWKLTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQAFRKSGTELLEPYLSFEIYVPQEYLSRAYNDASKYCANILNTKLKGNEVILIGEIPARCIQEYRNSLTFFTNGRSVCLTELKGYQVTNIKSAFQPRRPNNRIDKVRHMFNKINLH ->ARGMiner~~~acrA~~~YP_402153~~~multidrug unknown +>ARGMiner~~~acrA~~~YP_402153~~~multidrug~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGMLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLLKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~FOX-1~~~CAA54602.1~~~beta_lactam unknown +>ARGMiner~~~FOX-1~~~CAA54602.1~~~beta_lactam~~~unknown MQQRRAFALLTLGSLLLAPCTYARGEAPLTAAVDGIIQPMLKEYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFELDDKVSQHAPWLKGSAFDGVTMAELATYSAGGLPLQFPDEVDSNDKMRTYYRHWSPVYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAIANYAYGYSKEDKPVRVTPGVLAAEAYGIKTGSADLLKFTEANMGYQGDAALKTRIALTHTGFYSVGDMTQGLGWESYAYPLTEQALLAGNSPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~BcI~~~ZP_04084549~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04084549~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSITSLEAFTGESLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYVIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~OXA-118~~~AAK55330.1~~~beta_lactam unknown +>ARGMiner~~~OXA-118~~~AAK55330.1~~~beta_lactam~~~unknown MAIRFLTILLSTFFLTSFVHAQEHVLERSDWKKFFSDLRAEGAIVISDERQAEHALLVFGQERAAKRYSPASTFKLPHTLFALDADAVRDEFQVFRWDGVKRSFAGHNQDQDLRSAMRNSAVWVYELFAKEIGKDKARHYLKQIDYGNADPSTIKGDYWIDGNLEISAHEQISFLRKLYRNQLPFQVEHQRLVKDLMITEAGRNWILRAKTGWEGRFGWWVGWVEWPTGPVFFALNIDTPNRTDDLFKREAIARAILRSIDALPPN ->ARGMiner~~~SHV-2A~~~CAA66730.1~~~beta_lactam unknown +>ARGMiner~~~SHV-2A~~~CAA66730.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~qacB~~~AAQ10697~~~quinolone unknown +>ARGMiner~~~qacB~~~AAQ10697~~~quinolone~~~unknown MISFFTKTTDMMTSKKRWAALVVLAVSLFVVTMDMTILIMALPELVRELEPSGTQQLWIVDIYSLVLAGFIIPLSAFADKWGRKKALLTGFALFGLVSLAIFFAESAEFVIAIRFLLGIAGALIMPTTLSMIRVIFENPKERATALAVWSSVSSIGAVFGPIIGGALLERFSWHSAFLINVPFAIIAVVAGLFLLPESKLSKEKSHSWDIPSTILSIAGMIGLVWSIKEFSKEGLADIIPWVVIVLAITMIVIFVKRNLSSSDPMLDVRLFKKRSFSAGTIAAFMTMFAMTSVLLLASQWLQVVEELSPFKAGLYLLPMAIGAMVFAPIAPGLAARFGPKIVLPSGIGIAAIGMFIMYFFGHPLSYSTMALALILVEAGTASLAVASALIMLETPTSKAGNAAAVEESMYDLGNVFGVAVLGSLSSMLYRVFLDISSFSSKGIVGDLAHVAEESVVGAVEVAKATGIKQLANEAVTSFNDAFVATALVGGIIMIIISIVVYLLIPKSLDITKQK ->ARGMiner~~~BacA~~~ZP_04577926~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04577926~~~bacitracin~~~unknown MDFILALKALIMGIVEGCTEFLPISSTGHLILAGSLLDFTGDNVKVFSIAIQAGAMLSVVWVYRQKIASVIRGMFTNAKDRKFVVNLIVAFLPAAILGVLFSRKIQDFLFYPVPVAAAFIAGGLLIIFVERRNEKNPVARVESVDDMTLMDAFKVGCAQAFALIPGTSRSGATIIGGMLFGLSRKAATEFSFFLAMPTLFGAAVYSVFKERALLSAADVPLFTIGTISAFVSAFLCVRWLLRYISSHNFIPFAWYRIAFGIFVLVSAHYGWVVWAE ->ARGMiner~~~MdtH~~~YP_310046~~~multidrug unknown +>ARGMiner~~~MdtH~~~YP_310046~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRCRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSALLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRATRRLLERDA ->ARGMiner~~~SHV-161~~~AFQ23967.1~~~beta_lactam unknown +>ARGMiner~~~SHV-161~~~AFQ23967.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGSVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~DHA-21~~~AIT76100.1~~~beta_lactam unknown +>ARGMiner~~~DHA-21~~~AIT76100.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADVQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMTLNDPAEKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLHFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~BacA~~~YP_001389785~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001389785~~~bacitracin~~~unknown MLLILKAIIIGIVEGITEFLPVSSTGHMIIAGSLIGFDGTVYRKAYTDMFSVVIQLGAILAVVVLYWDKIISTLKNFFPSDRVPVKKCGLKFWINIVIASIPAAIIGIPFNDKIEEKLFYPLPVTIALIVGAIWMIYAENRYRNNSKVISIDDVNAKQAIIIGVFQCLALWPGMSRSASTIIGAWIVGLSTVAAAEFSFFLAIPAMIGASGMSLIKHNVFSTCSSIELIALAAGFIVSFIVALVVIDKFIAFLKKKPMKVFAIYRIVLGIVLIILIYTNIITWH ->ARGMiner~~~OXA-197~~~AEB98923.1~~~beta_lactam unknown +>ARGMiner~~~OXA-197~~~AEB98923.1~~~beta_lactam~~~unknown MNIKALFLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEIAYKSLEQLGIL ->ARGMiner~~~SHV-28~~~AAG15384.1~~~beta_lactam unknown +>ARGMiner~~~SHV-28~~~AAG15384.1~~~beta_lactam~~~unknown MRFIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~ACT-6~~~ACJ05686.1~~~beta_lactam unknown +>ARGMiner~~~ACT-6~~~ACJ05686.1~~~beta_lactam~~~unknown MMTKSLCCALLLSTSCSVLAAPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVKDMANWVMVNMKPDSLQDSSLKEGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~MdtH~~~CAA63057~~~multidrug unknown +>ARGMiner~~~MdtH~~~CAA63057~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLRSSLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~mexK~~~AAG07064.1~~~multidrug unknown +>ARGMiner~~~mexK~~~AAG07064.1~~~multidrug~~~unknown MSFNLSAWALQNRQIVLYLMILLGAVGALSYSKLGQSEDPPFTFKAMVVQTNWPGASAEEVARQVTERIEKKLMETGDYDRIVSFSRPGVSQVTFMAREDIHSSEIPELWYQIRKKISDIRATLPQSIQGPFFNDEFGTTYGNIYALTGKGFDYAVMKDYADRLQLQLQRIRNVGKVELIGLQDEKIWIDLSNTKLATLGLPLAAVQKALEEQNAVASSGFFETASDRVQLRVSGRFDSVEEIRDFPIRVGDRTFRIGDVAEVRRGFNDPPAPRMRFMGEDAIGLAVAMKPGGDILVLGKALETEFARLQQSLPAGLELRKVSDQPAAVRTGVGEFIRVLAEALVIVLLVSFFSLGLRTGLVVALSIPLVLAMTFAAMHYFGIGLHKISLGALVLALGLLVDDAIIAVEMMAVKMEQGYDRLKAASFAWTSTAFPMLTGTLITAAGFLPIATAQSGTGEYTRSLFQVVTIALVVSWFAAVVFVPYLGAKLLPDLARLHAQKHGGSADGYDPYATAFYQRFRRLVEWCVRYRKTVIVLTLAAFVGALLLFRLVPQQFFPPSARLELLLDIKLAEGASLRSTGEEVQRLEKMLQGHDGIDNYVAYVGTGSPRFYLPLDQQLPAASFAQVVVLAKDLESREALRKWLIERMNEDFPHLRSRISRLENGPPVGYPVQFRVSGEDIPQVRELARKVADKMRENPHVVNVHLDWEEPSKVVYLSIDQERARALGVSTASLSQFLQSALTGSHVSFFREDNELIEILLRGTEQERRDLSLLPSLAVPTENGRSVALSQIATLEYGFEEGIIWHRNRLPTVTVRADIYDDSLPATLVAQIAPTLEPIRAELPDGYLLEVGGTVEDAAKGQSSVNAGVPLFIVVVLSLLMVQLRSFSRMAMVFLTAPLGLIGVTLFLLLFRQPFGFVAMLGTIALAGMIMRNSVILVDQIEQDISHGLDRWHAIIEATVRRFRPIVLTALAAVLAMIPLSRSVFFGPMAVAIMGGLIVATVLTLLFLPALYAAWFRVKKDEARA ->ARGMiner~~~CTX-M-87~~~ACB41777.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-87~~~ACB41777.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAVAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTELTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CTX-M-124~~~CAJ31231~~~beta_lactam unknown +>ARGMiner~~~CTX-M-124~~~CAJ31231~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIQAGLPKSWVVGDKTGSGDYGTTNDIAIIWPENHAPLVLVTYFTQPDQKAESRRDVLAAAAKIVTHGF ->ARGMiner~~~CTX-M-100~~~CBW46935.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-100~~~CBW46935.1~~~beta_lactam~~~unknown MMRKSVRRAMLMTTACVSLLLASVPLCAQANDVQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAAAAVLKQSETQKGLLSQRVEIKPSDLINYNPIAEKHVNGTMTFGELSAAALQYSDNTAMNKLIAHLGGPDKVTAFARTIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQALRNLTLGNALGDTQRAQLVMWLKGNTTGAASIQAGLPTSWVVGDKTGSGGYGTTNDIAVIWPEGRAPLVLVTYFTQSEPKAESRRDVLAAAARIVTDGY ->ARGMiner~~~mexE~~~NP_251183.1~~~multidrug unknown +>ARGMiner~~~mexE~~~NP_251183.1~~~multidrug~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~MdtH~~~YP_001176309~~~multidrug unknown +>ARGMiner~~~MdtH~~~YP_001176309~~~multidrug~~~unknown MSRVSQARNLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMGIAHDPWLLWFSCFLSGLGGTLFDPPRTALVVKLIRPRQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAALFILCAAFNAWLLPAWKLSTVKAPVREGLGRVMADKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMTLSMMPIGLVSSVQQLFVLICTFYIGSIIAEPARETLGAGLADPRARGSYMGFSRLGLALGGALGYAGGGWLFDSGKAMNQSELPWVMLGVVGFITLIALWWQFSPKRSASGMLEPGA ->ARGMiner~~~OXA-365~~~AHI63011.1~~~beta_lactam unknown +>ARGMiner~~~OXA-365~~~AHI63011.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEMTYKSLEQLGIL ->ARGMiner~~~QnrB45~~~AFA52644.1~~~quinolone unknown +>ARGMiner~~~QnrB45~~~AFA52644.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRTMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~AAC(6')-Iz~~~AAD52985.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~AAD52985.1~~~aminoglycoside~~~unknown MIASAPTIRQATPADAAAWAQLRLGLWPDADDPLEELTQSLADAEGAVFLACAADGETVGFAEVRLRHDYVNGTESSPVGFLEGWYVQPQWQGSGVGRALLAAVQAWTRDAGCRELASDSRVEDVQAHAAHRACGFEETERVVYFRMPLEPSA ->ARGMiner~~~SHV-140~~~AEK80394.1~~~beta_lactam unknown +>ARGMiner~~~SHV-140~~~AEK80394.1~~~beta_lactam~~~unknown KRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYSQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OKP-A-11~~~CAP12353.2~~~beta_lactam unknown +>ARGMiner~~~OKP-A-11~~~CAP12353.2~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAAFASPPPLEQVTRSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHALSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATMAERNQQIARIGAALIEHWQR ->ARGMiner~~~MdtM~~~YP_001465866~~~multidrug unknown +>ARGMiner~~~MdtM~~~YP_001465866~~~multidrug~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRVGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNSGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~MfpA~~~YP_906603~~~quinolone unknown +>ARGMiner~~~MfpA~~~YP_906603~~~quinolone~~~unknown MEHWVDCEFTGRDFRDEDLSRLRTERVVFSECNFGGVNLTESEHRGSAFRNCSFERTTLWHSTFAQCSMLGSVFVSCRMRPLVLDEVDFTLAVLGGNDLRGVDLSGCRLREASLVETDLRKSVLRGADLRGARTNGTKLDDADLRGANPDPSLWRSASLAGARIDVPQALSFALAHGLRLDS ->ARGMiner~~~CTX-M-32~~~CAD89606.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-32~~~CAD89606.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~CTX-M-31~~~CAD99181.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-31~~~CAD99181.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDESFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~TolC~~~AB0890~~~multidrug unknown +>ARGMiner~~~TolC~~~AB0890~~~multidrug~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~cphA5~~~YP_001143321~~~beta_lactam unknown +>ARGMiner~~~cphA5~~~YP_001143321~~~beta_lactam~~~unknown MMKGWIKCGLAGAVVLVASFWGGSVHAAAISLTQVSGPVYVVEDNYYVKENSMVYFGAKGVTIVGATWTPDTARELHKLIKRVSNKPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFNLQEGKVRAFYAGPAHTPDGIFVYFPDQQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKIVVGGHDSPLHGPELIDHYQALIKAAPQA ->ARGMiner~~~CMY-14~~~CAD88479.1~~~beta_lactam unknown +>ARGMiner~~~CMY-14~~~CAD88479.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYARGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEINPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~AAC(6')-Ib9~~~ABG77519~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib9~~~ABG77519~~~aminoglycoside~~~unknown MLWSSNDVTQQGSRPKTKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~TEM-182~~~ADP20705.1~~~beta_lactam unknown +>ARGMiner~~~TEM-182~~~ADP20705.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMISTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRLEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDELNRQIAEIGASLIKHW ->ARGMiner~~~VanSA~~~ZP_04299268~~~glycopeptide unknown +>ARGMiner~~~VanSA~~~ZP_04299268~~~glycopeptide~~~unknown MIVMAAVVFVLFLRLFIQGTLGEWIVRFLENSYHLERWDAMIIYQYTIRNNIEIFIYVAVAISILILCRVMLLKFVKYFDEINTGIDILIQNEDKQIELSAEMEFMEQKLNTLKRTLEKREHDAKLAEQRKNEVVMYLAHDIKTPLTSVIGYLILLDEAPDMPREQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTVTLTKKNIDLYYMLVQMTDEFYPQLAANGKQVVIHASEDLTVFGDPDKLARVFNNILKNAAAYSEDDSVIDITAGLSGNVVSIVFKNAGNIPKDKLATIFEKFYRLDDARSSNTGGAGLGLAIAKEIIVQHGGQIYAESNNNSTTFTVELPAAPDLADKGSS ->ARGMiner~~~SHV-155~~~AFQ23961.1~~~beta_lactam unknown +>ARGMiner~~~SHV-155~~~AFQ23961.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQQ ->ARGMiner~~~OXA-378~~~AHL30278.1~~~beta_lactam unknown +>ARGMiner~~~OXA-378~~~AHL30278.1~~~beta_lactam~~~unknown MNIQALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQIQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRIGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-248~~~CCJ32596.1~~~beta_lactam unknown +>ARGMiner~~~OXA-248~~~CCJ32596.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGEKRLFPEWEKNMTLGDAMKASAMPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~QnrD2~~~AHY03238.1~~~quinolone unknown +>ARGMiner~~~QnrD2~~~AHY03238.1~~~quinolone~~~unknown MEKHFINEKFSRDQFTGNRVKNIAFSNCDFSGVDLTDTEFVDCSFYDRNSLEGCDFNRAKLKNASFKSCDLSMSNFKNISALGLEISECLAQGADFRGANFMNMITTRSWFCSAYITKTNLSYANFSRVILEKCELWENRWNGTVITGAVFRGSDLSCGEFSSFDWSLADFTGCDLTGGALGELDARRTNLDGVKLDGEQAFQLVESLGVIVHR ->ARGMiner~~~TEM-219~~~AIS39742.1~~~beta_lactam unknown +>ARGMiner~~~TEM-219~~~AIS39742.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVFIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~aadK~~~CAB14620.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~CAB14620.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVESFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDRQYWIKRPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSEGLAYKYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~OXA-425~~~AJA32743.1~~~beta_lactam unknown +>ARGMiner~~~OXA-425~~~AJA32743.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTLKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASALPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~srmB~~~CAA45050.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~srmB~~~CAA45050.1~~~macrolide-lincosamide-streptogramin~~~unknown MSIAQYALHDITKRYHDCVVLDRVGFSIKPGEKVGVIGDNGSGKSTLLKILAGRVEPDNGALTVVAPGGVGYLAQTLELPLDATVQDAVDLALSDLRELEAAMREAEAELGESDENGSERELSAGLQRYAALVEQYQARGGYEADVRVEVALHGLGLPSLDRDRKLGTLSGGERSRLALAATLASSPELLLLDEPTNDLDDRAMEWLEDHLAGHRGTVIAVTHDRVFLDRLTTTILEVDSGSVTRYGNGYEGYLTAKAVERERRLREYEEWRAELDRNRGLITSNVARMDGIPRKMSLSVFGHGAYRRRGRDHGAMVRIRNAKQRVAQLTENPVHAPADPLSFAARIDTAGPEAEEAVAELTDVRVAGRLAVDSLTIRPGERLLVTGPNGAGKSTLLRVLSGELEPDGGSVRVGCRVGHLRQDETPWAPGLTVLRAFAQGREGYLEDHAEKLLSLGLFSPSDLRRRVKDLSYGQRRRIEIARLVSDPMDLLLLDEPTNHLTPVLVEELEQALADYRGAVVVVTHDRRMRSRFTGARLTMGDGRIAEFSAG ->ARGMiner~~~OprA~~~YP_001066170~~~multidrug unknown +>ARGMiner~~~OprA~~~YP_001066170~~~multidrug~~~unknown MKAMMKPRARRRGARAARRPNGPRAWPLAVAAALVAGCTLAPRYERPAAPVPAHYWSTATGAPREAGPAAAGGPRAMPDARRGDAGRDARDARLDDWRAYFTDPALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFSGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGADAERLRLAQRRYDSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDGCA ->ARGMiner~~~LEN-16~~~AAU89706.1~~~beta_lactam unknown +>ARGMiner~~~LEN-16~~~AAU89706.1~~~beta_lactam~~~unknown MRYVRLCVISLLATLPLAVYAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~mexW~~~AAG07763.1~~~multidrug unknown +>ARGMiner~~~mexW~~~AAG07763.1~~~multidrug~~~unknown MAFTDPFIRRPVLASVVSLLIVLLGMQAFSKLVIREYPQMENALITVTTLYAGANAETIQGYITQPLQQSLASAEGIDYMTSVSRQNYSTISIYARIGANTDRLVTELLAKSNEVKSQLPPDAEDPVLQKEAADASALMYISFYSEQMNNPQITDYLSRVIQPKLATLPGIAEAEILGNQVFAMRLWLDPVKMAAFGVTAGEINQAVQQYNFLAAAGEVKGQLVVTSVNASTDLKSPQAFAAIPVKTDGDRRVLMGDVARVELGAASYDAISSFNGIPSVYIGIKGTPSANPLDVIKEVRAKMPELEEQLPPNLKVSIAYDATRFIQASIDEVVKTLGEAVLIVIVVVFLFLGAFRSVLIPVVTIPLSMIGVLFFMQAMGYSINLLTLLAMVLAIGLVVDDAIVVVENIHRHIEEGKPPFEAALEGAREIAVPVVSMTITLAAVYAPIGFLTGLTGALFKEFAFTLAGAVIISGIVALTLSPMMCSRLLRHEENPSGLAHRLDLIFEGLKQRYQRALHGTLDTRPVVLVFAVLVLALIPVLLMFTKKELAPEEDQGIVFLMTNSPQTANLDYLNRYTAEFEGIFRSFPEYYSAFQINGYNGVQAGIGGMLLKPWDEREKSQMELLHAVQAKLNEIPGVQIFAFNLPSLPGTGEGLPFQFVLNTANDYESLLQVAQRVKQRASESGKFAFLDLDLAFDKPELVVDIDREKAAQMGVSMQDLGVALASLLGEGEINRFTIDGRSYKVIAQVERPYRDNPGWLGSYYVKSRNGQLVALSTLIETHERARPRQLNQFQQLNSAIISGFPIVSMGEAIETVQQIAREEAPRGFAVDYAGASRQYVQEGSALLVTFGLALAIIFLVLAAQFESFRDPLVIMVTVPLSICGALIPLFLGVSSLNIYTQVGLVTLIGLISKHGILIVEFANQLRHEQGLGRREAIEQAAAIRLRPVLMTTAAMVLGVIPLILATGAGAVSRFDIGIVIATGMSVGTLFTLFVLPCIYTLVARPDAPPGVTQAANAH ->ARGMiner~~~CTX-M-151~~~BAP34782.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-151~~~BAP34782.1~~~beta_lactam~~~unknown MINKRLSIALALAAMIGTPVAMALESQKPGSDSANHIQHQMVQQLSALEKSANGRLGVAVIDTGSGAIAGWRMDEPFPMCSTSKVMAVAALLKQSEQTPELMSQPQPVASGDLVNYNPITERFVGKSMTFDELSAATLQYSDNAAMNLILAKLGGPQKVTAFARSIGDDKFRLDRNEPSLNTAIPGDLRDTSTPRAMALSLQKLALGDALGQVQREKLSHWLRGNTTGAASIRAGLPSGWSVGDKTGSGDYGTTNDIAVVWPTGRPPLVIVTYFTQPQQQAESQRPVLAKAAAIVASHYVLPKG ->ARGMiner~~~LRA-9~~~ACH58989.1~~~beta_lactam unknown +>ARGMiner~~~LRA-9~~~ACH58989.1~~~beta_lactam~~~unknown MKLRYLLAAALLMTTASAFGADAPKRLPVNITNKEWLMPFPGFKIVGNMYYVGTYDLGCYLIDTGAGLILVNTGIMGSYPLMKASIESLGFKTSDIKIITATHGHSDHVGDIALFKKDAPGATVYMSERDVESLESGGNFDYRRPAPEGRGGLVYDPIHVDVKTKPGDHIKLGNVDMTVLQAYGHTPGATSFSFQQTDAGKTYNVLIVNMNGINAGVKLLGSPHYPTIVEDFKNTIDMQATYKPDIWVSSHSGQFNLHQVYKPGDAYNPARFGDLAAYQKKIATAKANYEKQLAEERAAAK ->ARGMiner~~~CTX-M-27~~~AAO61597.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-27~~~AAO61597.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~OXA-253~~~AGK07368.1~~~beta_lactam unknown +>ARGMiner~~~OXA-253~~~AGK07368.1~~~beta_lactam~~~unknown MKKFILPIFSISILLSLSACSSIQTKFEDTSDISDQQQGKAIKSYFDEAQTQGVIIIKEGKNISTYGNNLARAHTEYVPASTFKMLNALIGLENHKATTTEIFKWDGKKRSYPMWEKDMTLGDAMALSAVPVYQELARRTGLDLMQKEVKRVGFGNMNIGTQVDNFWLVGPLKITPIQEVNFADDLANNRLPFKLETQEEVKKMLLIKEVNGSKIYAKSGWGMDVIPQVGWLTGWVEKSNGEKVPFSLNLEMKQGMSGSIRNEITYKSLENLGII ->ARGMiner~~~TEM-40~~~CBX53726.1~~~beta_lactam unknown +>ARGMiner~~~TEM-40~~~CBX53726.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMISTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~QnrB35~~~AEL00456.1~~~quinolone unknown +>ARGMiner~~~QnrB35~~~AEL00456.1~~~quinolone~~~unknown MALALIGEKIDRNRFTGAKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAILKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKAVLEKCELWENRWMGTQMLGATLSGSDLSGGEFSSFDWRTANFTHCDLTNSELGDLDIRGVDLQGVKLDSYQAALLMERLGIAVIG ->ARGMiner~~~tetK~~~YP_003283625.1~~~tetracycline unknown +>ARGMiner~~~tetK~~~YP_003283625.1~~~tetracycline~~~unknown MFSLYKKFKGLFYSVLFWLCILSFFSVLNEMVLNVSLPDIANHFNTTPGITNWVNTAYMLTFSIGTAVYGKLSDYINIKKLLIIGISLSCLGSLIAFIGHNHFFILIFGRLVQGVGSAAFPSLIMVVVARNITRKKQGKAFGFIGSIVALGEGLGPSIGGIIAHYIHWSYLLILPMITIVTIPFLIKVMVPGKSTKNTLDIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFMLGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVATIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVASGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGVYSNILVAMAILIILCCLLTIIVFKRSEKQFE ->ARGMiner~~~MdtL~~~NP_709488~~~multidrug unknown +>ARGMiner~~~MdtL~~~NP_709488~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTFSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~rosB~~~ZP_04638974~~~polymyxin unknown +>ARGMiner~~~rosB~~~ZP_04638974~~~polymyxin~~~unknown MHHSTPLITTIVGGLVLAFLLGTLAHRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKSIAIPGAVAQIAVATLLGMGLSHLLGWDLMTGFVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFAGVMGNETTSLSQLFTELAITIGKVIAFITLMIVVGRRLVPWILAKTASTGSRELFTLAVLVLALGIAYGAVGLFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILLHEPLAVLASLAIIIFGKSVIAFALVRLFGHSKRTALTISVSLAQIGEFAFILAGLGISLGLMSEHGRNLVLAGAILSIMLNPLLFTLLDRYLAKNETMEDLILEEAVEEEKQIPVDLCNHALLVGYGRVGSLLGAKLHAEGIPLVVIENSRPRVEALREQGINAVLGNAASADIMSLARLDCARWLLLTIPNGYEAGEIVASARIKRPDLEIIARAHYDDEVVYISDRGANQVVMGEREIANSMLNMLKIDTLTEEDKRPVCPI ->ARGMiner~~~BcII~~~ZP_04223576~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04223576~~~beta_lactam~~~unknown MKERVEKMKNTLLKLGVCVSLLGITPFVSTISSVQAEQTVEHKVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLILNTSKGLVLVDSSWDDKLTKELIEMAEKKFKKSVTDVIITHAHADRIGGIKTLKERGIKAHSTTLTAELAKKNGYEEPLGDLQAITKLKFGNMKVETFYPGKGHTEDNIVVWLPQYNMLVGGCLVKSASAKDLGNVTDAYVNEWSTSIENVLKRYENINFVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~CTX-M-33~~~AAO88912.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-33~~~AAO88912.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYSPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~APH(2'')-Ig~~~AGV10818.1~~~aminoglycoside unknown +>ARGMiner~~~APH(2'')-Ig~~~AGV10818.1~~~aminoglycoside~~~unknown MCEFSSPQIPITDIENAMERIGSPVRELRRLDAGDDSEVLLCNGLFVIKIPKRPSVRVTQQREFAVYSFLKQYDLPALIPEVIFQCSEFNVMSFIPGENFGFQEYALLSEKEKEALASDMAIFLRRLHGISVPLSEKPFCEIFEDKRKRYLEDQEQLLEVLENRKLLNAPLQKNIQTIYEHIGQNQELFNYAACLVHNDFSSSNMVFRHNRLYGVIDFGDVIVGDPDNDFLCLLDCSMDDFGKDFGRKVLRHYGHRNPQLAERKAEINDAYWPIQQVLLGVQREDRSLFCKGYRELLAIDPDAFIL ->ARGMiner~~~lmrD~~~ABF66027.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~lmrD~~~ABF66027.1~~~macrolide-lincosamide-streptogramin~~~unknown ENTKSTRKMSDTTRAIRFFYLYLKRYKLQFAVIMIFIILATWLQVVSPSLLGDAITNLTKYVTDFFTHQHAGQSQDALQQIAQQLSQQMHQTVDWHNVPEVVKSLPQAAQDQITANLPKGTTLETLKTVATSHAASTSTFMKGMWQLLAVYVATGVSMLIYTLLFSRIVAHSTNRMRKGLFGKLERLTISYFDRHQDGDILARFTSDLDNIQNTLNQALVSVISNAAVFVGVIIQIFNKDVTFAWLTVAASPVAILSAVIIIRQSKKATDKQQEEVSQLNAYMDEKISGQKAIIVEGLQEDSINGFLEHNENVKKRTFAAQAWSGMIFPLMNGFQLLSIAIVIFGGTAYVLNDDSMSIATGLGLLVAFVQYVQSYYNPIMQISSNFGQLQLAITGATRLNVMFDEPEEVRPENGKKFDTIKDGIQIENLDFEYLPGKPVLKKVNIDVKKGQMVALVGPTGSGKTTVMNLMNRFYDVNGGAIKFDGTDIREFDLDSLRSNVGIVLQESVLFDGTIADNIKFGKPNATQEEIETVAKTTHIHDFIDSLPDKYETHVSDDESVFSVGQKQQISIARTILTNPELLILDEATSNVDTVTEQQIQWAMEAAIAGRTSFVIAHRLKTILNADKIVVLKDGEVIEEGNHHELVAQGGFYSELYHNQFVF ->ARGMiner~~~SHV-45~~~AAN39364.1~~~beta_lactam unknown +>ARGMiner~~~SHV-45~~~AAN39364.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIDDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~QnrB26~~~AEH59666.1~~~quinolone unknown +>ARGMiner~~~QnrB26~~~AEH59666.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGVKIDRNRFTGEKIENGTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~TEM-177~~~CBJ06718.1~~~beta_lactam unknown +>ARGMiner~~~TEM-177~~~CBJ06718.1~~~beta_lactam~~~unknown MSIKHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGTGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MdtN~~~YP_002394085~~~multidrug unknown +>ARGMiner~~~MdtN~~~YP_002394085~~~multidrug~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASSVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTLATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~CTX-M-126~~~BAL72196.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-126~~~BAL72196.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEATLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~BacA~~~ZP_04589788~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04589788~~~bacitracin~~~unknown MDLWTAAQALILGVVEGLTEFLPISSTGHQIIVADLIDFGGERAMAFNIIIQLGAILAVVWEFRRKILDVVVGLPKQQQAQRFTLNLLIAFMPAVVLGVIFADTIHHYLFNAITVATALVVGGVIMLWAERRVHTVRTETVDDMTWTDALKVGLVQCLAMIPGTSRSGSTIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRDMFRPDDFAVFAIGFITSFVFAMIAVRALLKFIATHSYAVFAWYRIAFGLLILATWQFGWIDWASAKA ->ARGMiner~~~tolC~~~YP_001881802~~~multidrug unknown +>ARGMiner~~~tolC~~~YP_001881802~~~multidrug~~~unknown MQMKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLAQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSIVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~SHV-173~~~AHA80959.1~~~beta_lactam unknown +>ARGMiner~~~SHV-173~~~AHA80959.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDQASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-229~~~AFM55000.1~~~beta_lactam unknown +>ARGMiner~~~OXA-229~~~AFM55000.1~~~beta_lactam~~~unknown MKFKMKGLFCVILSSLAFSGCVYDSKLQRPVISERETEIPLLFDQAQTQAVFVTYDGIHLKSYGNDLSRAKTEYIPASTFKMLNALIGLQNAKATNTEVFHWNGEKRAFSAWEKDMTLAEAMQASAVPVYQELARRIGLELMREEVKRVGFGNAEIGQQVDNFWLVGPLKISPEQEVQFAYQLAMKQLPFDSNVQQQVKDMLYIERRGDSKLYAKSGWGMDVEPQVGWYTGWVEQPNGKVTAFALNMNMQAGDDPTERKQLTLSILDKLGLFFYLR ->ARGMiner~~~PDC-1~~~ACQ82807.1~~~beta_lactam unknown +>ARGMiner~~~PDC-1~~~ACQ82807.1~~~beta_lactam~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~emrb~~~NP_417169.1~~~multidrug negative mdr regulator +>ARGMiner~~~emrb~~~NP_417169.1~~~multidrug~~~negative mdr regulator MDSSFTPIEQMLKFRASRHEDFPYQEILLTRLCMHMQSKLLENRNKMLKAQGINETLFMALITLESQENHSIQPSELSCALGSSRTNATRIADELEKRGWIERRESDNDRRCLHLQLTEKGHEFLREVLPPQHNCLHQLWSALSTTEKDQLEQITRKLLSRLDQMEQDGVVLEAMS ->ARGMiner~~~CTX-M-113~~~AEM44653.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-113~~~AEM44653.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKRSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~SHV-57~~~AAO66446.1~~~beta_lactam unknown +>ARGMiner~~~SHV-57~~~AAO66446.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETERNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~MdtH~~~YP_002638128~~~multidrug unknown +>ARGMiner~~~MdtH~~~YP_002638128~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFIDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALTQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~KsgA~~~B6HZ32~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~B6HZ32~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTFNFGELAEKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPHPVKDVRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTGMGIDPAMRAENISVAQYCQMANYLAENATLQES ->ARGMiner~~~APH(3')-IVa~~~0910185A~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IVa~~~0910185A~~~aminoglycoside~~~unknown MNESTRNWPEELLELLGQTELTVNKIGYSGDHVYHVKEYRGTPAFLKIAPSVWWRTLRPEIEALAWLDGKLPVPKILYTAEHGGMDYLLMEALGGKDGSHETIQANRKLFVKLYAEGLRSVHGLDIRECPLSNGLEKKLRDAKRIVDESLVDPADIKEEYDCTPEELYGLLLESKPVTEDLVFAHGDYCAPNLIIDGEKLSGFIDLGRAGVADRYQDISLAIRSLRHDYGDDRYKALFLELYGLDGLDEDKVRYYIRLDEFF ->ARGMiner~~~vanSB~~~AAB05623.1~~~glycopeptide unknown +>ARGMiner~~~vanSB~~~AAB05623.1~~~glycopeptide~~~unknown MERKGIFIKVFSYTIIVLLLLVGVTATLFAQQFVSYFRAMEAQQTVKSYQPLVELIQNSDRLDMQEVAGLFHYNNQSFEFYIEDKEGSVLYATPNADTSNSVRPDFLYVVHRDDNISIVAQSKAGVGLLYQGLTIRGIVMIAIMVVFSLLCAYIFARQMTTPIKALADSANKMANLKEVPPPLERKDELGALAHDMHSMYIRLKETIARLEDEIAREHELEETQRYFFAAASHELKTPIAAVSVLLEGMLENIGDYKDHSKYLRECIKMMDRQGKTISEILELVSLNDGRIVPIAEPLDIGRTVAELLPDFQTLAEANNQRFVTDIPAGQIVLSDPKLIQKALSNVILNAVQNTPQGGEVRIWSEPGAEKYRLSVLNMGVHIDDTALSKLFIPFYRIDQARSRKSGRSGLGLAIVQKTLDAMSLQYALENTSDGVLFWLDLPPTSTL ->ARGMiner~~~Bmr~~~ZP_03592168~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~Bmr~~~ZP_03592168~~~multidrug~~~Multi-drug efflux pumps MEKKNITLTILLTNLFIAFLGIGLVIPVTPTIMNELHLSGTAVGYMVACFAITQLIVSPIAGRWVDRFGRKIMIVIGLLFFSVSEFLFGIGKTVEMLFISRMLGGISAAFIMPGVTAFIADITTIKTRPKALGYMSAAISTGFIIGPGIGGFLAEVHSRLPFFFAAAFALLAAILSILTLREPERNPENQEIKGQKTGFKRIFAPMYFIAFLIILISSFGLASFESLFALFVDHKFGFTASDIAIMITGGAIVGAITQVVLFDRFTRWFGEIHLIRYSLILSTSLVFLLTTVHSYVAILLVTVTVFVGFDLMRPAVTTYLSKIAGNEQGFAGGMNSMFTSIGNVFGPIIGGMLFDIDVNYPFYFATVTLAIGIALTIAWKAPAHLKAST ->ARGMiner~~~MacB~~~YP_001571041~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_001571041~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELRNIRRSYPSGEEQVEVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSMLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGIERKKRQARARELLQRLGLSDRVDYHPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLVAAQAGRVIEIHDGKIVHNPPAQENGREQGVAAAAVNTASGWRQFASSFREALAMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQVLKYDDLAAIQKQPWVNSATPTVSKSLRLRYGNMDIAVNANGVSGDYFNVYGMSFSEGNTFNVVQQRDRAQVVVLDANTRRQLFPNQANVVGEVVLVGNMPVIVIGVAEEKPSMYGNRNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVNSDQAEQQLTRLLTLRHGQKDFFTWNMDSILKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFMLQLFLPGWEISFSLIALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~BacA~~~A0KQI1~~~bacitracin unknown +>ARGMiner~~~BacA~~~A0KQI1~~~bacitracin~~~unknown MTESYALFVAFVLGIVEGLTEFLPVSSTGHMIIVGHLLGFDGPKAATFEVVIQMGSILAVVAVFWRRLFGLIGIHFGQKPAQGHATLSLVHIILGMLPAVIIGLAIHSWIKAHLFGPQTVMYALVAGGILLIIAEKFRPAVRSETLDDISYKQALGIGLFQCLALWPGFSRSGATISGGMLMGISRQAAAEFSFILAVPMMVAASGLDLYKSRDLLSMADFPMFAVGFITAFVVAMIAIKTFLALIRRLDFIPFAIYRFVVAFAVYLVFVA ->ARGMiner~~~MacB~~~YP_002411827~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_002411827~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~BacA~~~YP_001268143~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001268143~~~bacitracin~~~unknown MDFWTAFQAIILGVVEGLTEFLPISSTGHQIIVADLIGFGGERAMAFNIIIQLAAILAVVWEFRSKIFEVVFGLTHQPKARRFTGNLLLAFMPAVVLGVLFADLIHEYLFNPVTVAAALVVGGVIMLWAERRKHRVEVDHVDDMRWSHALKIGFIQCLAMIPGTSRSGSTIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRDLFQPGDLPVFALGFVTSFIFAMIAVRALLKFIANHSYAAFAWYRIVFGLFILATWQFGWVDWSTAHG ->ARGMiner~~~VCC-1~~~ALU64000~~~beta_lactam unknown +>ARGMiner~~~VCC-1~~~ALU64000~~~beta_lactam~~~unknown MKRIAMYVALSISTSTAFADEHNKNMADIEAAFEGRVGVYAINTGSGKAYSYRANERFPLCSSFKAFLAAAVLKMDQDSPGVLLEKVNYHNRTMEPHSPITEKFQSQGMAVGELAAATLQYSDNGAANLLMEKYIKGPEGMTQFMNSIGDTKFRLDRWELDLNSAIPGDERDTSTPKAVAESLNKLISNTVLDNYHQEIFKKWMIGNTTGDNRIRAAVPDGWVVGDKTGTCGKYGTANDHAFILQGNNAAPLILSIYTTRKGEHMKHDDEVIAKAARIAIENVK ->ARGMiner~~~SPM-1~~~CAD37801.1~~~beta_lactam unknown +>ARGMiner~~~SPM-1~~~CAD37801.1~~~beta_lactam~~~unknown MNSPKSRALLGFMGAFCLLLVAGAPLSAKSSDHVDLPYNLTATKIDSDVFVVTDRDFYSSNVLVAKMLDGTVVIVSSPFENLGTQTLMDWVAKTMKPKKVVAINTHFHLDGTGGNEIYKKMGAETWSSDLTKQLRLEENKKDRIKAAEFYKNEDLKRRILSSHPVPADNVFDLKQGKVFSFSNELVEVSFPGPAHSPDNVVVYFPKKKLLFGGCMIKPKELGYLGDANVKAWPDSARRLKKFDAKIVIPGHGEWGGPEMVNKTIKVAEKAVGEMRL ->ARGMiner~~~arnA~~~ZP_03049119~~~polymyxin unknown +>ARGMiner~~~arnA~~~ZP_03049119~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLDHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~vanTmL~~~ABX54689.1~~~glycopeptide unknown +>ARGMiner~~~vanTmL~~~ABX54689.1~~~glycopeptide~~~unknown MKKQNTGVNNFRLIAAAMVVAIHCFPFQTISKELDTLVTLTVFRIAVPFFFMVSGYYLLGPIPSSATNTYQINNYIKKQLKVYTFAIVLYLPLAFYSQSITLDMSIISFIKQLLFNGFFYHLWFFPAWVLGLLIVQFLLKRMNIQTVLFITFVAYLIGLGGDSWWGIVKQVPFFFRFYNAIFQLFGYTRNGLFYAPLFFALGAYLYKMNIKNFNSARNNYLLLLFSIEMILESYFLHLFNIPKHDSMYLFLPFVMTLVFIKIYNWSPKNNLLNSSQLSLGVYLIHPYIIAVIHSISIYVSIFTNSIINYLSVLLISYLTIRLILKRKEW ->ARGMiner~~~MdtO~~~YP_410369~~~multidrug unknown +>ARGMiner~~~MdtO~~~YP_410369~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQIVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAVAARECNLENICQTLLQLGQMNPNTPPTPAAKPPSMVADAFTNPDYIRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSCSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~tet37~~~ZP_03615711~~~tetracycline unknown +>ARGMiner~~~tet37~~~ZP_03615711~~~tetracycline~~~unknown MFPRNSEKKRRRNYKNIKDMTEITNKIYYVGVNDRNKHRFEGLWPLPNGVSYNSYIIDDEKVALVDTVEVDFFTQFLENIHEVIGDREIDYLIINHMEPDHSGSIALIKKYYPNIKIVGNKKTLGMLEGFYGVTDDVVEVKNGETLSLGNHELSFVLIPMVHWPETMVTLDAKNKVLFSGDAFGCFGALNGGIIDTEINCETFWLEMVRYYSNIVGKYGIPVQNALKKLAGVELDYICSTHGPVWHEHIEKVIGMYDKMSKYETEPGLVICYGTMYGNTERMAEIIARAASKAGVKNIVMYNISKTHHSYILRDIFRYKGLIVGAPTYNAGLYHEMEVLLSELANKDVKNHLLGWYGSHCWASKAVAKIQEWNETKLHYEPVGEPVDMKQAITPEVKAQCEALGKAMAEKLLAE ->ARGMiner~~~mdtG~~~YP_002045152~~~multidrug unknown +>ARGMiner~~~mdtG~~~YP_002045152~~~multidrug~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYDFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~CMY-81~~~AFK73452.1~~~beta_lactam unknown +>ARGMiner~~~CMY-81~~~AFK73452.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIVNNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDYVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALVVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~SHV-123~~~ACV32633.1~~~beta_lactam unknown +>ARGMiner~~~SHV-123~~~ACV32633.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGGNIKAERIVVIYLRDTPASMAERNQ ->ARGMiner~~~CTX-M-16~~~AAK32961.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-16~~~AAK32961.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTAGDKTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~rif~~~CAD90667~~~rifampin unknown +>ARGMiner~~~rif~~~CAD90667~~~rifampin~~~unknown MNNTIINSLIGGDDSIKRSNVFAVDSQIPTLYMPQYISLSGVMTNDGPDNQAIASFEIRDQYITALNHLVLSLELPEVKGMGRFGYVPYVGYKCINHVSVSSCNGVIWEIEGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYSQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGVVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTVFAHISHTINIIDTSIPVSLWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~IMP-31~~~AGS82587.1~~~beta_lactam unknown +>ARGMiner~~~IMP-31~~~AGS82587.1~~~beta_lactam~~~unknown MKKIFVLFVFLFCSITAAGESLPDIKIEKLDEDVYVHTSFEKITGWGVITKHGLVVLVNTDAYIIDTPFTAKDTEKLVRWFVGRGYKIKGSISSHFHSDSAGGIEWLNSQSIPTYASKLTNELLKKNGNAQAENSFSGVSYWLVKHKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFIKPDGLGYLGDANLEAWPKSAETLMSKYGNAKLVVSSHSEIGGASLLKRTWEQAVKGLKESKNHHSPK ->ARGMiner~~~mdtG~~~BAH62770~~~multidrug unknown +>ARGMiner~~~mdtG~~~BAH62770~~~multidrug~~~unknown MSSAETPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSYIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~BacA~~~B1YIX1~~~bacitracin unknown +>ARGMiner~~~BacA~~~B1YIX1~~~bacitracin~~~unknown MTIIELLKALLLGFIEGMTEFAPVSSTGHMIIVDDMWLQTTDFLGKYSANTFKIVIQLGSILAVIVVFWKRLFSLIGLYKIEGEHDASGTHKLKLRHVLIGLLPAAVLGLLFEDFIDENLFSIDTVIIGLAAGAILMIAADKLGPKEPKTTSLDQISYRQAALVGLFQCISLWPGFSRSGSTISGGVFLGMNHRTAADFTFIMAVPIMFGASALSLVKNWEYINVGDLGFYVVGFIASFGFALLSIRFFLKLINKIKLVPFAIYRLVLAAVLAVIVYM ->ARGMiner~~~VEB-6~~~ACA34904.1~~~beta_lactam unknown +>ARGMiner~~~VEB-6~~~ACA34904.1~~~beta_lactam~~~unknown MKIVKRILLVLLSLFFTVVYSNAQADNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKMWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~QnrB37~~~AEL00459.1~~~quinolone unknown +>ARGMiner~~~QnrB37~~~AEL00459.1~~~quinolone~~~unknown MTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAILKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGSDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSSFDWRAANVTHCDLTNSELGDLDVRGVDLQGVKLDSYQASLILERLGIAVIG ->ARGMiner~~~OXA-133~~~ACE63186.1~~~beta_lactam unknown +>ARGMiner~~~OXA-133~~~ACE63186.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFFSGCTVQHNLINETQSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTTWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVERIDFGNAEIGQQVDNFWLIGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEENNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~RosA~~~YP_001477378~~~fosmidomycin unknown +>ARGMiner~~~RosA~~~YP_001477378~~~fosmidomycin~~~unknown MTDRSETALPQAKGSAVKGTAFSILGAISVSHLLNDMIQSLILAIYPILQADFSLSFVQIGMITLTYQLTASLLQPLIGYYTDKHPQPYSLPIGMGFTLCGLLLLSVANTFPLVLLAAALVGTGSSVFHPESSRVARMASGGRHGLAQSLFQVGGNFGSSLGPLLAALIIAPYGKGNVAWFSLAALLAIVVLLQVSKWYQQQHRATKGQPKTASLVKPLPKRTVTYAIGILLVLIFSKYFYLASISSYYTFYLMHKFGVSVQNAQFHLFAFLFAVAAGTIIGGPLGDKIGRKRVIWGSILGAAPFTLILPYASLYWTGILTVFIGVILASAFSAILVYAQELIPGKVGMVSGLFFGFAFGMGGLGAAVLGYVADLTSIELVYQICAFLPLIGIITALLPNMEHNSQ ->ARGMiner~~~mdtG~~~ZP_02686031~~~multidrug unknown +>ARGMiner~~~mdtG~~~ZP_02686031~~~multidrug~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADYYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~Bcr~~~YP_002387665~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_002387665~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALANTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILALAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFYLYASRPKKR ->ARGMiner~~~EmrD~~~YP_002116741~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_002116741~~~multidrug~~~unknown MLLMLVLLVAVGQMAQTIYIPAIADMAQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLIAMTTHSLTVLIVASAMQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTLWNWRACYAFLLVLCAGVTFSMARWMPETRPAGAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMLPQTGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~EmrD~~~ZP_03283887~~~multidrug unknown +>ARGMiner~~~EmrD~~~ZP_03283887~~~multidrug~~~unknown MKKQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMAKELNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATVIAISTSSLTVLIAASALQGVGTGVGGVMARTLPRDMYQGTQLRHANSLLNMGILVSPLMAPLIGGLLDTLWSWRACYAFLLVLCVIVTFSMARWMPETRPVDAPRTKLLTSYKTLFGNGAFTCYLLMLIGGLAGIAVFEACSGVLLGAGLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVISCLLAGLMMWIPGLFGVMTVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGALAWLSAMMPQTGQSSLGLLMTLMGLLILLCWLPLASRVPHHEQPV ->ARGMiner~~~tetL~~~AAA22851.1~~~tetracycline unknown +>ARGMiner~~~tetL~~~AAA22851.1~~~tetracycline~~~unknown MNTSYSQSNLRHNQILIWLCILSFFSVLNEMVLNVSLPDIANDFNKPPASTNWVNTAFMLTFSIGTAVYGKLSDQLGIKRLLLFGIIINCFGSVIGFVGHSFFSLLIMARFIQGAGAAAFPALVMVVVARYIPKENRGKAFGLIGSIVAMGEGVGPAIGGMIAHYIHWSYLLLIPMITIITVPFLMKLLKKEVRIKGHFDIKGIILMSVGIVFFMLFTTSYSISFLIVSVLSFLIFVKHIRKVTDPFVDPGLGKNIPFMIGVLCGGIIFGTVAGFVSMVPYMMKDVHQLSTAEIGSVIIFPGTMSVIIFGYIGGILVDRRGPLYVLNIGVTFLSVSFLTASFLLETTSWFMTIIIVFVLGGLLFTKTVISTIVSSSLKQQEAGAGMSLLNFTSFLSEGTGIAIVGGLLSIPLLDQRLLPMEVDQSTYLYSNLLLLFSGIIVISWLVTLNVYKHSQRDF ->ARGMiner~~~lnuA~~~CAL44992.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~lnuA~~~CAL44992.1~~~macrolide-lincosamide-streptogramin~~~unknown MKNNNVTEKDLFYILDLFEHMKVTYWLDGGWGVDVLTGKQQREHRDIDIDFDAQHTQKVIQKLEDIGYKIEVDWMPSRMELKHEEYGYLDIHPINLNDDGSITQANPEGGNYVFQNDWFSETNYKGRKIPCISKEAQLLFHSGYDLTEKDHFDIKNLKSIT ->ARGMiner~~~ceoB~~~YP_371056~~~multidrug unknown +>ARGMiner~~~ceoB~~~YP_371056~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVIILLGGVIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDDRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRGLSAEDVVQAIREQNVQVAAGVIGASPSLPGTPLQLSVNARGRLQTEDEFGDIVVKTTPDGGVTHLRDVARIKLDASEYGLRSLLDNKPAVAMAINQSPGANSLQISDEVRKTMAELKQDMPAGVDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASLIPLIAVPVSIIGTFSLLLAFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIESGMNARAATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRGFNKVFHRGAENYGRGVRGVLSRKTLMLGVYLVLVGATVLVSKVVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGSIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFSERHGKALSAGAIAGALNQKYGAMKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYARLADATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVPVTDVFNTMQVYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNDKGEMVPLSSLVTVTPTFGPEMVVRYNGYTAADINGGPAPGFSSGQAQAAIERIAHETLPRGVRFEWTDLTYQQILAGDSAMYVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGRTPLEAAIEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAGKDSAGYGVPSQHVPASDA ->ARGMiner~~~OXA-224~~~AEQ73521.1~~~beta_lactam unknown +>ARGMiner~~~OXA-224~~~AEQ73521.1~~~beta_lactam~~~unknown MKNTIHINFAIFLIIANIIYSSASASTDISTVASPLFEGTEGCFLLYDVSTNTEIAQFNKAKCATQMAPDSTFKIALSLMAFDAEIIDQKTIFKWDKTPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVKNSAIENTIENMYLQDLENSTKLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYVFVSALTGNLGSNLTSSIKAKKNAITILNTLNL ->ARGMiner~~~BcII~~~EAL17042~~~beta_lactam unknown +>ARGMiner~~~BcII~~~EAL17042~~~beta_lactam~~~unknown MKKNILLKLGVCVSLLGTTQFVSTISSVQASQKVEQKVMKNEAGTISISQLNKNVWVHTELGYFNGEAVPSNGLVLTTSKGLVLVDSSWDDKLTKELIEMVEKKFKKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAALAKKNGYEEPLGDLQTVTNLKFGNMKVETFYPGKGHTGDNIVVWLPQYNILAGGCLVKSADAKDLGNVADAYVNEWSTSIENVLKRYRNINLVVPGHGEVGDRGLLLHTLDLLK ->ARGMiner~~~MdtM~~~YP_002229045~~~multidrug unknown +>ARGMiner~~~MdtM~~~YP_002229045~~~multidrug~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLLFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~GES-6~~~AAR97271.1~~~beta_lactam unknown +>ARGMiner~~~GES-6~~~AAR97271.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVKWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~tcmA~~~YP_002203876~~~tetracenomycin unknown +>ARGMiner~~~tcmA~~~YP_002203876~~~tetracenomycin~~~unknown MTSQTTIDTTGPGDKAPQSPSDQPPLSGLRGHPWLTLLTVAVGVMMVALDGTIVAIANPAIQADLKASFADVQWITNGYFLALAVALITAGKLGDRFGHRQTFLIGVVGFGAASGAIGLSSSIAAVVTFRVLQGLFGALLMPAALGLLRATFPAEKLNMAIGIWGMVIGASTAGGPILGGVLVEHVNWQSVFFINVPVGALALVLGVLILLDHRAENAPRSFDLLGIALLSAAMFCLVWALIKAPTWGWGDGLTWTFIVASVVGFGLFAFWETKVKEPLIPLGLFRSVALSAGVVLMVLMAIAFMGGLFFVTFYLQNVHGMRPIDAGLHLLPLTGMMIVGSPLAGVLITKLGPRIPLAGGMAATAIAMYGMSTLQADTGSALMSLWFALLGLGLAPVMVGATEVIVGNAPMELSGVAGGLQQAAMQIGGSLGTAVLGAVMASKVDGDLAGNWKDAGLPALTPAQLDQASEAVQVGVAPVAKGTPPEIVAKITDVAHDTFISGMSLASLVAAGVAAFAILVALLTKRGENAEAGAGVGHI ->ARGMiner~~~pp-cat~~~BAA03718.1~~~chloramphenicol unknown +>ARGMiner~~~pp-cat~~~BAA03718.1~~~chloramphenicol~~~unknown MEKKITGYTTVDISQWHRKEHFEAFQSVAQCTYNQTVQLDITAFLKTVKKNKHKFYPAFIHILARLMNAHPEFRMAMKDGELVIWDSVHPCYTVFHEQTETFSSLWSEYHDDFRQFLHIYSQDIACYGENLAYFPKGFIENMFFVSANPWVSFTSFDLNVANMDNFFAPVFTMGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRMLNELQQYCDEWQGGA ->ARGMiner~~~AAC(6')-I30~~~AAP43642.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-I30~~~AAP43642.1~~~aminoglycoside~~~unknown MAYAFCEIGESNEYIIQAARILTKSFLDIGNDSWPDMKSATKEVEECIEKPNICLGIHENEKLLGWIGLRPMYKLTWELHPLVISTQYQNKGIGRLLINELEKQAKQNGIIGIVLGTDDEYFKTSLSDVDLSGKNILDEIRNIKNIRNHPYEFYQRCGYSIVGVIPDANGKRKPDIWMWKKISD ->ARGMiner~~~OXA-418~~~AIN56719.1~~~beta_lactam unknown +>ARGMiner~~~OXA-418~~~AIN56719.1~~~beta_lactam~~~unknown MKFKMKGLFCVILSSLAFSGCVYDSKLQRPVISERETEIPLLFNQAQTQAVFVTYDGIHLKSYGNDLSRAKTEYIPASTFKMLNALIGLQNAKATNTEVFHWNGEKRAFSAWEKDMTLAEAMQASAVPVYQELARRIGLELMREEVKRVGFGNAEIGQQVDNFWLVGPLKISPEQEVQFAYQLAMKQLPFDRNVQQQVKNMLYIERRGDSKLYAKSGWGMDVKPQVGWYTGWVEQPNGKVTAFALNMNMQAGDDPAERKQLTLSILDKLGLFFYLR ->ARGMiner~~~CTX-M-42~~~AAY84742.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-42~~~AAY84742.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTETTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~vanHF~~~AAF36802.1~~~glycopeptide unknown +>ARGMiner~~~vanHF~~~AAF36802.1~~~glycopeptide~~~unknown MKNIGITIYGCERDEAEVFNELSPRFGVIPAITSSAVSETNAMLAPGNQCISVGHKSEISESILLALKESGVKYISTRSIGCNHIDVKAAESMGIAVGNVAYSPDSVADYTLMLMLMAIRNAKSIVSRAEKYDFRLDTVPGKELREMTVGVLGTGQIGKAVIERLRGFGCHVLAYGHSKEAAANYVSLNELLQKSDILTIHVPLGTDTYHMIGHEQIEAVKQGAFLINTARGGLVDTGALIKALENGRLGGAALDVLEGEEGLFYFDCTQKPIDNQLLLKLHKMPNVIITPHTAYYTGRALYDTVEKTILNCLEFERRETLE ->ARGMiner~~~QnrB16~~~ABV66096.1~~~quinolone unknown +>ARGMiner~~~QnrB16~~~ABV66096.1~~~quinolone~~~unknown MTPLLYIKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~CMY-49~~~ACV32310.1~~~beta_lactam unknown +>ARGMiner~~~CMY-49~~~ACV32310.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVTPGQLDAEAYGVKSNVTDMARWIQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALHTVEVNPPAPAVKASWVHKTGSTGGFGSYVAFIPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~OXA-84~~~ABC26006.1~~~beta_lactam unknown +>ARGMiner~~~OXA-84~~~ABC26006.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAISVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLAGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~ErmO~~~CAA11706.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmO~~~CAA11706.1~~~macrolide-lincosamide-streptogramin~~~unknown MARPTQRARTLSQNFLADRAAAAHVARLTAPDRRHPPLVLEVGAGKGALTEPLARRSRELHAYEIDSRLVPGLRTRFAAAPHVRVVAGDFLAARPPRTPFSVAGNVPFSRTADIVDWCLGAPALTDATLITQLEYARKRTGDYGRWTLLTVRTWPHHEWRLVGRVSRYGFRPAPRVDAGVLRIERRATPLLTGAAQHGWRDLVELGFSGVGGSLHASLRRAHPRRRVDAAFRAARLDPGVLVGEVAPARWLRLHEELAS ->ARGMiner~~~tolC~~~YP_002399550~~~multidrug unknown +>ARGMiner~~~tolC~~~YP_002399550~~~multidrug~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTATSNGHNPFRN ->ARGMiner~~~SHV-75~~~CAJ47130.2~~~beta_lactam unknown +>ARGMiner~~~SHV-75~~~CAJ47130.2~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPHNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-166~~~ADK35870.1~~~beta_lactam unknown +>ARGMiner~~~OXA-166~~~ADK35870.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGALVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~CMY-21~~~AAZ66866.1~~~beta_lactam unknown +>ARGMiner~~~CMY-21~~~AAZ66866.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGELAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGKLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~BacA~~~ZP_04210257~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04210257~~~bacitracin~~~unknown MSDIIIAFILGIVEGLAEFLPISSTGHLILVGHLLGFEGERAKTFEIVIQLGAILAIAILYHKRLVSLCNIKPLLQKEKKFNAFHVFLGVFPAVVAGLLLHDIIKTYLFQPYTVVIGLVAGAILMLFAEVKKKEAAAYSLDDLTYRQALTIGLFQCLAVYPGFSRAGSTISGGLLAKVNYKTASEFSFLIALPVMIGATGLDLLKSWKYLSVDDIPMFAVGFITSFIVAMLAVVTFLKLLEKIGLKPFAYYRILLAILFTLFVLL ->ARGMiner~~~BacA~~~YP_002479998~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002479998~~~bacitracin~~~unknown MDNLLTALILSIVEGLTEFLPVSSSGHLILAGDLLGFVGEKAATFDVVIQLGAIMAVVVLYWKRFWGLVRPQPYARFAGRRGIVLLMLTSLPACILGLLLHAYIKEYLFRPATVLIALVVGAICMILVEKRKFKPTCISLDDMTPRLALGIGCFQCLALWPGFSRSAATIMGGMLLGAKRPLAAEYSFIAAVPIMVAATGYDLLKNLSMFTAADIPFFLVGMIGSFVSALLAVKVFVALVGRMTLIPFACYRLLIAPFVYYFMVN ->ARGMiner~~~mdtF~~~YP_002807856~~~multidrug unknown +>ARGMiner~~~mdtF~~~YP_002807856~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANAQDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~vanRA~~~ZP_04309403~~~glycopeptide unknown +>ARGMiner~~~vanRA~~~ZP_04309403~~~glycopeptide~~~unknown MSKSENLFCVTDCVYIQQYDFTWCNRYDRLVIQKRFQLKKKHIHRGGLVTMSDKVLIVEDEREIADLVELYLKNENYTVFKYYTAKEALECIDKNVLDLAILDIMLPDVSGLTICQKIRDKHTYPIIMLTAKDTEVDKITGLTIGADDYITKPFRPLELIARVKAQLRRYKKYNGVTAQNENVIVHSGLVINISTHECSLNEKPLSLTPTEFSILRILCENKGNVVSSEQLFHEIWGDEYFSKSNNTITVHIRHLREKMNDTVDNPKYIKTVWGIGYKIEK ->ARGMiner~~~QnrVC3~~~ADI81040.1~~~quinolone unknown +>ARGMiner~~~QnrVC3~~~ADI81040.1~~~quinolone~~~unknown MEKSKQLYNQVNFSHQDLQEHIFSNCTFIHCNFKRSNLRDTQFINCTFIEQGALEGCDFSYADLRDASFKNCQLSMSHFKGANCFGIELRDCDLKGANFTQVSFVNQVSNKMYFCSAYITGCNLSYANFEQQLIEKCDLFENRWIGANLRGASFTESYLSRGDFSEDCWEQFRVQGCDLSHSELYGLDPRKIDLTGVKICSWQQEQLLEQLGVIIVPD ->ARGMiner~~~vanHB~~~AAB05626.1~~~glycopeptide unknown +>ARGMiner~~~vanHB~~~AAB05626.1~~~glycopeptide~~~unknown MRKSMGITVFGCEQDEANAFRTLSPDFHIIPTLISDAISADNAKLAAGNQCISVGHKSEVSEATILALRKVGVKYISTRSIGCNHIDTTAAERMGISVGTVAYSPDSVADYALMLMLMAIRGAKSTIHAVAQQNFRLDCVRGKELRDMTVGVIGTGHIGQAVVKRLRGFGCRVLAYDNSRKIEADYVQLDELLKNSDIVTLHVPLCADTRHLIGQSEIGEMKQGAFLINTGRGALVDTGSLVEALGSGKLGGAALDVLEGEDQFVYTDCSQKVLDHPFLSQLLRMPNVIITPHTAYYTERVLRDTTEKTIRNCLNFERSLQHE ->ARGMiner~~~norA~~~CAF21854~~~multidrug unknown +>ARGMiner~~~norA~~~CAF21854~~~multidrug~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLIFFAVSESMLAAGRSFTILIISRVLSGFSAGMVMPGVTSVIANISPGADKAKNFGYMSAIINACFILGPGLGGFLSEISHRLPFYVAGTLSVGAFIMSVLLIHNPHKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~BacA~~~YP_001487997~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001487997~~~bacitracin~~~unknown MVDLFKRSELKGKKIMNLWDLFVALVLGIVEGLTEYAPVSSTGHMIIVDDLWLKSKEIITPEAANTFKVVIQLGSILAVMIVFKDRILNLLGLKKNITEEQKRSGHKLTIAQIAVGLVPAVILGFLFEDYIDKYLFDVRTVAVGLILGAVLMLVADWINKRKTETSSVDNMTYRQALYIGLFQCLALWPGFSRSGSTIAGGVILGLNHRAAADFTFIMAMPIMAGASFLTLVKNAEYLTTDMLPFFIVGFVSAFVVALFVVRFFLKLINKIKLVPFAIYRIILGVILFILFM ->ARGMiner~~~APH(7'')-Ia~~~CAF31839~~~aminoglycoside unknown +>ARGMiner~~~APH(7'')-Ia~~~CAF31839~~~aminoglycoside~~~unknown MTQESLLLLDRIDSDDSYASLRNDQEFWEPLARRALEELGLPVPPVLRVPGESTNPVLVGEPGPVIKLFGEHWCGPESLASESEAYAVLADAPVPVPRLLGRGELRPGTGAWPWPYLVMSRMTGTTWRSAMDGTTDRNALLALARELGRVLGRLHRVPLTGNTVLTPHSEVFPELLRERRAATVEDHRGWGYLSPRLLDRLEDWLPDVDTLLAGREPRFVHGDLHGTNIFVDLAATEVTGIVDFTDVYAGDSRYSLVQLHLNAFRGDREILAALLDGAQWKRTEDFARELLAFTFLHDFEVFEETPLDLSGFTDPEELAQFLWGPPDTAPGA ->ARGMiner~~~mdtG~~~ZP_04635523~~~multidrug unknown +>ARGMiner~~~mdtG~~~ZP_04635523~~~multidrug~~~unknown MASAPQPVNWKRNLFVTWLGCFLTGAAFSLIMPFLPLYVEELGVSGHQSLNMWSGLVFSITFLFSAIAAPFWGSLADRKGRKIMLLRSALGMGIVMVLMGMAQNIWQFLALRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALIGPLIGGLLADNYGLRPVFFITAAVLLLCFALTWLYVREQFAPVLKKDMLNGRQVFNSLKNPKLILSLFVTTMIIQIATGSIAPILTLYVRELAGDIHNLAFVSGMIASVPGVAALMSAPRLGKLGDRIGPERILIAMLALSVLILIPMAFVQTPLQLGILRFLLGATDGALLPAVQTLLIYNCTNQVAGRIFSYNQSFRDVGNVSGPLLGAAVSASYGFRAVFCVTALVVLFNAIYSYWCLQRQPLKARQREVQQQQDS ->ARGMiner~~~CMY-12~~~CAA76382.1~~~beta_lactam unknown +>ARGMiner~~~CMY-12~~~CAA76382.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYSNSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYARGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKNYPNPVRVEAAWRILEKLQ ->ARGMiner~~~FosX~~~YP_849917~~~fosfomycin unknown +>ARGMiner~~~FosX~~~YP_849917~~~fosfomycin~~~unknown MISGLSHITLIVRDLNKTTTFLQEIFDAEEIYSSGDKTFSLSKEKFFLIAGLWICIMEGESLQERTYNHIAFRIHAEEMDEYTERIKSLDVEIKPERSRVTGEGRSIYFYDYDNHLFELHAGTLEERLKSYHG ->ARGMiner~~~TEM-190~~~AEL88240.1~~~beta_lactam unknown +>ARGMiner~~~TEM-190~~~AEL88240.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRGEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERDRQIAEIGASLIKHW ->ARGMiner~~~KsgA~~~Q57TH0~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~Q57TH0~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDRFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDKLTVIELDRDLAARLQTHPFLGSKLTIYQQDAMTMNFGELSAQLGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCQVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPYPVKDIRVLSRITTEAFNQRRKTIRNSLGNLFSVETLTEMGIDPAMRAENISVAQYCQMANYLSENAPLKES ->ARGMiner~~~AAC(6')-Iae~~~BAD14386.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iae~~~BAD14386.1~~~aminoglycoside~~~unknown MKYNIVNIKDSEKYITQAAEILFDVFSHINFDSWPSLQKATETVIECISAENICIGILINDELCGWVGLREMYKKTWELHPMVIKKKHQNKGFGKILIFETEKKAKERNLEGIVLGTDDETFRTTLSMSELNNENIFHEIKNIKNLKNHPFEFYEKCGYSIIGVIPNANGKNKPDILMWKNIM ->ARGMiner~~~imiS~~~CAA71441.1~~~beta_lactam unknown +>ARGMiner~~~imiS~~~CAA71441.1~~~beta_lactam~~~unknown MMKGWIKCGLAGAVVLMASFWGGSVRAAGMSLTQQVSGPVYVVEDNYYVQENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRKPVLEVINTNYHTDRAGGNAYWKSIGAKVISTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHEGDFTLQEGKLRAFYLGPAHSPDGIFVYFPDQQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAASQS ->ARGMiner~~~CMY-29~~~ABS12248.1~~~beta_lactam unknown +>ARGMiner~~~CMY-29~~~ABS12248.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQFPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGELAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~rmtH~~~AGH19769.1~~~aminoglycoside unknown +>ARGMiner~~~rmtH~~~AGH19769.1~~~aminoglycoside~~~unknown MTIEQAAADILSSKKYQLLCPDTVVRILTQEWGRHKKPKQAVERTRERLHGICGAYLAPQVEKQASTALAAGDVQKALALHASTRERLDTYPQLYQFVFENNLPARVLDIACGLNPLMLHRQGVASVWGCDIHQGLGNVLTPYAQKHGWDFTFALHDVLCAPVAASGDMALVFKLLPLLEREQPGAALALLRTLDAPVICVSFPTRSLGGRGKGMHQHYATWFEGLVAPHFTVQHHTLIGDELLYRIQPNPA ->ARGMiner~~~acrB~~~CAD04960~~~multidrug unknown +>ARGMiner~~~acrB~~~CAD04960~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~OXA-18~~~AAB58555.1~~~beta_lactam unknown +>ARGMiner~~~OXA-18~~~AAB58555.1~~~beta_lactam~~~unknown MQRSLSMSGKRHFIFAVSFVISTVCLTFSPANAAQKLSCTLVIDEASGDLLHREGSCDKAFAPMSTFKLPLAIMGYDADILLDATTPRWDYKPEFNGYKSQQKPTDPTIWLKDSIVWYSQELTRRLGESRFSDYVQRFDYGNKDVSGDPGKHNGLTHAWLASSLKISPEEQVRFLRRFLRGELPVSEDALEMTKAVVPHFEAGDWDVQGKTGTGSLSDAKGGKAPIGWFIGWATRDDRRVVFARLTVGARKGEQPAGPAARDEFLNTLPALSENF ->ARGMiner~~~OXA-326~~~AGW16408.1~~~beta_lactam unknown +>ARGMiner~~~OXA-326~~~AGW16408.1~~~beta_lactam~~~unknown MYKKAFIVATSILFLSACSSNTVKQHQIHSISANKNSEAIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTDYVPASTFKMLNALIGLEHHKVTTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDDFWLVGPLKITPQQETQFAYQLAHKTLPFSKNVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~ANT(2'')-Ia~~~YP_001338814~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~YP_001338814~~~aminoglycoside~~~unknown MRSRNWSRTLTERSGGNGAVAVFMACYDCFFVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTRLGRMDTTQVTLIHKILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAIVEMLGGRVMEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~OXA-61~~~AAT01092.1~~~beta_lactam unknown +>ARGMiner~~~OXA-61~~~AAT01092.1~~~beta_lactam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDGQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~mexA~~~YP_001345920~~~multidrug unknown +>ARGMiner~~~mexA~~~YP_001345920~~~multidrug~~~unknown MGHGAGWITGHRAKTFQVFSREASGRLQTPMNVSILPAFFEPVQRLHAASAAFAHEDNAMQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRFKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~OKP-A-12~~~CAP12354.2~~~beta_lactam unknown +>ARGMiner~~~OKP-A-12~~~CAP12354.2~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAAFASPQPLEQVTRSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHALSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATMAERNQQIARIGAALIEHWQR ->ARGMiner~~~pbp2~~~YP_001570088~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~YP_001570088~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVIVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPNDIADFRHALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLSGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTASQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPVMRKILDHLFDPQADTTQPGQAP ->ARGMiner~~~MacB~~~YP_002239469~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_002239469~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGRERRARLARAHDLLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIEIRDGEIVRNPPGSRQGGGLRARQQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~ErmT~~~YP_001716198~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmT~~~YP_001716198~~~macrolide-lincosamide-streptogramin~~~unknown MNKKNIKDSQNFITSKHHINEILRNVHLNTNDNIIEIGSGKGHFTFELAKRCNYVTAIEIDPKLCRITKNKLIENFQVINKDILQFKFPKNKSYKIYGNIPYNISTDIIRKIVFESTATESYLIVEYGFAKRLLNTNRSLALFLMTEVDISILSKIPREYFHPKPRVNSSLIVLKRHPSKISLKDRKQYENFVMKWVNKEYIKLFSKNQFYQALKYARIDDLNNISFEQFLSLFNSYKLFNR ->ARGMiner~~~QnrB60~~~BAN04743.1~~~quinolone unknown +>ARGMiner~~~QnrB60~~~BAN04743.1~~~quinolone~~~unknown MALALIGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAILKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKAVLEKCELWENRWMGTQVLGATLSGSDLSGGEFSSFDWRTANFTHCDLTNSELGDLDIRGVDLQGVKLDSYQAVLLMERLGIAVIG ->ARGMiner~~~MSI-OXA~~~AJP77058~~~beta_lactam unknown +>ARGMiner~~~MSI-OXA~~~AJP77058~~~beta_lactam~~~unknown MSHTFIIDRLGASMIKQILAALLLISPLLAQAAEWKESAQVARLFKQEGVSGTFVVYDVAADSYTVHDRQRANTRFIPASTFKIPNTLIALAHGAVANVDEVVPYGGKPVARPEWARDMGLREAIRISNVPVYQEVARRVGLDRMRSELVRMKYGNMQTGTVVDRFWLDGPLKISAVEQTEFLTKLAQGTLPFTNVAMAAVREISRQDGAPELYAKTGWGSRPGEADIGWWVGWLKKDGKLYAFALNMDLPDGAQDKRVSLAKAALRELGLL ->ARGMiner~~~KsgA~~~ZP_02698269~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~ZP_02698269~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDRFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDKLTVIELDRALAARLQTHPFLGPKLTIYQQDAMTMNFGELSAQLGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCQVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPYPVKDIRVLSRITTEAFNQRRKTIRNSLGNLFSVETLTEMGIDPAMRAENISVAQYCQMANYLSENAPLKES ->ARGMiner~~~AdeA~~~ZP_03823621~~~multidrug unknown +>ARGMiner~~~AdeA~~~ZP_03823621~~~multidrug~~~unknown MQKHLLLPLVLSIGLVLHGCNSEVEQPEAPPAKVSVINVQPQSVNFSENLPARVQAFRTAEIRPQVGGIIEKVLFTQGSEVKAGQALYKINSETFQADVNSNRASLNKAEAEVTRLKVQLERYEQLLPSNAISKQEVSNVQAEYRQALADVAQMKALLTRQNLNLQYATVRAPISGRIGQSFVTEGALVSQGDANTMATIQQIDKVYVDVKQSIGDFERLQAALQSGELSANDQKTVRIFNSHGQPYNVTARMLFEDINVDPETGDVTIRIEVNNPERKLLPGMYVRVNIDRASVPQALLIPAQAIQRNISGEPQVYVINTKGTAEIRPIELGQQYEQYYLVNKGLKTGEKVVVEGIDRIQPDQKLEMTAWKIPATQGAQ ->ARGMiner~~~SHV-153~~~AFQ23959.1~~~beta_lactam unknown +>ARGMiner~~~SHV-153~~~AFQ23959.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDTPASMVERNQQIAGIGAALIEHWQR ->ARGMiner~~~TEM-213~~~AHA58207.1~~~beta_lactam unknown +>ARGMiner~~~TEM-213~~~AHA58207.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEFSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~APH(3'')-Ib~~~YP_001096379~~~aminoglycoside unknown +>ARGMiner~~~APH(3'')-Ib~~~YP_001096379~~~aminoglycoside~~~unknown MAFIIHAEDIQHSAGNRRWHLRKKPLKMRDRPEHNRCKSIATRFFSQVEPPPRFIRKLKEPPLNRTNIFFGESHSDWLPVRGGESGDFVFRRGDGHAFAKIAPASRRGELAGERDRLIWLKGRGVACPEVINWQEEQEGACLVITAIPGVPAADLSGADLLKAWPSMGQQLGAVHSLSVDQCPFERRLSRMFGRAVDVVSRNAVNPDFLPDEDKSTPQLDLLARVERELPVRLDQERTDMVVCHGDPCMPNFMVDPKTLQCTGLIDLGRLGTADRYADLALMIANAEENWAAPDEAERAFAVLFNVLGIEAPDRERLAFYLRLDPLTWG ->ARGMiner~~~AAC(6')-It~~~AAD03492.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-It~~~AAD03492.1~~~aminoglycoside~~~unknown MHIMPITESQLSDWLVLRCLLWPDHEDADLQEMRQLITQAHCLQLLAYTNTQKAIGMLEASIRYEYVNGTQTSPVAFLEGIYVLPEYRRSGIATGLVQHVEIWAKQFACTEFASDATLDNQISHAMHRALGFHETERVVYFKKNIG ->ARGMiner~~~MdtM~~~YP_001572039~~~multidrug unknown +>ARGMiner~~~MdtM~~~YP_001572039~~~multidrug~~~unknown MQRILQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~acrA~~~YP_001879173~~~multidrug unknown +>ARGMiner~~~acrA~~~YP_001879173~~~multidrug~~~unknown MTNLKSDTRGLHMNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTAPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~cphA8~~~AAP97129.1~~~beta_lactam unknown +>ARGMiner~~~cphA8~~~AAP97129.1~~~beta_lactam~~~unknown MMKGWIKCTLAGAVVLMASFWGGSVRAAGMSLMQVSGPVYVVEDNYYVKENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSSKPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFKLQEGKVRAFYAGPAHTPDGIFVYFPDQQVFYGNCILKEKLGNLSFANVKEYPQTIERLKAMKLPIKTVIGGHDSPLHGPELIDHYEELIKAATHS ->ARGMiner~~~EmeA~~~ZP_03982909~~~multidrug unknown +>ARGMiner~~~EmeA~~~ZP_03982909~~~multidrug~~~unknown MAVFLWRRQIMTKKNSMMYLAISNLFLVFLGVGLVIPVIPQLKEEMHFSGTTMGMMISIFAIAQLITSPIAGVLSDKIGRKKMIATGMLVFSISELLFGLAQAKSGFYISRGLGGIAAALLMPSVTAFVADMTTISERPKAMGLVSAAISGGFIIGPGVGGFIAYLGIRAPFFAAAFLAFIGFILTLTVLKEPEKRILAAVEAKKGSFMDILRNPMFTSLFVIILISSFGLQAFESIYSIMATINFGFTTSEIAIVITVSGILALICQLFFFDAIVQKIGEMGLIQLTFFASAIFIAVIAFTKNNLVVVFSTFIVFLAFDLFRPAVTTYLSKHAGDQQGTINGLNSTFTSFGNILGPMAAGALFDINHFFPYYVSAVILLGTGFLSLFLNRNKM ->ARGMiner~~~BacA~~~ZP_04271500~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04271500~~~bacitracin~~~unknown MSDIIIAFILGIVEGLAEFLPISSTGHLILVGHLLGFEGERAKTFEIVIQLGAILAIAILYHKRLVSLCNIKPLIQKEKKFNAFHVFLGVFPAVVAGLLLHDIIKTYLFQPYTVVIGLVAGAILMIFAEVKKKGAAAYSLDDLTYRQALTIGLFQCLAVYPGFSRAGSTISGGLLAKVNYKTASEFSFLIALPVMIGATGLDLLKSWKYLSVDDIPMFAVGFITSFIVAMLAVVTFLKLLEKIGLKPFAYYRILLAILFTLFVLL ->ARGMiner~~~OXA-101~~~CAL85435.1~~~beta_lactam unknown +>ARGMiner~~~OXA-101~~~CAL85435.1~~~beta_lactam~~~unknown MKTFAAYVITACLSSTALASSITENTFWNKEFSAEAVNGVFVLCKSSSKSCATNNLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLSLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLFLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKGTEVYFFAFNMDIDNENKLPLRKSIPTKIMASEGIIGG ->ARGMiner~~~TEM-120~~~AAO85882.1~~~beta_lactam unknown +>ARGMiner~~~TEM-120~~~AAO85882.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~CMY-70~~~AFU25635.1~~~beta_lactam unknown +>ARGMiner~~~CMY-70~~~AFU25635.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANNRPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTQYWPELTGKQWQGISLLHLATYTAGGLPLQVPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMSKRVLHPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMTRWVQANMDASQVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPVKADSIISGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~QnrB6~~~ABP87778.1~~~quinolone unknown +>ARGMiner~~~QnrB6~~~ABP87778.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~mph~~~EOO80837.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~mph~~~EOO80837.1~~~macrolide-lincosamide-streptogramin~~~unknown MNKQKAVEIARKYGLEVKDESIIFNESGLDFLVAYAEDDKGEEWVLRFPRRDDVMPRTIVEKKALDLVNKYATFQVPVWSVYEGDLIAYKKLIGVPAGTIDPEIQNYVWEMDYENVPEQFHQTLAKALASLHTVPKTEALKVGLFVQTAEEARKSMIERMKKVKAKFGVGESLWNRWQAWVKNEELWPQRTGLIHGDVHAGHTMIDKDANLTGFIDWTEAKVTDVSNDFVFQYRVFGEAALEKLINYYRQAGGIYWPAMKEHVIELNAAYPVAIAEFAIISGLEEYEQMAKETLEVNDR ->ARGMiner~~~BL2a_III~~~ZP_04174725~~~beta_lactam unknown +>ARGMiner~~~BL2a_III~~~ZP_04174725~~~beta_lactam~~~unknown MEQTCYLESLLCVRIKTGVQIGYSVLNLPLVFSIKSLKGMMILKNKRILKIGICVGILGLSLTSLEAFTGGTLQVEAKEKTGQVKHKNHATYQEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAYASTYKALAAGVLLQQNSIDKLNEVITYTKEDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPAHKRNILTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEAAEVVINALR ->ARGMiner~~~AAC(6')-Ib-Suzhou~~~ABU55430.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib-Suzhou~~~ABU55430.1~~~aminoglycoside~~~unknown MTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRMDA ->ARGMiner~~~OXA-202~~~ADX07747.1~~~beta_lactam unknown +>ARGMiner~~~OXA-202~~~ADX07747.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAMPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~MdtO~~~YP_002400590~~~multidrug unknown +>ARGMiner~~~MdtO~~~YP_002400590~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIASLFLIYKWSYGEPLIRLIIAGPILMSCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEAVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAIMQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHSITEGQCWQSDWRISESEAMTARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLHIRIGLHAAFNACEEMCQRVVLERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGVATALSHSPQITLEETSASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~QnrB67~~~AGL43628.1~~~quinolone unknown +>ARGMiner~~~QnrB67~~~AGL43628.1~~~quinolone~~~unknown MTLALVCEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~vanSN~~~AEP40504.1~~~glycopeptide unknown +>ARGMiner~~~vanSN~~~AEP40504.1~~~glycopeptide~~~unknown MKNKLNDPLIKRILLRYVSTVLLAIGIYGGVLLLLLFLFRLRTWYGDEPFYLFLRTLYIRFNLIGLVSSGAFLLLLMITLVYIFKLIGYLNETITATKQLLEAPEQRIQLSTELFTVQEEMNQIRENNNQANRAAKVAEQRKNDLIVYLAHDLRTSLTSVIGYLTLLKEEPQISTELRAKYTDIALDKALRLEELIGEFFEVTQFNLTKLTINKEIVDLSIMLEQISYEFLPILNEKGLKWQLAIDKGIKAEVDPNKMGRVFDNLIRNAINYSFSNSTIHLSLEKNGQNLELKITNETHTLPEEKLTQIFEPFYRVDTSRSSSTGGTGLGLSIVKDIVEASGGRIHAQSSNNQMTFTLTLPISE ->ARGMiner~~~BacA~~~ZP_01438526~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_01438526~~~bacitracin~~~unknown MDSGSIFDAVILGITEGLTEFIPVSSTGHILLLGHFLGFESTGFVFEIVIQLGAILAILAVYLGKLWQLFVTLPSSGKSRRFVAGIILAFLPAALIGVLAHDFIKTVLFETPLVVCVALIVGGIVLLVIDKMPLRVRYTEIMDYPLSLCLKIGFFQCLAMIPGTSRSGATIAGSLLMGTDKRSAAEFSFFLAMPTMLGAVTYDLFKNRDILSTEDIGLIAIGFICAFLAALLVVRILLDFVSRHGFAPFAYWRIAVGIIGVVLILAFDPHEAAAPQAGSAGDDYGIEETPVAVPDDQPRDGYGVSE ->ARGMiner~~~BL2a_1~~~ZP_04103077~~~beta_lactam unknown +>ARGMiner~~~BL2a_1~~~ZP_04103077~~~beta_lactam~~~unknown MKERVDNMKKNTLLKVGLCVSLLGTTQFVSTISSAQASQKVEQIVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLVLNTSKGLVLVDSSWDNKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGITALKERGIKAHSTALTAELAKNSGYEEPLGDLQTITSLKFGNTKVETFYPGKGHTEDNIVVWLPQYQILAGGCLVKSAEAKDLGNVADAYVNEWSTSIENVLKRYGNINSVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~arnA~~~ZP_03837591~~~polymyxin unknown +>ARGMiner~~~arnA~~~ZP_03837591~~~polymyxin~~~unknown MKAVVFAYHDMGCQGVQALLDAGYEIAAIFTHTDTPGEKAFFGSVSRLAASAGIPVYAPDDVNHPLWIERISQLAPDVIFSFYYRHLLSEEILSLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIIAQQRVAISPDDVALTLHHKLCQAARQLLEQALPAIKTEDYAERPQQEADATCFGRRTPEDSFLDWNKPAAELHNQVRAVSDPWPGAFSYVGTQKFTVWSSRVCTNDLAAQPGTVISVAPLLIACIDGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTSKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAIGRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPDNEASIQELAEMLLTCFEKHPLRNHFPPFAGFRNVESSTYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~mefE~~~NP_358565.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~mefE~~~NP_358565.1~~~macrolide-lincosamide-streptogramin~~~unknown MKIDKKNEAFLIVSRGISRIGDIMFDFANNTFLAGLNPTSLSLVAVYQSLESVIGVLFNLFGGVIADSFKRKKIIIVANILCGIACIILSFISQEQWMVFAIVITNIILAFMSAFSGPSYKAFTKEIVKKDSISQLNSLLEITSTIIKVTIPMVAILLYKLLGIHGVLLLDGFSFLIAASLISFIVPVNDEVVTKDKMTIGGVLNDLKIGFKYIYSHKTIFMIIILSAFVNFFLAAYNLLLPYSNQMFGEISDGLYGVFLTAEAIGGFIGAILSGVINKTLSSKRLMVFLSCSGLMLMLSTPLYFLFQNFIILAFSPALFSLFISIFNIQFFSIVQREVDTEFLGRVFGIIFTVAILFMPVGSGFFSVVLNPNNTFNLFIIGVSITILSLIFSTLLKRYDKNS ->ARGMiner~~~CTX-M-55~~~ABI34705.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-55~~~ABI34705.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~PDC-6~~~ACQ82811.1~~~beta_lactam unknown +>ARGMiner~~~PDC-6~~~ACQ82811.1~~~beta_lactam~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~mdtG~~~ZP_03282035~~~multidrug unknown +>ARGMiner~~~mdtG~~~ZP_03282035~~~multidrug~~~unknown MRNVMSPSDAPINWKRNLAVAWFGCFLTGAAFSLVMPFLPLYVEQLGVTGHSELNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMLLMGVAQNVWQFLILRALLGLLGGFVPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADTYGLRPVFFITASVLFLCFIVTLACIRENFTPVPKKEMLHARDVLGSLKNPRLVLSLFVTTMIIQVATGSIAPILTLYVRELAGNVNNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSMVQSPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQISGRIFSYNQSFRDIGNVTGPLVGAGVSATFGFRTVFIVTACVVLFNAVYSWFSLSRALRTPVKKSEA ->ARGMiner~~~vatC~~~AAC61671.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~vatC~~~AAC61671.1~~~macrolide-lincosamide-streptogramin~~~unknown MKWQNQQGPNPEEIYPIEGNKHVQFIKPSITKPNILVGEYSYYDSKDGESFESQVLYHYELIGDKLILGKFCSIGPGTTFIMNGANHRMDGSTFPFNLFGNGWEKHTPTLEDLPYKGNTEIGNDVWIGRDVTIMPGVKIGNGAIIAAKSVVTKNVDPYSVVGGNPSRLIKIRFSKEKIAALLKVRWWDLEIETINENIDCILNGDIKKVKRS ->ARGMiner~~~VIM-11~~~AAT36613.1~~~beta_lactam unknown +>ARGMiner~~~VIM-11~~~AAT36613.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGSEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~dfrA13~~~CAA90683.1~~~trimethoprim unknown +>ARGMiner~~~dfrA13~~~CAA90683.1~~~trimethoprim~~~unknown MNPESVRIYLVAAMGANRVIGNGPDIPWKIPGEQKIFRRLTESKVVVMGRKTFESIGKPLPNRHTVVLSRQAGYSAPGCAVVSTLSHVSPSTAEHGKELYVARGAEVYALALPHANGVFLSEVHQTFEGDAFFPVLNAAEFEVVSSETIQGTITYTHSVYARRNG ->ARGMiner~~~acrB~~~YP_001175677~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_001175677~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVSITAVYPGADAKTVQDTVTQVIEQNMNGIDKLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVIAAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSIFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGENKKGFFGWFNRMFDKSTNHYTDSIGGILRSTGRYLLLYIIIVVGMAFLFVRLPSSFLPDEDQGVFLTMAQLPAGASQERTQKVLDEVTDYYLTKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERSGDENKVEAITGRAMGTFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEIAKHPDLLVGVRPNGMEDTPQYKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYIMSEAQYRMLPNDINNWFVRGSDGQMVPFSAFSTSHWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMNLMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSRKNEDVEHSHSVDHH ->ARGMiner~~~BacA~~~YP_001780068~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001780068~~~bacitracin~~~unknown MLLILKAIIIGIVEGITEFLPVSSTGHMIIAGSLIGFDGTVYRKAYTDMFSVVIQLGAILAVVVLYWDKIMSTLKNFFPSDRVPVKKCGLKFWINIVIASIPAAIIGIPFNDKIEEKLFYPLPVTIALIVGAIWMIYAENRYRNNSKVISIDDVNAKQAIIIGVFQCLALWPGMSRSASTIIGAWIVGLSTVAAAEFSFFLAIPAMIGASGMSLIKHNVFSTCSSIELIALAAGFIVSFIVALVVIDKFIAFLKKKPMKVFAIYRIVLGIVLIILIYTNIITWH ->ARGMiner~~~QnrS~~~YP_002394666~~~quinolone unknown +>ARGMiner~~~QnrS~~~YP_002394666~~~quinolone~~~unknown MDTNNSTYHQHSFAQQDLSELTFTACTFIRCDFRRSNLRDATFINCKFIEQGDIEGCHFDIADLRDASFQNCQLAMANFSNANCYGIELRECDLKGANFTRANFANQVSNRMYFCSAYITGCNLSYANLEQACLEKCELFENRWIGTYLGGASLKESDLSRGVFSEDVWGQFSMQGANLCHAELEGLDPRKVDTTGIKIVAWQQEQLLETMGIVVMPD ->ARGMiner~~~AAC(3)-Xa~~~BAA78619.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Xa~~~BAA78619.1~~~aminoglycoside~~~unknown MDETELLRRSDGPVTRDRIRHDLAALGLVPGDTVMFHTRLSAIGYVSGGPQTVIDALLDVVGPTGTLLVTCGWNDAPPYDFTDWPPAWQEAVRAHHPAFDPRTSEAEHANGRLPEALRRRPGAVRSRHPDVSLAALGASAPALMDAHPWDDPHGPGSPLARLVALGGRVLLLGAPRDTMTLLHHAEALAQAPGKRFVTYEQPIEVAGERVWRTFRDIDSEHGAFDYSSAVPEGQDPFAVIVGSMLAAGIGREGFVGAARSRLFDAAPAVEFGVRWIEEHLNRDR ->ARGMiner~~~IMP-25~~~ACB41775.1~~~beta_lactam unknown +>ARGMiner~~~IMP-25~~~ACB41775.1~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLSDANIEAWPKSAKLLKSKYGKAKLVVPGHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~vanHO~~~AHA41499.1~~~glycopeptide unknown +>ARGMiner~~~vanHO~~~AHA41499.1~~~glycopeptide~~~unknown MSYRDLGLIDSEVIAERRVRALDDSSPSAVPTTGVRVFGCGHDEAVLFREMGTRLGITPSITEEAISETNAELARGNRCISVSHKTQIDNSTLLALSRVGVEYISTRSVGYNHIDVEFAASIGISVGNVDYSPDSVGDYTLMLMLMTVRHAKSIVRRADTHDYRLNDTRGRELRDLTVGVIGTGRIGTAVIDRLQGFGCRVLAHDSGPHASADYVPLDELLRQSDIVTLHTPLTADTHHLLDRQRIDQMKHGAYIVNTGRGPLLDTEALLSALESGRLGGAALDVVEGEEGIFYADCRNRLIENKALVRLQRLPNVLISPHSAYYTDHALNDTVENSLVNCLNFESGRTA ->ARGMiner~~~Erm(39)~~~AAR92235.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~Erm(39)~~~AAR92235.1~~~macrolide-lincosamide-streptogramin~~~unknown MSSVHHGRHENGQNFLRDRRVVGDIVRMVSHTAGPIVEIGAGDGALTLPLQRLGRPLTAIEIDLHRARRLADRTTAEVIATDFLRYRLPRTPHVVVGNLPFHLTTAILRRLLHENGWTDAILLVQWEVARRRAGVGGATMMTAQWWPWFEFGLARKVSADAFRPRPSVDAGLLTIQRRAEPLLPWADRRAYQALVHRVFTGRGRGLAQILRPHVHPRWLSANGIHPSALPRALTARQWVALFDAAG ->ARGMiner~~~OXY-2-2~~~AAL79541.1~~~beta_lactam unknown +>ARGMiner~~~OXY-2-2~~~AAL79541.1~~~beta_lactam~~~unknown MIKSSWRKIAMLAAAVPLLLASGALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGDALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGAGDYGTTNDIAVIWPEDHAPLILVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~CMY-33~~~ACA97847.1~~~beta_lactam unknown +>ARGMiner~~~CMY-33~~~ACA97847.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CTX-M-80~~~ABW86620.2~~~beta_lactam unknown +>ARGMiner~~~CTX-M-80~~~ABW86620.2~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTVDVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~dfrA19~~~CAC81324.1~~~trimethoprim unknown +>ARGMiner~~~dfrA19~~~CAC81324.1~~~trimethoprim~~~unknown MSHPQLELIVAVDSKLGFGKGGKIPWKCKEDMARFTRISKEIRVCVIGKHTYTDMRDMQLEKDGAEERIKEKGILPERESFVISSTLKQEDVIGATVVPDLRAVINLYENTDQRIAVIGGEKLYIQALSSATKLHMTIIPREFDCDRFIPVDPIQNNFHIDSSASETVEATVDETQERIHFATYVRNNQ ->ARGMiner~~~mgrB~~~NP_416340.1~~~multidrug unknown +>ARGMiner~~~mgrB~~~NP_416340.1~~~multidrug~~~unknown MKKFRWVVLVVVVLACLLLWAQVFNMMCDQDVQFFSGICAINQFIPW ->ARGMiner~~~CMY-95~~~AGC54799.1~~~beta_lactam unknown +>ARGMiner~~~CMY-95~~~AGC54799.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHASPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVASAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~vanXYL~~~ABX54688.1~~~glycopeptide unknown +>ARGMiner~~~vanXYL~~~ABX54688.1~~~glycopeptide~~~unknown MDNDYKYYLQLVNKQYPWQINNGSKKMVRVPYTDKEIYLDAVVVEHLIQLIETIQLQEKIEIVDGYRTIDEQKELWEFSLKDRGKRYTHDYVAYPGCSEHHTGLALDIGLKKTAHDIIAPKFNGEEAKKFLEHMKDYGFILRYPPNKKKVTGIAYEPWHFRYVGVPHSQIITQQAWTLEEYIAFLHTVGEKVS ->ARGMiner~~~QnrA6~~~AAZ78355.1~~~quinolone unknown +>ARGMiner~~~QnrA6~~~AAZ78355.1~~~quinolone~~~unknown MDIIDKVFQQEDFSRQDLSDSRFRRCRFYQCDFSHCQLRDASFEDCSFIESGAIEGCHFSYADLRDASFKACRLSLANFSGANCFGIEFRECDLKGANFSRARFYNQISHKMYFCSAYISGCNLAYANLSGQCLEKCELFENNWSNANLSGASLMGSDLSHGTFSRDCWQQVNLRGCDLTFADLDGLDPRRVNLEGVKICAWQQEQLLEPLGVIVLPD ->ARGMiner~~~OXA-9~~~ABA54978~~~beta_lactam unknown +>ARGMiner~~~OXA-9~~~ABA54978~~~beta_lactam~~~unknown MKDTLMKKILLLHMLVFVSATLPISSVASDEVETLKCTIIADAITGNTLYETGECARRVSPCSSFKLPLAIMGFDSGILQSPKSPTWELKPEYNPSPRDRTYKQVYPALWQSDSVVWFSQQLTSRLGVDRFTEYVKKFEYGNQDVSGDSGKHNGLTQSWLMSSLTISPKEQIQFLLRFVAHKLPVSEAAYDMAYATIPQYQAAEGWAVHGKSGSGWLRDNNGKINESRPQGWFVGWAEKNGRQVVFARLEIGKEKSDIPGGSKAREDILVELPVLMGNK ->ARGMiner~~~sdiA~~~NP_460903.1~~~multidrug unknown +>ARGMiner~~~sdiA~~~NP_460903.1~~~multidrug~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAKAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~dfrA8~~~AHV80711.1~~~trimethoprim unknown +>ARGMiner~~~dfrA8~~~AHV80711.1~~~trimethoprim~~~unknown MIELHAILAATANGCIGKDNALPWPPLKGDLARFKKLTMGKVVIMGRKTYESLPVKLEGRTCIVMTRQALELPGVRDANGAIFVNNVSDAMRFAQEESVGDVAYVIGGAEIFKRLALMITQIELTFVKRLYEGDTYVDLAEMVKDYEQNGMEEHDLHTYFTYRKKELTE ->ARGMiner~~~TEM-142~~~ABD60314.1~~~beta_lactam unknown +>ARGMiner~~~TEM-142~~~ABD60314.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGANERGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~VIM-19~~~ACY29468.1~~~beta_lactam unknown +>ARGMiner~~~VIM-19~~~ACY29468.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSAKVLYGGCAVHELSRTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~tsnr~~~ZP_04606269~~~thiostrepton unknown +>ARGMiner~~~tsnr~~~ZP_04606269~~~thiostrepton~~~unknown MPSLDVITERSDPAVQRIIDVTKHSRSVVRTVLIEDAEPLVEGIRAGLEFIEVYGVETSPLPGEVLAACQQRDIPVRLVGSPIMNDLFKTDKKPKAFGIARVPRPWSFDELARTTGDIIVLDGVKIVGNIGAIVRTSFALGAAGIVLVDSDLATIADRRLVRASRGYVFSLPIVLASRADAIAHFRRTGIPLVAFDTEGDLAVSELRDADEQLALLLGSEKTGTSRSFESIASHSVSIPINPAAESLNVSVCAGIALYERRHWNLADRRSPHAPRADRPAAGRGTGRPTRSAYPGRRSR ->ARGMiner~~~ACT-15~~~AFU25653.1~~~beta_lactam unknown +>ARGMiner~~~ACT-15~~~AFU25653.1~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKSGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVVEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILEALQ ->ARGMiner~~~OXA-145~~~ACN85419.1~~~beta_lactam unknown +>ARGMiner~~~OXA-145~~~ACN85419.1~~~beta_lactam~~~unknown MKTFAAYVITACLSSTALASSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNNLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLSLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWEGQLRISAVNQVEFLESLFLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKGTEVYFFAFNMDIDNENKLPLRKSIPTKIMASEGIIGG ->ARGMiner~~~OprJ~~~YP_001350570~~~multidrug unknown +>ARGMiner~~~OprJ~~~YP_001350570~~~multidrug~~~unknown MRKPAFGVSALLIALALGGCTMAPTYERPVAPVADRWSGPAAQRQGVAVDQLDWKGFIVDAELRRLVSVALDNNRSLRQALLDIEQARAQYRIQRADRVPGLDASASGSRQRQPADLSAGNRSAVASSYQVGLALPEYELDLFGRVKSLSDAALQQYLASEEAARAARIALVAEVSQAYLSYDGAQRRLALTRQTLASRESSLALIGQRRAAGTATALDYQEALGLVEQARAERERNLRQKRQAFNALVLLLGSADAAQTIPRAPGEQPKLLQDIAPGTPSGLIERRPDILAAEHRLRARNADIGAARAAFFPRISLTGNFGTSSAEMSGLFDGGSRSWSFLPTLTLPIFDGGRNRANLSLAEARKDSALAAYEGAIQGAFREVADALAASDTLRREEKALRALADTSNEALKLARARYESGVDSHLRYLDAQRRSFFDESAFIDGSTQRQIALVDLFRALGGGWGQARVALAQR ->ARGMiner~~~MdfA~~~NP_706721~~~multidrug unknown +>ARGMiner~~~MdfA~~~NP_706721~~~multidrug~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLAILLAQNIEQFTLLRFLQGISFCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETAMRIGEKLSLKELGRDYKLVLKNGRFVAGALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~cmlA1~~~JQ1201~~~chloramphenicol unknown +>ARGMiner~~~cmlA1~~~JQ1201~~~chloramphenicol~~~unknown MSSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKSLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWALQSVLGFIAPMWLVGIGVATAVSVAPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRVKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~ceoB~~~ZP_03790349~~~multidrug unknown +>ARGMiner~~~ceoB~~~ZP_03790349~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVIILLAGMIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPNDSYDMTYLRNYALINVKDRLSRIQGVGQVQLWGAGDYAMRVWLDPQKVAQRNLTADDVVRAIREQNVQVAAGVIGASPTLPGTPLQLSVNARGRLQNEDEFGDIVVKTAPDGGVTHLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLAISDEVRKTMAELKQDFPAGVDYRIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASIIPLIAVPVSIVGTFSLLLLFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIENGLTARAATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRGFNKVFHRGAENYGRGVRGVLSRKAVMLGLYLVLVGATLMVSKIVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGAIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFDQRHGKALSAGAIAGALNQKYAALKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYARLADATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVNVTDVFDTMQIYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNAAGEMVPLSSLVTVSPTFGPEMVVRYNAYTAADVNGGPAPGYSSGQAQAAVERIAAQTLPRGVKFEWTDLTYQQILAGDSAFWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGKTPFEAAVEASRLRLRPILMTSIAFIMGVVPLVLSTGAGAEMRHAMGVAVFFGMLGRDAVRADAHAGVLRGAAHARGRQDSRRAEGFGGATACRLRTLEDRKMNKTNINERIARVAKIAAASGLLVALLAACAVGPDYRRPDVATPAAFKEAPALAPGEQAGTWKAAEPADAAHRGEWWRAFGDPVLDALETQALAANQNLKAAAARVEQARAATRAARSQWFPQVGVGFGPTREGLSSASQFQPQGSGPTNATLWRAQGTVSYEADLFGRVGRNVEASRADEAQSQALFRSVQLALQADVAQNYFELRRLDSDQDLYRRTVGLREEALKLVQRRFAEGDISELDVSRAKNELATAQADAVGVARRRAASEHALAILLGKAPADFSFSETPIAPVAVRVPAGLPSALLERRPDIAAAERAMMAANARIGLAKSAYFPKLDITGAFGYEAATLGNLFLWSSRTFLLGPFAGTALTLPIFDGGRRSAGVAQARAKYDEEVANYRQQVLVAFREVEDNLADLRLLDDQIRAQSDAVNASRRAAKLSRTQYQEGAVSYLDVIDSERSVLESQLQSNQLTGTQAVSTVNLIRALGGGWGSDAALGSREPGKQDVAAR ->ARGMiner~~~smeC~~~YP_002030218~~~multidrug unknown +>ARGMiner~~~smeC~~~YP_002030218~~~multidrug~~~unknown MKPMLLRALAAATMTTVLGGCVSMAPHYQRPEAPVPAQIGNAATGEAEPVLTMPAWREVFLEPRLQQVIALALQNNRDLRVAVLQVQKERAQYRIQRAALLPSVDASGSVTRSRVSDANSETGATQITESDAVQVGISSWELDLFGRIRSLNNEALQNWLASAENQRAARTSLVAEVATAWLALAADEQSLAFTRQTLDSQQQTLQRTEARHAQGLASGLDLSQVQTSVEAARAALAKLQTQQARDRDALQLLVGAPLDAALLPGAQALDGTVALAPLSANLPSSVLLQRPDVLSAEHALQAANADIGAARAAFFPTLTLTANYGHSSTALSTLFSAGTRGWSFAPSITAPIFHAGALKASLDASKIGKDIGIAQYEKAIQQAFSEVADALATRDHLIAQMEAQRALVAASQRSYTLADARYRTGLDGYLQALDAQRSLYAAQQDLIALQQQEAGNRVTLFKVLGGGADAQ ->ARGMiner~~~OXA-200~~~ADX07745.1~~~beta_lactam unknown +>ARGMiner~~~OXA-200~~~ADX07745.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAILVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGLDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~vanZA~~~AAA65959.1~~~glycopeptide unknown +>ARGMiner~~~vanZA~~~AAA65959.1~~~glycopeptide~~~unknown MGKILSRGLLALYLVTLIWLVLFKLQYNILSVFNYHQRSLNLTPFTATGNFREMIDNVIIFIPFGLLLNVNFKEIGFLPKFAFVLVLSLTFEIIQFIFAIGATDITDVITNTVGGFLGLKLYGLSNKHMNQKKLDRVIIFVGILLLVLLLVYRTHLRINYV ->ARGMiner~~~LRA-13~~~ACH58991.1~~~beta_lactam unknown +>ARGMiner~~~LRA-13~~~ACH58991.1~~~beta_lactam~~~unknown MNFRHIVMAALCGLAWTPAIHATEVCIAIAEAGTGAVLVQRGDCQRQVTPASTFKIAISLMGYDSGFLKDAHAPKLPFRPGYVDWRPSWREPTDPAKWMSDSVVWYSQQVTKSLGMQRFADYTRNFKYGNADVSGDAENDGLSMSWISSSLRISPLEQLAFLDKIVNRRLGVSAHAYDMTAQLTKFDQPPAGWRINGKTGAASGYGWYVGWASKGSRTFVFAHLMQRDATQPQDVSAGVLARDEFLKELPGLMIKDMVDRAVQPLMKKYDIPGMAVAVTDNGKNYFFNYGLASRETGQAVTSHTLFEIGSLSKTMAATLTSYAQVNGQLALTDTVSRHMPKLRGGGFDKISLLNLGTHTAGDFPMQVPDHIETYEQLMEYYKNWKPGVAAGGARTYSNLTVGLLGIITAQSMGMPFAEAMENRLFPQLGMHHSYINVPAAEMKNYAQGYNQANAPVRINPAVLATEAYGVKTDAADLIRFVDANMGLVKLDEKLQRAVTGTHTAYFKTGELTQDLIWEQYPAASKLDRMLAGVSEKMVFESNPATRLAPPMPPQADVLINKTGSTGGFGAYALFNPGKKTGIVMLANKSYPGAERVTAAWHILDQLDQR ->ARGMiner~~~GES-10~~~ACS73598.1~~~beta_lactam unknown +>ARGMiner~~~GES-10~~~ACS73598.1~~~beta_lactam~~~unknown MRFIHALLLAGTAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRTAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLCDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~APH(6)-Id~~~CAQ86961~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~CAQ86961~~~aminoglycoside~~~unknown MGLMFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~VIM-9~~~AAS13760.1~~~beta_lactam unknown +>ARGMiner~~~VIM-9~~~AAS13760.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSIRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~ErmD~~~AAA22597.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmD~~~AAA22597.1~~~macrolide-lincosamide-streptogramin~~~unknown MKKKNHKYRGKKLNRGESPNFSGQHLMHNKKLIEEIVDRANISIDDTVLELGAGKGALTTVLSQKAGKVLAVENDSKFVDILTRKTAQHSNTKIIHQDIMKIHLPKEKFVVVSNIPYAITTPIMKMLLNNPASGFQKGIIVMEKGAAKRFTSKFIKNSYVLAWRMWFDIGIVREISKEHFSPPPKVDSAMVRITRKKDAPLSHKHYIAFRGLAEYALKEPNIPLCVRLRGIFTPRQMKHLRKSLKINNEKTVGTLTENQWAVIFNTMTQYVMHHKWPRANKRKPGEI ->ARGMiner~~~MexC~~~NP_521874~~~multidrug unknown +>ARGMiner~~~MexC~~~NP_521874~~~multidrug~~~unknown MKIERLRRHGGVHVLLAAMLAGCGPSEPQSAPPAAEVATTTVAPAHLELTEDLPGRVAAVRVAEIRPQVSGIVQRRLFEQGTEVRAGQPLFQINPAPFRADADTAAAALRRAEAALARARVQTTRLQPLVEADAVSRQAYDDAVSQRDQAAADVEQARATLARRQLDLKFATVEAPIPGRIDQALVTEGALVSSGDSNPMARIQQIDQVYVDVRRPASSLEALRQTLATQKTGAGDGLPVAVLRSNGEPYEAKGHILFSGINVDAGTGDVLLRVLVNNPQRQLLPGMFVRARVPHARYTDALTVPQQAVVRVGGQPQVWTLDANSHARLKPVELGELADRRYRIRAGLSAGQKIVVEGMERLSDGAAVAAHDWKSPEPVPAGPAR ->ARGMiner~~~acrA~~~ZP_03064983~~~multidrug unknown +>ARGMiner~~~acrA~~~ZP_03064983~~~multidrug~~~unknown MTNLKSDTRGLHMNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIAPATYQATYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~vanXB~~~NP_815950~~~glycopeptide unknown +>ARGMiner~~~vanXB~~~NP_815950~~~glycopeptide~~~unknown MENGFLFLDEMLHGVRWDAKYATWDNFTGKPVDGYEVNRIIGTKAVALALREAQIHAAALGYGLLLWDGYRPKSAVDCFLRWAAQPEDNLTKEKYYPNIERAELITKGYVASQSSHSRGSTIDLTLYHLDTGELVSMGSNFDFMDERSHHTAKGIGNAEAQNRRCLRKIMESSGFQSYRFEWWHYKLIDEPYPDTYFNFAVS ->ARGMiner~~~mdtG~~~A1A9U9~~~multidrug unknown +>ARGMiner~~~mdtG~~~A1A9U9~~~multidrug~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~OXY-2-3~~~AAL78281.2~~~beta_lactam unknown +>ARGMiner~~~OXY-2-3~~~AAL78281.2~~~beta_lactam~~~unknown MIKSSWRKIAMLAAVPLLLASGALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGDALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGGGDYGTTNDIAVIWPEDHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~OKP-A-5~~~CAJ19602.1~~~beta_lactam unknown +>ARGMiner~~~OKP-A-5~~~CAJ19602.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQITLSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDERLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHALSDRSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATMAERNQQIARIGAALIEHWQR ->ARGMiner~~~AAC(6')-33~~~AEZ05106.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-33~~~AEZ05106.1~~~aminoglycoside~~~unknown MAYEFCEIGESNEYIILAARILTKSFLDIGNNSWPDMKSATKEVEECIEKPNICLGIHENEKLLGWIGLRPMYKLTWELHPLVISTQYQNKGIGRLLINELEKKAKQIGIIGIVLGTDDEYFKTSLSAVDLYGENILDEIRTIKNIKNHPYEFYQKCGYSIVGVIPDANGKRKPDIWMWKKIND ->ARGMiner~~~BacA~~~ZP_03555962~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03555962~~~bacitracin~~~unknown MHWMELWKAIILGMVEGLTEFAPVSSTGHMIIVDDLWLKSTEFLGKYAANTFKVVIQLGSILAAVVVFKDRFLDLLGVRGRHPGGHPRLNLIHVIIGLLPAGVLGVLFEDYIDEHLFSTKTVLIGLVLGALLMIAADKFAKKAARTQTVDQITYKQAFFVGLMQCLSLWPGFSRSGSTISGGVLVGMSHRAAADFTFIMAVPIMAGASGLSLLKNWQYVTAADIPFFIAGFFSAFVFALLAIRFFLELINRIRLVPFAVYRIVLAVVIYFLYF ->ARGMiner~~~mdtG~~~ZP_02700942~~~multidrug unknown +>ARGMiner~~~mdtG~~~ZP_02700942~~~multidrug~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKREMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~OCH-2~~~CAC17622.1~~~beta_lactam unknown +>ARGMiner~~~OCH-2~~~CAC17622.1~~~beta_lactam~~~unknown MRTSTTLLIGFLTTAAVIPNNGALAASKVNDGDLRRIVDETVRPLMAEQKIPGMAVAITIDGKSHFFGYGVASKESGQKVTEDTIFEIGSVSKTFTAMLGGYGLATGAFSLSDPATKWAPELAGSSFDKITMLDLGTYTPGGLPLQFPDAVTDDSSMLAYFKNWKPDYPAGTQRRYSNPSIGLFGYLAARSMDKPFDVLMEQKLLPAFGLKNTFINVPESQMKNYAYGYSKANKPIRVSGGALDAQAYGIKTTALDLARFVELNIDSSSLELDFQKAVAATHTGYYHVGANNQGLGWEFYNYPTALKTLLAGNSSDMALKSHKIEKFDTPRQPSADVWLNKTGSTNGFGAYAAFIPAKKTGIVLLANRNYPIDERIKAAYRILQALDNKQ ->ARGMiner~~~CMY-44~~~ACJ65711.1~~~beta_lactam unknown +>ARGMiner~~~CMY-44~~~ACJ65711.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVAPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~tet40~~~ZP_03486481~~~tetracycline unknown +>ARGMiner~~~tet40~~~ZP_03486481~~~tetracycline~~~unknown MMKCNFMFAKNSKAYSVYLLFRFVCSLAVSMSTVLSIVYHLEVVQLDAFQLVLVGTVQETSCFLFEMPTGVVADLYSRRRSVLIGMFLYGLGFLMEGALPWFAPVLLAQVVWGCGDTFITGALEAWIASEEEDKPIDKVFLRGSQMGQIGGVLGVVLGTLLGNINLQMPLILGGSLCLLLGLVMVRIMPETNFSPAIEERQGLLKDFVCLFKLNLGFVKGAPVLLALLAITLCGGLASEGFDRLSTAHFLDDTVIPVIGPLNSVTWFGVISLIGSGLGILASQLLIARMEKKGTVSRTSVVMSTSAGYILFLVLFAVGRSFWFMLLVFLLAGLMRTIKEPVLAAWMNDHVDEKMRATVFSTSGQLDSFGQIIGGPIVGLVAQQVSIPWGLVCTAFLLLPALFLVPVAGKKRD ->ARGMiner~~~OXA-239~~~AFN65709.1~~~beta_lactam unknown +>ARGMiner~~~OXA-239~~~AFN65709.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRLFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMNIKSQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~MacB~~~YP_309843~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_309843~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGNEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~OpcM~~~CAR56415~~~multidrug unknown +>ARGMiner~~~OpcM~~~CAR56415~~~multidrug~~~unknown MLEDRKMDNMHNTNGLMRFAKVAAASTLLATLLAACAVGPDYQRPDAAAPAAFKEAPTLAAGEQAGTWKTAEPSDGEHRGEWWKVFGDPVLDSLETQALAANQNLKAAAARVEEARAATRSARSQWFPQVGAGFGPTREGLSSASQFQPQGTGPTNATLWRAQGTVSYEADLFGRVGRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYRRTVELREQALKLVQRRFNEGDISELDVSRAKNELASAQADAVGVARRRAASEHALAILLGKAPADFAFKETPIVPVAVKIPPGLPSALLERRPDVSAAERAMAAANARIGLAKSAYFPKLDITGSFGYEASTLGNLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQEAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGNAPAPTAVGDAASGKADVAAR ->ARGMiner~~~SHV-98~~~CAQ03503.1~~~beta_lactam unknown +>ARGMiner~~~SHV-98~~~CAQ03503.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPAIMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~TEM-137~~~CAL08007.1~~~beta_lactam unknown +>ARGMiner~~~TEM-137~~~CAL08007.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGRRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-141~~~AAX56615.1~~~beta_lactam unknown +>ARGMiner~~~TEM-141~~~AAX56615.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVEDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tap~~~CAA03986.1~~~tetracycline unknown +>ARGMiner~~~tap~~~CAA03986.1~~~tetracycline~~~unknown MTNTKRGPLLLILFAALTAGAGNGITIVAFPWLVLQHNGSALDASIVAMAGTLPLLVATLIAGAAVDYLGRRRVSMISDLLSALSVAAVPVLALIFGVDAVNVAVLAVLAGLGAFFDPAGMTARETMLPEAAGRAGWTLDHANSVYEAVFNLGYIVGPGIGGLMIATLGGINTMWVTAGAFCCSILAISVLRLEGAGAPDRSVLTEAVLAGIVEGLRFVWYTPVLRTLAIVDLVATGLYMPMESVLFPKYFTDRNEPTELGWVLMALSIGGLLGALGYAVMSRYMSRRATMLTAVITLGVAMTVIAFLPPLPLILVLCAIVGFVYGPIAPIYNYVMQTTAPQHLRGRVVGVMGSLAYAAGPLGLILAGPLADAAGLHATFLALSLPMLLLGVVAVFLPRLRELDLASKP ->ARGMiner~~~tet~~~NP_625085~~~tetracycline unknown +>ARGMiner~~~tet~~~NP_625085~~~tetracycline~~~unknown MRTLNIGILAHVDAGKTSLTERLLFDHGAVDRLGSVDAGDTRTDDGGIERRRGITIRSAVAAFTVGDTRVNLIDTPGHSDFVAEVERALEVLDGAVLLLSAVEGVQARTRVLMRALRRLRLPTIVFVNKIDRAGARTDGLLGDVRRLLTPHVAPLNEVADAGTPRARVTRRPPDGRTAQALAEVDTEVLAALVDGPEPTGEDVARALAARTADGSFHPLYHGSALGGQGVAELVEGLLGLIPAATPGTSGGTEPRGTVFAVRPGPAGERTAYLRLYGGEVHPRRRLTFLRRESDGRTTEVSGRVTRLDVVGGDATLTAGNIAALTVPGGLRVGDRLGGPTDRAPQFAPPTLQTLVRARHPEQAAPLRSALLALADQDPLLHARPAASGATALLLYGEVQMEVLAATLAEDFGIEAEFTPGRVRFLERPAGTGEAAEEMPWLDRTRYFATIGLRVEPGPRGSGGAFGYETELGALPRAFHQAVEETVHDTLRTGLTGAAVTDYRVTLIRSGFSSPLSTAADFRGLTPLVLRRALARAGTVLHEPYQAFEAEVPADTLAAVTALLASLGADFTGTTGGDPAWIVTGELPARRVREAELRLPGLTRGEAVWSSRPCEDRPLKPGNSGPGTGVGGHSGE ->ARGMiner~~~MdtO~~~YP_001460869~~~multidrug unknown +>ARGMiner~~~MdtO~~~YP_001460869~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQIVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRAMGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAAQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~BcrA~~~YP_001373621~~~bacitracin unknown +>ARGMiner~~~BcrA~~~YP_001373621~~~bacitracin~~~unknown MYVKDHRKWVFTIHTIIKTTNLTKVYGKQKSVDHLNINVNKGEIYGFIGRNGAGKTTTIRMLLGLIKPTNGKIEIFGEDFTKNQKDILRRIGSIVEVPGFYENLTAKENLLINAKIIGVHKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKTLAQERNITIFISSHILSEVEQLVDHMGIIHKGKLLEETSLDALRKMNRKYLEFQVNNDNKAALLLEKQFHIFDYEVHDEGNIRVYSHFGQQGQINKMFVQNDIEVLKIIMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~TEM-134~~~AAS79107.1~~~beta_lactam unknown +>ARGMiner~~~TEM-134~~~AAS79107.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~QnrS5~~~AEG74319.1~~~quinolone unknown +>ARGMiner~~~QnrS5~~~AEG74319.1~~~quinolone~~~unknown METYRHTYRHHNFSHKDLSALTFTACTFIRSDFRRANLRDTTFVNCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIEFRACDLKGANFSRTNFAHQVSNRMYFCSAFITGCTLSYANMERVCLERCELFENRWIGTHLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIAAWQQEQLLEALGIVVFPD ->ARGMiner~~~LRA-8~~~ACH58988.1~~~beta_lactam unknown +>ARGMiner~~~LRA-8~~~ACH58988.1~~~beta_lactam~~~unknown MSKSSLKGLVLLALVAAIAAPSWAARKEKPAAKAPPCEQCAVWNADQEPFKIWGNTYYVGVKGLSSVLVTSDWGHVLLDGGLPESAPKIAANIEKLGFKVTDVKAILSSHVHADHAGGIAELQRRSGAKVYQRRPSDQVLRTGKPDPGDPQLARAGPIPPVENVWVVHDEELLGLGPTRFTVVATPGHTPGGTSWAWESCEGAQCLKIVYADSLNAVSAEGFRFTASTTYPNVLQDLEQSFKRVESLPCDVIVSVHPEQSDFFPRMAKRVDGKPESIKDPEGCKRYVAGARERLALRVASEKQGS ->ARGMiner~~~BL2a_III~~~ZP_04262238~~~beta_lactam unknown +>ARGMiner~~~BL2a_III~~~ZP_04262238~~~beta_lactam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKDDLVEYSPVTEKHVDTGMTLGQIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRSGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNKLIADAAEVIVKALK ->ARGMiner~~~KPC-11~~~ADH95186.1~~~beta_lactam unknown +>ARGMiner~~~KPC-11~~~ADH95186.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVLWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~BacA~~~YP_002775228~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002775228~~~bacitracin~~~unknown MGLWEAFVAIVLGLVEGLTEFAPVSSTGHMIIVDDFLFHTKDLFSPEVANTFKIVIQLGSILAVVVLMWDRFMSLLGLNRLAGKREVQAGPRLNLATVIVGLIPAGILGVLFDDYIDEYLFSTKTVVIGLVLGALLMLAADWFRPRRPKIESVDQITYKQAFLVGLIQCFSLWPGFSRSGSTISGGVLLGMSHRAAADFTFIMAVPIMAGASFLSLLKRWDAITMDSIPFFVIGFISAFVFALLSIRFFLKLINRIKLTPFAIYRLVLAAVIYFVFM ->ARGMiner~~~MdtM~~~YP_001726662~~~multidrug unknown +>ARGMiner~~~MdtM~~~YP_001726662~~~multidrug~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLLAPIIGPLSGAALMHFVHWKVLFAIIAAMGLVSFVGLLLAMPETVKRGAVPFSAVSVLRDFRNVFCNRLFLFGAATIALSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANTIVARFIKDPTEPRFIWRAVPIQLVGLALLIVGNLLSPHVWLWSVLGTSLYAFGIGLIFPSLYRFTLFSNNLPKGTVSASLNIVVLTVMSVSVEIGRWLWFNGGRLPFHLLAVVAGLFVVLTLAGLLKRVQLHQASELAV ->ARGMiner~~~AAC(6')-Ib-SK~~~BAD11815.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib-SK~~~BAD11815.1~~~aminoglycoside~~~unknown MRAHRSCCIRRRGLGHNAGVELNGEKVLLRPVLDSDVKKLDKIVREPEVAAWWSTPDDYEEMLAITLDGEVIGAVQYEEEEDPEFRHAGIDIFLTASRHGLGLGTDTVRTVARWLIDERGHHRITIDPAVANAGAIRSYSKVGFKPVGVMRSYARDHTSGVWQDALLMDLLAEELV ->ARGMiner~~~SHV-51~~~AAP41944.1~~~beta_lactam unknown +>ARGMiner~~~SHV-51~~~AAP41944.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGLAGLTAFLRQIGDNVTRLDRWETELNEALPADARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~PER-6~~~ADD80743.1~~~beta_lactam unknown +>ARGMiner~~~PER-6~~~ADD80743.1~~~beta_lactam~~~unknown MNVIAKGVFTTTALLMLSLSSWVVSAQSPLLKEQIETIVTGKKATVGVAVWGPDDLEPLLVNPFEKFPMQSVFKMHLAMLVLHQVDQGKLDLNKTVAVNRAAVLQNTWSPMMKDHQGDEFTVTVQQLLQYSVSHSDNVACDLLFELVGGPAALHAYIQSLGIKETEVVANEAQMHADDQVQYKNWTSMKAAAQLLRKFEQKKQLSETSQALLWKWMVETTTGPQRLKGLLPAGTVVAHKTGTSGVRAGKTAATNDIGVIMLPDGRPLLVAVFVKDSAESARTNEAIIAQVAQAAYQFELKKLSAVSPD ->ARGMiner~~~BEL-2~~~ACV69996.1~~~beta_lactam unknown +>ARGMiner~~~BEL-2~~~ACV69996.1~~~beta_lactam~~~unknown MKLLLYPLLLFLVIPAFAQADFEHAISDLEAHNQAKIGVALVSENGNLIQGYRANERFAMCSTFKLPLAALVLSRIDAGEENPERKLHYDSAFLEEYAPAAKRYVATGYMTVTEAIQSALQLSDNAAANLLLKEVGGPPLLTKYFRSLGDKVSRFDRIEPTLNTNTPGDERDTTTPMSMAQTVSKLIFGDTLTYKSKGQLRRLLIGNQTGDKTIRAGLPDSWVTGDKTGSCANGGRNDVAFFITTAGKKYVLSVYTNAPELQGEERALLIASVAKLARQYVVH ->ARGMiner~~~MOX-8~~~AGH56079.1~~~beta_lactam unknown +>ARGMiner~~~MOX-8~~~AGH56079.1~~~beta_lactam~~~unknown MQQRQSILWGALATLMWAGLAHAGDKAATDPLRPVVDASIRPLLKEHRIPGMAVAVLKDGKAHYFNYGVADRERAVGVSEQTLFEIGSVSKPLTATLGAYAVVKGAMQLDDKASRHAPWLKGSAFDSITMGELATYSAGGLPLQFPEEVDSLEKMQAYYRQWTPAYSPGSHRQYSNPSIGLFGHLAASSMKQPFAQLMEQTLLPGLGLHHTYVNVPKQAMASYAYGYSKEDKPIRVSPGMLADEAYGIKTSSADLLRFVKANISGVDDKALQQAISLTHKGHYSVGGMTQGLGWESYAYPVSEQTLLAGNSPAMIYNANPAAPAPAAAGHPVLFNKTGSTSGFGAYVAFVPAKGIGIVMLANRNYPIPARVKAAHAILTQLAR ->ARGMiner~~~OXA-328~~~AGW16410.1~~~beta_lactam unknown +>ARGMiner~~~OXA-328~~~AGW16410.1~~~beta_lactam~~~unknown MYKKALIVATSLLFLSACSSNTVTQHQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGKTEEIYGNDLKRASTAYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGNAMKASAIPVYQELAQRIGLDLMSKEVKRIGFGNANIGSKVDNFWLVGPLKITPQQETQFAYQLAHKTLPFSKNVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OXY-1-3~~~AAL78275.1~~~beta_lactam unknown +>ARGMiner~~~OXY-1-3~~~AAL78275.1~~~beta_lactam~~~unknown MLKSSWRKTALMAAAAVPLLLASGSLWASADAIQQKLADLEKRSGGRLGVALINTADDSQTLYRGDERFAMCSTGKVMAAAAVLKQSESNPEVVNKRLEIKKSDLVVWSPITEKHLQSGMTLAELSAAALQYSDNTAMNKMISYLGGPEKVTAFAQSIGDVTFRLDRTEPALNSAIPGDKRDTTTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPASWAVGDKTGGGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKSRKEVLAAAAKIVTEGL ->ARGMiner~~~KsgA~~~B7LVU5~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~B7LVU5~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTFNFGELAEKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPYPVKDVRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTGMGIDPAMRAENISVAQYCQMANYLAENAPLQES ->ARGMiner~~~IMP-3~~~BAA77393.1~~~beta_lactam unknown +>ARGMiner~~~IMP-3~~~BAA77393.1~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIGWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPGHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~Erm(37)~~~CCP44758.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~Erm(37)~~~CCP44758.1~~~macrolide-lincosamide-streptogramin~~~unknown MSALGRSRRAWGWHRLHDEWAARVVSAAAVRPGELVFDIGAGEGALTAHLVRAGARVVAVELHPRRVGVLRERFPGITVVHADAASIRLPGRPFRVVANPPYGISSRLLRTLLAPNSGLVAADLVLQRALVCKFASRNARRFTLTVGLMLPRRAFLPPPHVDSAVLVVRRRKCGDWQGR ->ARGMiner~~~CTX-M-51~~~ABA62022.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-51~~~ABA62022.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAVAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTAGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~SHV-124~~~ACV32634.1~~~beta_lactam unknown +>ARGMiner~~~SHV-124~~~ACV32634.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLDQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQ ->ARGMiner~~~RlmA(II)~~~AJD73064.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~RlmA(II)~~~AJD73064.1~~~macrolide-lincosamide-streptogramin~~~unknown MNTNLKPKLQRFASATAFACPICQENLTLLETNFKCCNRHSFDLAKFGYVNLAPQIKQSANYNKENFQNRQQILEAGFYQAILDAVSDLLASSKTTTTILDIGCGEGFYSRKLQESHSEKTFYAFDISKDSVQIAAKSEPNWAVNWFVGDLARLPIKDANMDILLDIFSPANYGEFRRVLSKDGILIKVIPTENHLKEIRQRVQDQLTNKEYSNQDIKEHFQEHFTILSSQTASLTKTITAEQLQALLSMTPLLFHVDQSKIDWSQLTEITIEAEILVGKAF ->ARGMiner~~~tetW~~~ZP_04576183~~~tetracycline unknown +>ARGMiner~~~tetW~~~ZP_04576183~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDKLLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTSIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKIETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPQEYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGQSVCLTELKGYQAAVGQPVIQPRRPNNRLDKVRHMFQKVM ->ARGMiner~~~MdtH~~~NP_460137~~~multidrug unknown +>ARGMiner~~~MdtH~~~NP_460137~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRDRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~TEM-189~~~AEL79515.1~~~beta_lactam unknown +>ARGMiner~~~TEM-189~~~AEL79515.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~KPC-7~~~ACE62798.1~~~beta_lactam unknown +>ARGMiner~~~KPC-7~~~ACE62798.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAIDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~AcrB~~~ZP_04615616~~~multidrug unknown +>ARGMiner~~~AcrB~~~ZP_04615616~~~multidrug~~~unknown MAKYFIERPIFAWVIAIIIMLAGGLAIMKLPVAQYPTIAPPAISISANYPGADATTVQNTVTQVIEQNMNGIDGLMYMSSSSDSSGSVQLTLTFNSGIDPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVQKSSSSFLMVAGFISDDGTMKQEDIADYVGSNIKDPISRTSGVGDVQLFGSQYAMRIWMDPHKLNNFKLTPVDVITAIKVQNNQVAAGQLGGTPPVPGQQLNSSIIAQTRLTSAEEFSKILLKVNTDGSQVRLKDVATVQLGAESYNVIARFNGKPAAGIGIKLATGANALNTSAAVKAELAKLQPFFPASLKVVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMQEEGLPPKEATKKSMEQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPIAKGSHGPKTGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLVIYLAIVIGMGLLFLRLPSSFLPEEDQGVFLTMVQLPAGATQERTQKVLDHVTDYYLDKEKDVVNSVFTVNGFGFSGQGQNTGLAFISLKNWDERQGAENKVPAIVGRASQAFSRIKDGLVFAFNLPAIVELGTATGFDFQLIDQANLGHAKLTAARNQLLGMAAQHSDVLVGMRPNGLEDTPQFKVEVDQEKAQALGVAISDINTTLGSAMGGSYVNDFIDRGRVKKVYVQADAPFRMLPSDIDKWYVRNNEGQMVSFATFSTAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMDLMQELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLVESTLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSKKSEDIEHAHPVDHQAK ->ARGMiner~~~OXA-322~~~AGW16404.1~~~beta_lactam unknown +>ARGMiner~~~OXA-322~~~AGW16404.1~~~beta_lactam~~~unknown MYKKVLIVATSILFLSACSSNSVKQHQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTNYIPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDDFWLVGPLKITPQQETQFAYQLAHKTLPFSQDVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~MacB~~~ZP_04657259~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~ZP_04657259~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~cml~~~NP_631702~~~chloramphenicol unknown +>ARGMiner~~~cml~~~NP_631702~~~chloramphenicol~~~unknown MPLPLYLLAVAVCAMGTSEFMLAGLVPDIASDLGVTVGTAGTLTSAFATGMIVGAPLVAALARTWPRRSSLLGFILAFAAAHAVGAGTTSFPVLVACRVVAALANAGFLAVALTTAAALVPADKKGRALAVLLSGTTVATVAGVPGGSLLGTWLGWRATFWAVAVCCLPAAFGVLKAIPAGRATAAATGGPPLRVELAALKTPRLLLAMLLGALVNAATFASFTFLAPVVTDTAGLGDLWISVALVLFGAGSFAGVTVAGRLSDRRPAQVLAVAGPLLLVGWPALAMLADRPVALLTLVFVQGALSFALGSTLITRVLYEAAGAPTMAGSYATAALNVGAAAGPLVAATTLGHTTGNLGPLWASGLLVAVALLVAFPFRTVITTAAPADATR ->ARGMiner~~~cphA6~~~AAP69914.1~~~beta_lactam unknown +>ARGMiner~~~cphA6~~~AAP69914.1~~~beta_lactam~~~unknown MMKSWMKCTLAGAVVLMASFWGGSVRAAGISLKQVSGPVYVVEDNYYVKENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSSKPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFTLQEGKVRAFYAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFANVKAYPQTIERLKAMKLPIKTVIGGHDSPLHGPELIDHYEELIKAAAHS ->ARGMiner~~~BL2a_1~~~ZP_04121322~~~beta_lactam unknown +>ARGMiner~~~BL2a_1~~~ZP_04121322~~~beta_lactam~~~unknown MKERVDDMKKNTLLKVGLCVGLLGTIQFVSTISSVQASQKVEKTVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLVLNTAKGLVLVDSSWDDKLTKELIEMVEKKFQKRITEVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKNSGYEEPLGDLQTVTNLKFGNTKVETFYPGKGHTEDNIVVWLPQYQILAGGCLVKSAEAKDLGNVADAYVNEWSTSIENVLKRYGNINSVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~CTX-M-101~~~ADY02545.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-101~~~ADY02545.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELIAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~TEM-191~~~AEQ59621.1~~~beta_lactam unknown +>ARGMiner~~~TEM-191~~~AEQ59621.1~~~beta_lactam~~~unknown MPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYTT ->ARGMiner~~~facT~~~AFK80333.1~~~elfamycin unknown +>ARGMiner~~~facT~~~AFK80333.1~~~elfamycin~~~unknown MNKAGQAEKPAAAGPPATPETPEPDPKRWLALTVLLVATFMDLLDANIITVAIPSIQRDLGASTFAIQAMTAGYTLSFAVLLITGGRLGDIFGRKRMFLVGVGGFVLASAMCAAAPSTDLLVVARALQGLTAAVMVPQVLALIHVSFAPQEIGRVVSLYASMVGLAIVSGPLIGGALISWNPLDLGWRSIFVVNLPVGVLALVGAAKWMRESSSPHAKRLDIAGMLLIVLGLLLLMVPLTLGRELDWPVWSIVSLVAAAPVLVLFVVYERHKTAKDGSPLVTLSLFKVRAFGAGIGVQLLFSAIPAGFFLSWTLYLQAGLGWSALHTGLTAIPFSLCVPIVGGLAVRRLSPLYGRYCLLAGAVLMLAGILSYAWAADRFGTDITSWHAIPSMLLIGSGMGMLMPPLTALVLREVQPQEAGAASGIINATGQLGAALGVAVIGSLFFAALAGNAGPQAERVAPTVQSVSPRQASDLRDCATEALGQDDLAKVPDICSTLVQGADDGTRDTINGALGEIRAKTFVSTYSETLYWAAGGLVPVTALVLLLPHHRVRREEPAQ ->ARGMiner~~~cmeA~~~ABS43901.1~~~multidrug unknown +>ARGMiner~~~cmeA~~~ABS43901.1~~~multidrug~~~unknown MKLFQKNTILVLGVVFLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLNFTYPAKLVSDYDVIIKPQVSGVIVNKLFKAGDKVKRGQTLFIIEQAKFKASVDSAYGQALMAKATFENASKDFNRSEALFSKNAISQKEYDSSLATFNNAKASLASARAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYVSTSTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAIKQDQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~EmrD~~~YP_002639394~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_002639394~~~multidrug~~~unknown MKRQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMAQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLIAMTTHSLTVLIAASAMQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTLWNWRACYAFLLVLCAGVTFSMARWMPETRPAGAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMLPQNGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~FosB3~~~ADX95999.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~ADX95999.1~~~fosfomycin~~~unknown MIKGINHITYSVSNIAKSIEFYRDILGADILVESETLAYFNLGGIWLALNEEKNIPRSEIKYSYTHIAFTISDNDFEDWYNWLKENEVNILEGRDRDIRDKKSIYFTDLDGHKLELHTGSLEDRLSYYKEAKPHMNFYI ->ARGMiner~~~PDC-9~~~ACQ82814.1~~~beta_lactam unknown +>ARGMiner~~~PDC-9~~~ACQ82814.1~~~beta_lactam~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAVEAPADRLKALVDAAVQPVMKANDIPGLTVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDRAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~OXA-26~~~AAG35608.1~~~beta_lactam unknown +>ARGMiner~~~OXA-26~~~AAG35608.1~~~beta_lactam~~~unknown MKKFILPIFSISILVSLSACSSIKTKSEDNFHISSQQHEKAIKSYFDEAQTQGVIIIKEGKNLSTYGNALARANKEYVPASTFKMLNALIGLENHKATTNEIFKWDGKKRTYPMWEKDMTLGEAMALSAVPVYQELARRTGLELMQKEVKRVNFGNTNIGTQVDNFWLVGPLKITPVQEVNFADDLAHNRLPFKLETQEEVKKMLLIKEVNGSKIYAKSGWGMGVTPQVGWLTGWVEQANGKKIPFSLNLEMKEGMTGSIRNEITYKSLENLGII ->ARGMiner~~~AAC(3)-IIc~~~CAA38525.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIc~~~CAA38525.1~~~aminoglycoside~~~unknown MHTRKAITEALQKLGVQTGDLLMVHASLKAIGPVEGGAETVVAALRSAVGPTGTVMGYASWDRSPYEETRNGARLDDKTRRTWPPFDPATAGTYRGFGLLNQFLVQAPGARRSAHPDASMVAVGPLAETLTEPHKLGHALGEGSPVERFVRLGGKALLLGAPLNSVTALHYAEAVADIPNKRRVTYEMPMLGSNGEVAWKTASDYDSNGILDCFAIEGKPDAVETIANAYVKLGRHREGVVGFAQCYLFDAQDIVTFGVTYLEKHFGTTPIVPAHEVAECSCEPSG ->ARGMiner~~~ACC-3~~~AAF86697.1~~~beta_lactam unknown +>ARGMiner~~~ACC-3~~~AAF86697.1~~~beta_lactam~~~unknown MRKKMQNTLKMLSVITCLALTAQGAMASEMDQAKIKDTVDSLIQPLMQKNNIPGMSVAVTLNGKNYIYNYGLASKQPQQPVTDNTLFEVGSLSKTFAATLASYAQVSGKLSLDKSISHYVPELRGSSFDHISVLNAGTHTTGLALFMPEEVKNTDQLMAYLKAWKPADPAGTHRVYSNIGTGLLGMIAAQSMGMTYEDAIEKTLLPKLGMTHTYLNVPADQAENYAWGYNKKNEPIHVNMEVLGNEAYGIRTNASDLIRYVQANMGQLKLDGNSTLQKALTDTHIGYFKSGKITQDLMWEQLPYPVSLPDLLTGNDMAMTKSVATPIVPPLPPQENVWINKTGSTNGFGAYIAFVPAKKMGIVMLANKNYSIDQRVT ->ARGMiner~~~CARB-21~~~AGQ93789.1~~~beta_lactam unknown +>ARGMiner~~~CARB-21~~~AGQ93789.1~~~beta_lactam~~~unknown MVRVFTRYSLLNIAKVRIKTKRTKNTRMKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQMDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATARIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~EmrD~~~NP_462699~~~multidrug unknown +>ARGMiner~~~EmrD~~~NP_462699~~~multidrug~~~unknown MKRQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMAQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLFAMTTHSLTVLIAASAMQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGSLLDTLWNWRACYAFLLVLCAGVTFSMARWMPETRPAGAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMLPQTGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~MexB~~~YP_586061~~~multidrug unknown +>ARGMiner~~~MexB~~~YP_586061~~~multidrug~~~unknown MSNFFIERPIFAWVIALVIMLAGVLSIRTLPINQYPAIAPPTIAISVTYPGASAQTVQDTVVQVIEQQLNGLDRLRYISSESNSDGSMTITVTFEQGTNPDIAQVQVQNKLALATPLLPQEVQQQGIRVTKSVRNFLLIVGLISTDPNMTREDLSNYIVSNIQDPLSRTAGVGDFQVFGSQYAMRIWLDPAKLNSYQLAPLDVSNAIKAQNVQVASGQLGGLPAVRGQQLNATVIGKTRLQTAEQFGDILLKVNPDGSQVRLKDVADVALGGQDYTINAQYNGRPASGIAIRLASGANALETVKNIHNTLAQLEPTFPPGIKVVFPYDTTPVISDSIHEVIKTLLEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEDGLGPKEAARKSMGQIQGALVGIALVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMVLSVLVALVLTPALCATMLQPIEKGDHGEHKQGFFGWFNRSFIRSTQSYERGVSGILKRRAPFLLIYLAIVVVMGFLFTRIPTSFLPEEDQGVLYAQVQTPAGSSAERTQAVLDQMRNYLLNDEGKIVESLFTVNGFNFAGRGQSSGLAFILLKPFKDREGDATSVFDLTKRAQAKFSTFRDSLAFAFAPPAVQELGNATGFDFYMQDQAGLGHDALMNARNKFLSLAAKNPALQRVRPNGLNDEPQYVLEIDDERARALGLSLADINSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPNSRMSPEDLNKWFVRNDKGDMVPFSSFATGKWGFGSPKLQRYNGVPAVEILGEPAAGKSSGEAMAAVEEIMKQMPAGISYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATLARGLSNDVFFQVGLLTTVGLSAKNAILIVEFAKELHDHGKGLVEAAVEACRMRLRPIIMTSMAFMLGVFPLAVSQGAGAGSQHAIGTGVIGGMITATVLAIFWVPLFFVVVSALKERRGKSDSSSLEKGAQA ->ARGMiner~~~BacA~~~YP_002092118~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002092118~~~bacitracin~~~unknown MAFSFDHFGVGPRMDWILICKALILGVVEGLTEFLPVSSTGHLIVAGSFLNFNDSHAKTFDVVIQFGAILAVCWEYRQRIVSVVSGLPSRPDAQRFTLNVVIATIPAIALGLLFEKKIKAVLFSPVPVAFALVVGGAIILWAEARQRERSEPPRVMSVDALTPLDALKVGIAQCFALVPGMSRSGSTIIGGMLFGLDRRVATEFSFFLAIPIIFGATLYETVKDWQAFTVDSLGLFALGLVAAFVSAFVCVRWLLRYVATHDFTVFAWYRIAFGLFVLLVGYSGWLNWA ->ARGMiner~~~BacA~~~CAJ93947~~~bacitracin unknown +>ARGMiner~~~BacA~~~CAJ93947~~~bacitracin~~~unknown MPGNAHDHVSPFRMEIALALKAVILGIVEGLTEFLPISSTGHLILAGQLLDFNDEKGKIFEIVIQFGAILAVCWEFRARIGNVVRGLRAEPLAQRFAANVVIASVPAIVLAFIFGKWIKAHLFNPISVALAFIVGGVVILLAEWRDARRGTVSHPQGNALLEAAKAGAPRIESVDDLNWRDALKVGLAQCFALVPGTSRSGATIIGGMLFGLSRQVATEFSFFLAIPVIFGATVYELYKARALLNGDDLGIFAVGFVFAFLSAFLCVRWLLRFVATHDFKPFAWYRIAFGIVVLLTAYSGLVSWHA ->ARGMiner~~~AAC(6')-Iai~~~ACI28880.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iai~~~ACI28880.1~~~aminoglycoside~~~unknown MKYTIIDIKDSETYITQAAEILFDVFSEISPESWPTLQKAKEDVIECIEGENICIGIIINKELIGWIGLREMYKKTWELHPMVIKKTHHNMGFGKILINEIEKKARERNLEGIVLGTDDETYRTSLSMIELNNENILQEIKNIRNLENHPYEFYKKCGYCIIGVIPNANGKNKPDILMWKNIMEENCG ->ARGMiner~~~CARB-3~~~BAE71359~~~beta_lactam unknown +>ARGMiner~~~CARB-3~~~BAE71359~~~beta_lactam~~~unknown MLWSSNDVTQQGSRPKTKLAILWSLMLLYKMCDNQNYGVTYMKFLLAFSLLIPSVVFASSSKFQQVEQDVKAIEVSLSARIGVSVLDTQNGEYWDYNGNQRFPLTSTFKTIACAKLLYDAEQGKVNPNSTVEIKKADLVTYSPVIEKQVGQAITLDDACFATMTTSDNTAANIILSAVGGPKGVTDFLRQIGDKETRLDRIEPDLNEGKLGDLRDTTTPKAIASTLNKFLFGSALSEMNQKKLESWMVNNQVTGNLLRSVLPAGWNIADRSGAGGFGARSITAVVWSEHQAPIIVSIYLAQTQASMAERNDAIVKIGHSIFDVYTSQSR ->ARGMiner~~~MdtO~~~YP_002410376~~~multidrug unknown +>ARGMiner~~~MdtO~~~YP_002410376~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATMLEIASLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQVLNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAIAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMALRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENIFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~SHV-63~~~ABY56290.1~~~beta_lactam unknown +>ARGMiner~~~SHV-63~~~ABY56290.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGENVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTNQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~TLA-3~~~WP_059512353.1~~~beta_lactam unknown +>ARGMiner~~~TLA-3~~~WP_059512353.1~~~beta_lactam~~~unknown MKKHLIVIAFCALFASAFAAKGTDSLKNSAKGTDSLKNSIEKYLKDKKAKVGVAVLGIEDNFKLNVNEKHHYPMQSTYKFHLALAVLDKLDKENISVDKKLFVKKSDLQPNTWSPLKDKYPNGNLELSFSEIIKSTVSHSDNNGCDILFRFVGGTNKVHNFISKLGVKNISIKATEEEMHKAWNVQYTNWTTPDATVQLLKKFYKNEILSKNSYDFLLNTMIETTTGPKRLKGLLPDGTVVAHKTGSSDTNNKGITAATNDIGIITLPNGKHFAIAVYVSDSSEKSDVNEKIIAEICKSVWDYLVKDGK ->ARGMiner~~~ceoB~~~ZP_02904980~~~multidrug unknown +>ARGMiner~~~ceoB~~~ZP_02904980~~~multidrug~~~unknown MIILLGGVIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDNRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRGLSAEDVVQSIREQNVQVAAGVIGASPSLPGTPLQLSVNARGRLQTEDEFGDIVVKTTPDGGVTHLRDIARIELDASEYGLRALLDNKPAVAMAINQSPGANSLQISDEVRKTMAELKQDMPAGIDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASLIPLIAVPVSIIGTFSLLLAFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIESGMNARQATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRGFNKVFHRGAENYGRGVRGVLSRKTLMLGVYLVLVGATVLVSKVVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGSIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFSERHGKALSAGAIAGALNQQYGAIKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYAKLSDATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVPVTDVFNTMQVYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNDKGEMVPLSSLVTVTPTFGPEMVVRYNGYTAADINGGPAPGFSSGQAQAAVERIAHETLPRGVRFEWTDLTYQQILAGDSAMYVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGRTPLEAAIEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAGKDSAGYGVSPSGVPASDA ->ARGMiner~~~OXA-363~~~AHA11126.1~~~beta_lactam unknown +>ARGMiner~~~OXA-363~~~AHA11126.1~~~beta_lactam~~~unknown MKTLIFLPLLNCLSLTACTLPVSSSPSHITSTQSTQAIAQLFDQAQSSGVLVIQRGQQIQVYGNDLSRADTEYVPASTFKMLNALIGLQHGKATTNEIFKWDGKKRSFSAWEKDMTLGQAMQASAVPVYQELARRIGLELMQQEVQRIQFGNQQIGQQVDNFWLVGPLKITPKQEVEFVSALAREQLAFDPQVQQQVKAMLLLQERKAYRLYAKSGWGMDVEPQVGWLTGWVETPQAEIVAFSLNMQMQNGMDPAIRLEILQQALAELGLYPKAEG ->ARGMiner~~~tetO~~~ZP_01072284~~~tetracycline unknown +>ARGMiner~~~tetO~~~ZP_01072284~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYPSDTACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKPEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTCFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~mdtG~~~NP_455648~~~multidrug unknown +>ARGMiner~~~mdtG~~~NP_455648~~~multidrug~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIILLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~mexF~~~YP_002081505~~~multidrug unknown +>ARGMiner~~~mexF~~~YP_002081505~~~multidrug~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVGERRAQHRPRPQAGWEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~GES-21~~~AFK80745.1~~~beta_lactam unknown +>ARGMiner~~~GES-21~~~AFK80745.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVLERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~ceoB~~~AAB58161.1~~~multidrug unknown +>ARGMiner~~~ceoB~~~AAB58161.1~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVIILLGGVIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVENMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDNRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRGLSAEDVVQAIREQNVQVAAGVIGASPSLPGTPLQLSVNARGRLQTEDEFGDIVVKTTPDGGVTHLRDIARIQLDASEYGLRSLLDNKPAVAMAINQSPGANSLQISDEVRKTMAELKQDMPAGVDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASLIPLIAVPVSIIGTFSLLLAFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIENGMNARQATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRGFNKVFHRGAENYGRGVRGVLSRKTLMLGVYLVLVGATVLVSKVVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGSIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFAERHGKALSAGAIAGALNQKYGAMKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYAKLSDATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVPVTDVFNTMQVYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNDKGEMVPLSSLVTVTPTFGPEMVVRYNGYTAADINGGPAPGFSSGQAQAAVERIADETLPRGVRFEWTDLTYQQILAGDSAMWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGRTPLEAAIEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGVAVFFGMLGVTLFGLI ->ARGMiner~~~TEM-184~~~CCA61905.1~~~beta_lactam unknown +>ARGMiner~~~TEM-184~~~CCA61905.1~~~beta_lactam~~~unknown MSIKHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAVTMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~oprA~~~BAM10414.1~~~multidrug unknown +>ARGMiner~~~oprA~~~BAM10414.1~~~multidrug~~~unknown MPLSKLSASSLALCLGLLGACSLAPRYQRPEAPIPTTYPAVPASQQAGDRARLDDWQQQFTDPVLRQMIGQALEHNRNLRVAALRIEEARALYGVQASERLPTLEASGRYERERMRGETREAGEVEQRYRVAAGISAFELDFFGRVKNLGDAALADYLASEEAQRSARIALIAEVAGGYVQERALYAQQRLAERTLHARENGLALVRKRYAAGMSTRIDLRSEEMLVESARATHAALVRERSQAVSGLQLLLGDFTGDWQDSQLDLEHLQLQPLPAGLPSELLARRPDIRQAEQQLRAANANIGAARAAFFPSLRLSTDLGSASSGLHGLFRGGSRVWTFSPQMTLPIFDGGRNRANLDLAEVRKDIAVNRYEESIQVAFREVADALSAGDQLELQLRAQRAVRDADRERLQLVRKRYAKGVANYLEMLDAQRSLFDSEQQLIHLRGLRLNNGVALYRALGGGWSQG ->ARGMiner~~~otrC~~~AAR96051.1~~~tetracycline unknown +>ARGMiner~~~otrC~~~AAR96051.1~~~tetracycline~~~unknown MTRKTISNGARNAVEVRGLVKHFGEVKAVDGVDLDVREGTVLGVLGPXGAAXXRGALPAHVXGPDAGRRPWRFXTWCANRRALRRTIGXHRPVRXGRRESFSGRENLYMIGRXLDLSRKDARARADELLERFSLTEAAGRAAAKYSGGMRRRLDLAASMIGRPAVLYLDEPTTGLDPRTRNEVWDEVRSMVRDGATVLLTTQYMEEAEQLAHELTVIDRGRVIADGKVDELKTKVGGRTLQIRPAHAAELDRMVGAIAQAGLDGIAGATADHEDGVVNVPIVSDEQLSAVVGMLGERGFTISGHQHPSAQLXEVFLAITGQKTSEAADGGPQDGPQDQQGVQDKQYEEVPA ->ARGMiner~~~MdtM~~~ZP_02344978~~~multidrug unknown +>ARGMiner~~~MdtM~~~ZP_02344978~~~multidrug~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLAARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELVAEK ->ARGMiner~~~BcII~~~ZP_04318513~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04318513~~~beta_lactam~~~unknown MKERVDDMKKNTLLKVGLCVGLLGTIQFVSTISSVQASQKVEKTVIKNETGTISISQLNKNVWVHTELGSFNGEAVPSNGLVLNTSKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKNGYEEPLGDLQTVTNLKFGNMKVETFYPGKGHTEDNIVVWLPQYNILVGGCLVKSTSAKDLGNVADAYVNEWSTSIENVLKRYRNINAVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~EmrD~~~YP_002400178~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_002400178~~~multidrug~~~unknown MKRHRNVNLLLMLVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLVAVTTSSLMVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLVLCAGVTFSMARWMPETRPVDAPRTRLLTSYKTLFGNSSFNCYLLMLIGGLAGIAAFEASSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLLMWIPDWFGVMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGLLIVLCWLPLATRMSHQGQPV ->ARGMiner~~~mdtE~~~YP_002400009~~~multidrug unknown +>ARGMiner~~~mdtE~~~YP_002400009~~~multidrug~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMMPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYGQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~KPC-3~~~AAL05630.1~~~beta_lactam unknown +>ARGMiner~~~KPC-3~~~AAL05630.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~CMY-55~~~ADK55605.1~~~beta_lactam unknown +>ARGMiner~~~CMY-55~~~ADK55605.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGEAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~EreB~~~CAA01212.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~EreB~~~CAA01212.1~~~macrolide-lincosamide-streptogramin~~~unknown MRFEEWVKDKHIPXKXNHPDDNYDDFKPLRXIIGDTRVVALGENSHFIKEFFLLRHTLLRFFIEDLGFTTFAFEFGFAEGQIINNWIHGQGTDDEIGRFLKHFYYPEELKTTFLWLREYNKAAKEKITFLGIDIPRNGGSYLPNMEIVHDFFRTADKEALHIIDDAFNIAKKIDYFSTSQAALNLHELTDSEKCRLTSQLARVKVRLEAMAPIHIEKYGIDKYETILHYANGMIYLDYNIQAMSGFISGGGMQGDMGAKDKYMADSVLWHLKNPQSEQKVIVVAHNAHIQKTPILYDGFLSCLPMGQRLKNAIGDDYMSLGITSYSGHTAALYPEVDTKYGFRVDNFQLQEPNEGSVEKAISGCGVTNSFVFFRNIPEDLQSIPNMIRFXSIYMKAELEKAFDGIFQIEKSSVSEVVYE ->ARGMiner~~~CTX-M-114~~~ACU00153.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-114~~~ACU00153.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKAMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~OXA-203~~~ADX07748.1~~~beta_lactam unknown +>ARGMiner~~~OXA-203~~~ADX07748.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~SHV-21~~~AAF34335.1~~~beta_lactam unknown +>ARGMiner~~~SHV-21~~~AAF34335.1~~~beta_lactam~~~unknown MLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGEFCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEAFPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDTPASMAERN ->ARGMiner~~~TEM-164~~~ABX71157.1~~~beta_lactam unknown +>ARGMiner~~~TEM-164~~~ABX71157.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQVGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQTAEIGASLIKHW ->ARGMiner~~~OpcM~~~ZP_03573945~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~OpcM~~~ZP_03573945~~~multidrug~~~Multi-drug efflux pumps MDNMHNTNGLMRIAKVAAASTLLATLLAACAVGPDYKRPDVTTPAAFKEAPTLAPGEQAGTWKPAEPADGAHRGEWWKVFGDPVLDALEEQALAANQNLKAAAARVEEARAATRTARSQWFPQVGVGFGPTREGLSSASQFQPQGTGPTNATLWRAQGTVSYEADLFGRVSRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYRRTVELREEALKLVQRRFNEGDISELDVSRAKNELASAQADAVGVARRRAASEHALAILLGKAPADFAFKETPLVPVAVKIPPGLPSALLERRPDVAAAERAMAAANARIGLAKSAYFPKLDITGSFGYEASTLGNLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQNAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGDMPASTAVGDAATGTQDVAVR ->ARGMiner~~~CTX-M-152~~~AHY20039.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-152~~~AHY20039.1~~~beta_lactam~~~unknown MRKSVRRAILMTTACVSLLLASVPLYAHANDVQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAAAAVLKQSETQKDLLSQRVEIKSSDLINYNPIAEKHVNGTMTLGELSAAALQYSDNTAMNKLIAHLGGPGKVTAFARAIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQALRNLTLGNALGDTQRAQLVMWLKGNTTGAASIQAGLPTSWVVGDKTGSGDYGTTNDIAVIWPEGRAPLVLVTYFTQSEPKAESRRDVLAAAARIVTDGY ->ARGMiner~~~QnrS3~~~ABU52984.1~~~quinolone unknown +>ARGMiner~~~QnrS3~~~ABU52984.1~~~quinolone~~~unknown ETYNHTYRHRNFSHKDLSDLTFTACTFIRSDFRRANLRDTTFVNCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIEFRACDLKGANFSRTNFAHQVSNRMYFCSAFISGCNLSYANMERVCLEKCELFENRWIGTNLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIAAWQQELILEALGIVVYPD ->ARGMiner~~~mdtF~~~ZP_03067876~~~multidrug unknown +>ARGMiner~~~mdtF~~~ZP_03067876~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLLLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~pbp2~~~YP_002226185~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~YP_002226185~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDIADFRRALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAWQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTPAQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQSGQAP ->ARGMiner~~~ceoB~~~YP_002095804~~~multidrug unknown +>ARGMiner~~~ceoB~~~YP_002095804~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVIILLGGVIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDNRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRGLSAEDVVQSIREQNVQVAAGVIGASPSLPGTPLQLSVNARGRLQTEDEFGDIVVKTTPDGGVTHLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLQISDEVRKTMAELKQDMPAGVDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASLIPLIAVPVSIIGTFSLLLAFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIEGGMNARQATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRGFNKVFHRGAENYGRGVRGVLSRKTLMLGVYLVLVGATVLVSKVVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGSIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFSERHGKALSAGAIAGALNQKYSAMKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYAKLSDATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVPVTDVFNTMQVYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNDKGEMVPLSSLVTVTPTFGPEMVVRYNGYTAADINGGPAPGFSSGQAQAAVERIAHETLPRGVRFEWTDLTYQQILAGDSAMYVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGRTPLEAAIEASRLRLRPILMTSIAFIMGVVPLVTSTGADSEMRHAMGRRGVLRDARRDAVRADADAGVLRCAADARGRQDPRRRQGFDGVRRAGARCLRTRK ->ARGMiner~~~OXA-144~~~ACY56711.1~~~beta_lactam unknown +>ARGMiner~~~OXA-144~~~ACY56711.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQEVQDEVQSILFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~FosB~~~ZP_04090195~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04090195~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVKGRKLAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQERLNYYREDKPHMTFY ->ARGMiner~~~MdtH~~~ZP_02834606~~~multidrug unknown +>ARGMiner~~~MdtH~~~ZP_02834606~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKAFAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~CTX-M-102~~~ADY02546.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-102~~~ADY02546.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQREQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~BcII~~~ZP_04268622~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04268622~~~beta_lactam~~~unknown MEKMKNTLLKLGVCVSLLGITPFVSTISSVQAERTVEHKVIKNETGTISISQLNKNVWVHTELGYFSGEAVPSNGLVLNTSKGLVLVDSSWDDKLTKELIEMVEKKFKKRVTDVIITHAHADRIGGMKTLKERGIKAHSTALTAELAKKNGYEEPLGDLQSVTNLKFGNMKVETFYPGKGHTEDNIVVWLPQYQILAGGCLVKSASSKDLGNVADAYVNEWSTSIENVLKRYGNINLVVPGHGEVGDRGLLLHTLDLLK ->ARGMiner~~~pbp1a~~~ZP_04464540~~~beta_lactam unknown +>ARGMiner~~~pbp1a~~~ZP_04464540~~~beta_lactam~~~unknown MRIAKLILNTLLTLCILGLVAGGMLYFHLKSELPSVETLRTVELQQPMQIYTADGKLIGEVGEQRRIPVKLADVPQRLIDAFLATEDSRFYDHHGLDPIGIARALFVAVSNGGASQGASTITQQLARNFFLTSEKTIIRKAREAVLAVEIENTLNKQEILELYLNKIFLGYRSYGVAAAAQTYFGKSLNELTLSEMAIIAGLPKAPSTMNPLYSLKRSEERRNVVLSRMLDEKYISKEEYDAALKEPIVASYHGAKFEFRADYVTEMVRQEMVRRFGEENAYTSGYKVFTTVLSKDQAEAQKAVRNNLIDYDMRHGYRGGAPLWQKNEAAWDNDRIVGFLRKLPDSEPFIPAAVIGIVKGGAEILLASGEKMTLSTNAMHWTGRSNPVKVGEQIWIRQRANGEWQLGQIPSANSALVSLNSDNGAIEAVVGGFSYEQSKFNRATQSLVQVGSSIKPFIYAAALEKGLTLSSVLQDSPISIQKPGQKMWQPKNSPDRYDGPMRLRVGLGQSKNMIAIRAIQTAGIDFTAEFLQRFGFKRDQYFASEALALGAASFTPLEMARAYAVFDNGGFLIEPYIIEKIQDNTGKDLFIANPKIACIECNDIPVIYGETKDKINGFANIPLGESALKPTDDSTNGEELDQQPETVPELPELQSNFTALKEDAIDLMAAAKNASSKIEYAPRVISGELAFLIRSALNTAIYGEQGLDWKGTSWRIAQSIKRSDIGGKTGTTNSSKVAWYAGFGANLVTTTYVGFDDNKRVLGRGEAGAKTAMPAWITYMKTALSDKPERKLPLPPKIVEKSIDTLTGLLSPNGGRKEYFIVGTEPTRTYLSEMQERGYYVPTELQQRLNNEGNTPATQPQELF ->ARGMiner~~~BacA~~~YP_001140148~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001140148~~~bacitracin~~~unknown MTESYALFVAFVLGIVEGLTEFLPVSSTGHMIIVGHLLGFEGPKAATFEVVIQMGSILAVVAVFWRRLFGLIGIHFGQKPPQDHATLSLVHIILGMLPAVIIGLGIHSWIKANLFGPETVMYALVAGGILLIIAEKFRPTVRSETLDDISYKQAFGIGLFQCLALWPGFSRSGATISGGMLMGISRQAAAEFSFILAVPMMVAASGLDLYKSRDLLSMADFPMFAVGFITAFVVAMIAIKTFLALIRRLDFIPFAIYRFIVAFAVYLVFVA ->ARGMiner~~~ErmV~~~AAB51440.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmV~~~AAB51440.1~~~macrolide-lincosamide-streptogramin~~~unknown MARPSRVSRALSQNFLADRAAAGQLARLAAPHGLPVPLLLEVGAGKGALTELLAPRCRSLLAYEIDPRLVPVLRSRFADAPHVRVLGEDFLRARAPRTPFSVAGNVPFSRTAAVVAWCLRAPHLTDATLLTQLEYARRRTGDYGSWTRLTVLTWPRHEWRLAGRVGRRSFRPVPRVDAGIVRIERRRTPLLAPGADAGWRELVDLGFSGAGGSLHASLRRARPRRRVDAAFRAAGLDRDVLVGEVPPWTWLRLHEVLGS ->ARGMiner~~~ACT-17~~~AHM76771.1~~~beta_lactam unknown +>ARGMiner~~~ACT-17~~~AHM76771.1~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILEALQ ->ARGMiner~~~CMY-17~~~AAS13399.1~~~beta_lactam unknown +>ARGMiner~~~CMY-17~~~AAS13399.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVAFAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~vanRO~~~AHA41505.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~AHA41505.1~~~glycopeptide~~~unknown MASMRVLVVEDERFMAEAIRDGLRLEAIAADIAGDGDTALDLLGVNAYDIAVLDRDIPGPSGDEIAQRIVASGGGIPILMLTAADRMDDKASGFELGADDYLTKPFELQELVLRLRALDRRRAHSRPPVREIAGLQLDPFRREVYRDGRYVALTRKQFAVLEVLVAAEGGVISAEELLERAWDENADPFTNAVRITVSTLRKRLGEPWLIATVPGVGYRIDTEPDARGGGDGG ->ARGMiner~~~BacA~~~YP_581244~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_581244~~~bacitracin~~~unknown MDIILLIQAVIMGIVEGITEFLPISSTGYLILSADLMGFWTKEKVDLFVVVVQFGAILAVIYDYWGRLWQALMGLLTGKAEGMSNPRQLGLSLIVATIPVMIVGFTFADEIKAYLFNPIVVAIMLIIGGLLIFYVENRPKAIIAEEAEDVSLKTALMIGLLQCLALIPGTSRSGATIIGALWLGVSRKASAEFSFFLGIPVIIGAALLDFIKHRDVLTSSEDWLVLGIGTVVSFIIALLCIRLLVAWVSRRDFKIFAWLRIITGVLVLIAAWGFGYQMAG ->ARGMiner~~~BacA~~~ZP_03265942~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03265942~~~bacitracin~~~unknown MDWLLACKALILGVVEGLTEFLPVSSTGHLIVVGSLLDFSGEHAKNFYVVIQLGAILAVCWEFRRRIGNVIVGLPSRPDARRFALNVIIATIPAVVLGLLFEKSIKEALFSPVPVAFALVAGGVVILWAEARQRARGDAAVRVRSIDDLSPLDALKVGLAQCFALIPGTSRSGSTIIGGMLFGIERRAATEFSFFLAIPIIFGATAYELYKDWHLLTTDALGSFALGFAAAFVSAFICIRWLLRYVASHDFTVFAWYRIGFGLLILLIGYSGGLSWAD ->ARGMiner~~~emrY~~~BAA11237.1~~~multidrug unknown +>ARGMiner~~~emrY~~~BAA11237.1~~~multidrug~~~unknown MAITKSTPAPLTGGTLWCVTIALSLATFMQMLDSTISNVAIPTISGFLGASTDEGTWVITSFGVANAIAIPVTGRLAQRIGELRLFLLSVTFFSLSSLMCSLSTNLDVLIFFRVVQGLMAGPLIPLSQSLLLRNYPPEKRTFALALWSMTVIIAPICGPILGGYICDNFSWGWIFLINVPMGIIVLTLCLTLLKGRETETSPVKMNLPGLTLLVLGVGGLQIMLDKGRDLDWFNSSTIIILTVVSVISLISLVIWESTSENPILDLSLFKSRNFTIGIVSITCAYLFYSGAIVLMPQLLQETMGYNAIWAGLAYAPIGIMPLLISPLIGRYGNKIDMRLLVTFSFLMYAVCYYWRSVTFMPTIDFTGIILPQFFQGFAVACFFLPLTTISFSGLPDNKFANASSMSNFFRTLSGSVGTSLTMTLWGRRESLHHSQLTATIDQFNPVFNSSSQIMDKYYGSLSGVLNEINNEITQQSLSISANEIFRMAAIAFILLTVLVWFAKPPFTAKGVG ->ARGMiner~~~SHV-64~~~ABA06586.1~~~beta_lactam unknown +>ARGMiner~~~SHV-64~~~ABA06586.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVLLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BcI~~~P28018~~~beta_lactam unknown +>ARGMiner~~~BcI~~~P28018~~~beta_lactam~~~unknown MKNKRMLKIGMCVGILGLSVTSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRHNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFHKIGGPKGYEKALRQIGDRVTMSDRFETELNEAIPGDIRDTSTAKAIASNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEAPEVIVKSLK ->ARGMiner~~~QnrB70~~~AGL43631.1~~~quinolone unknown +>ARGMiner~~~QnrB70~~~AGL43631.1~~~quinolone~~~unknown MTLVLVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~adeJ~~~AAX14802.1~~~multidrug unknown +>ARGMiner~~~adeJ~~~AAX14802.1~~~multidrug~~~unknown MAQFFIHRPIFAWVIALVIMLAGILTLTKMPIAQYPTIAPPTVTIAATYPGASAETVENTVTQIIEQQMNGLDGLRYISSNSAGNGQASIQLNFEQGVDPDIAQVQVQNKLQSATALLPEDVQRQGVTVTKSGASFLQVIAFYSPDNNLSDSDIKDYVNSSIKEPLSRVAGVGEVQVFGGSYAMRIWLDPAKLTSYQLTPSDIATALQAQNSQVAVGQLGGAPAVQGQVLNATVNAQSLLQTPEQFKNIFLKNTASGAEVRLKDVARVELGSDNYQFDSKFNGKPAAGLAIKIATGANALDTAEAVEQRLSELRKNYPTGLADKLAYDTTPFIRLSIESVVHTLIEAVILVFIVMFLFLQNWRATIIPTLAVPVVVLGTFAVINIFGFSINTLTMFAMVLAIGLLVDDAIVVVENVERVMSEDHTDPVTATSRSMQQISGALVGITSVLTAVFVPMAFFGGTTGVIYRQFSITLVTAMVLSLIVALTFTPALCATILKQHDPNKEPSNNIFARFFRSFNNGFDRMSHSYQNGVSRMLKGKIFSGVLYAVVVALLVFLFQKLPSSFLPEEDQGVVMTLVQLPPNATLDRTGKVIDTMTNFFMNEKDTVESIFTVSGFSFTGVGQNAGIGFVKLKDWSKRTTPETQIGSLIQRGMALNMIIKDASYVMPLQLPAMPELGVTAGFNLQLKDSSGQGHEKLIAARNTILGLASQDKRLVGVRPNGQEDTPQYQINVDQAQAGAMGVSIAEINNTMRIAWGGSYINDFVDRGRVKKVYVQGDAGSRMMPEDLNKWYVRNNKGEMVPFSAFATGEWTYGSPRLERYNGVSSVNIQGTPAPGVSSGDAMKAMEEIIGKLPSMGLQGFDYEWTGLSLEERESGAQAPFLYALSLLIVFLCLAALYESWSIPFSVLLVVPLGVIGAIVLTYLGMIIKGDPNLSNNIYFQVAIIAVIGLSAKNAILIVEFAKELQEKGEDLLDATLHAAKMRLRPIIMTTLAFGFGVLPLALSTGAGAGSQHSVGFGVLGGVLSATFLGIFFIPVFYVWIRSMFKYKPKTINTQEHKS ->ARGMiner~~~QnrB54~~~CCI51002.2~~~quinolone unknown +>ARGMiner~~~QnrB54~~~CCI51002.2~~~quinolone~~~unknown MALALVSEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~vgaE~~~CBY88983.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~vgaE~~~CBY88983.1~~~macrolide-lincosamide-streptogramin~~~unknown MLLFEGTSLKKHIQDRLLFDIDLIQVHEHQRIGLVGRNGTGKTSLLKIITGEELVDGGNVNHFTSVKLVPQFKETRSEKSGGEITQQYLQLAFNEKPGLLILDEPTTHLDTQRIDWLEKKLANYQGAFVVVSHDRTFLNNVCTEIWEIEDGSLNAFKGDYNAYAEQKELIKTQQQIAFEKYEREKKQLEKAIRQKEERAQRATKKPKNLSSSEARITGAKTHYANIQKKLRGSAKALETRLEQLDRIDKVKELPEIKMDILNKESLTNQSVLRAENIKGEVDGRKLWNPFSLYLYGGDKVAIIGKNGTGKTTLLKKIVERDERIAIPEKVRIGYFSQHLTILDDDKTIIENIQLTSSQDETLIRTVLARMHFWDEDVYKKVGILSGGEKVKVALAKLFLSDVNMLVLDEPTNFLDIESLEALETLMKSYHGTILFVTHDRTLVTNIATKIIDIKDGKITVFDGSYEAYEEWLENQTKSNNDDQLLLIETKISDVLGRLSLEPSRELEDEFQRLLKEKKELTKKL ->ARGMiner~~~pbp2~~~YP_002041176~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~YP_002041176~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDIADFRRALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTPAQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQPGQAP ->ARGMiner~~~OXY-1-1~~~CAA82916.1~~~beta_lactam unknown +>ARGMiner~~~OXY-1-1~~~CAA82916.1~~~beta_lactam~~~unknown MLKSSWRKTALMAAAAVPLLLASGSLWASADAIQQKLADLEKRSGGRLGVALINTADDSQTLYRGDERFAMCSTGKVMAAAAVLKQSESNPEVVNKRLEIKKSDLVVWSPITEKHLQSGMTLAELSAAALQYSDNTAMNKMISYLGGPEKVTAFAQSIGDVTFRLDRTEPALNSAIPGDKRDTTTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPASWAVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKSRKEVLAAAAKIVTEGL ->ARGMiner~~~OXA-4~~~AET05998.1~~~beta_lactam unknown +>ARGMiner~~~OXA-4~~~AET05998.1~~~beta_lactam~~~unknown MKNTIHINFAIFLIIANIIYSSASASTDISTVASPLFEGTEGCFLLYDVSTNAEIAQFNKAKCATQMAPDSTFKIALSLMAFDAEIIDQKTIFKWDKTPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVKNSAIENTIENMYLQDLENSTKLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYVFVSALTGNLGSNLTSSIKAKKNAITILNTLNL ->ARGMiner~~~dfrA23~~~CAG34233.2~~~trimethoprim unknown +>ARGMiner~~~dfrA23~~~CAG34233.2~~~trimethoprim~~~unknown MPTVEIIVAVDPVGGFGRNGQIPWTCKEDMKRFTTISKEIRVCVMGKNTYKDMLDMQMKKEGAEERIKEKGILPERESYVVSSTLKPEDVIGATVVPDLRAVLNQYHDSDQRIAVIGGEKLYVQALASATKVHMTVMHKPYNCDRTLPMSYIDKKFVAGQGSITIQTAVDGETHPVKFITYERARP ->ARGMiner~~~MacB~~~A1A9B7~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~A1A9B7~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~ceoB~~~ZP_02405550~~~multidrug unknown +>ARGMiner~~~ceoB~~~ZP_02405550~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVIILLAGMIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPNDSYDMTYLRNYALINVKDRLSRIQGVGQVQLWGAGDYAMRVWLDPQKVAQRNLTADDVVRAIREQNVQVAAGVIGASPTLPGTPLQLSVNARGRLQNEDEFGDIVVKTAPDGGVTHLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLAISDEVRKTMAELKQDFPAGVDYRIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASIIPLIAVPVSIVGTFSLLLLFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIENGLTARAATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRGFNKVFHRGAENYGRGVRGVLSRKAVMLGLYLVLVGATLLVSKIVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGAIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFDQRHGKALSAGAIAGALNQKYAALKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYARLADATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVNVTDVFDTMQIYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNAAGEMVPLSSLVTVSPTFGPEMVVRYNAYTAADVNGGPAPGYSSGQAQAAVERIAAQTLPRGVKFEWTDLTYQQILAGDSAFWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGKTPFEAAVEASRLRLRPILMTSIAFIMGVVPLVLSTGAGAEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAQKDSAGYGVPAPDA ->ARGMiner~~~ceoB~~~YP_776582~~~multidrug unknown +>ARGMiner~~~ceoB~~~YP_776582~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVIILLGGVIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDNRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRGLAAEDVVRSIREQNVQVAAGVIGASPSLPGTPLQLSVNARGRLQTEDEFGDIVVKTTPDGGVTHLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLQISDEVRKTMAELKQDMPAGIDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASLIPLIAVPVSIIGTFSLLLGFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIESGMNARQATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFKRFNKVFHRGAENYGRGVRGVLSRKTLMLGVYLVLVGATVLVSKIVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGSIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFSERHGKALSAGAIAGALNQQYGAIKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYAKLSDATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVPVTDVFNTMQVYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNDKGEMVPLSSLVTVTPTFGPEMVVRYNGYTAADINGGPAPGFSSGQAQAAVERIAHETLPRGVRFEWTDLTYQQILAGDSAMWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGRTPLEAAIEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGIAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAGKDSAGYGASGSGVPATGVPASDA ->ARGMiner~~~vanSD~~~ACM47284~~~glycopeptide unknown +>ARGMiner~~~vanSD~~~ACM47284~~~glycopeptide~~~unknown MKNRNKTSHEDDYLLFKNRLSVKILLMMACSILIIAGVYLFILKDNFANVVVAILDSFIYHDRDEAVVVYLRTFKAYEIWLFLIAVMGVFFMIFRRYLDSISKYFKEINRGIDTLVNEDANDITLPPELASTERKINSIRHTLTKRKTDAELAEQRKNDLVMYLAHDLKTPLSSVIGYLNLLRDENQISEELREKYLSISLDKAERLEELINEFFEITRLIFQISRLCTAKSI ->ARGMiner~~~mphE~~~WP_010550189.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~mphE~~~WP_010550189.1~~~macrolide-lincosamide-streptogramin~~~unknown MRGTGQTAGMTETSPSSPSSATADAGTPPPADLEQLLALAADHGLDLLGDSLRTEEIGLDFRVAFARSRDWQDWVLRIPRRAEVLARAAVEGRLLAHLAPHLDIAIPDWRISTERLIAYPLLPGTPGLTVSADGTVEWHVDMASTEYARALGTFLAQLHTVDPEEAAATGIPSRTPSEVRGVWREDLTRVAEAFPIAPALRERWEAWLAEDSYWPDRSVLTHGEVYPGHTLVEGERLSAVLDWTTASVGDPARDLMFHRSSAPPEAFAATLAAYVAGGGTLHPRLGEHAEEMFSASPLAYGLYALETGEEEHRAAAAAALDPPDAD ->ARGMiner~~~NDM-13~~~BAQ02518.1~~~beta_lactam unknown +>ARGMiner~~~NDM-13~~~BAQ02518.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTNDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGLVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~MdfA~~~YP_002848751~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_002848751~~~multidrug~~~unknown MQNRLSSGVRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVAQYNAGLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLTGVIWFIVTCLATLLAQNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFILFAALAAISFFGLQRAMPETATRLGEKLSIKELGKDYKLVLRNVRFVAGALALGFVSLPLLAWIAQSPIIIISGEHLSSYEYGLLQVPIFGALIAGNLVLARLTSRKTVRSLIIMGGWPIAVGLIIAAAATVVSSHAYLWMTAGLSIYAFGIGVANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIELSKHAYLLGGNGLFSLFNLASGVLWLILMVIFLKDKRVGNSREG ->ARGMiner~~~tetQ~~~CAA79727.1~~~tetracycline unknown +>ARGMiner~~~tetQ~~~CAA79727.1~~~tetracycline~~~unknown MRFDNASIVVYYCLIQMNIINLGILAHIDAGKTSVTENLLFASGATEKCGRVDNGDTITDSMDIEKRRGITVRASTTSIIWNGVKCNIIDTPGHMDFIAEVERTFKMLDGAVLILSAKEGIQAQTKLLFNTLQKLQIPTIIFINKIDRDGVNLERLYLDIKTNLSQDVLFMQTVVDGLVYPICSQTYIKEEYKEFVCNHDDNILERYLADSEISPADYWNTIIDLVAKAKVYPVLHGSAMFNIGINELLDAISSFILPPESVSNRLSAYLYKIEHDPKGHKRSFLKIIDGSLRLRDIVRINDSEKFIKIKNLKTIYQGREINVDEVGANDIAIVEDMEDFRIGDYLGTKPCLIQGLSHQHPALKSSVRPDRSEERSKVISALNTLWIEDPSLSFSINSYSDELEISLYGLTQKEIIQTLLEERFSVKVHFDEIKTIYKERPVKKVNKIIQIEVPPNPYWATIGLTLEPLPLGTGLQIESDISYGYLNHSFQNAVFEGIRMSCQSGLHGWEVTDLKVTFTQAEYYSPVSTPADFRQLTPYVFRLALQQSGVDILEPMLYFELQIPQAASSKAITDLQKMMSEIEDISCNNEWCHIKGKVPLNTSKDYASEVSSYTKGLGVFMVKPCGYQITKGDYSDNIRMNEKDKLLFMFQKSMSSK ->ARGMiner~~~OXA-199~~~AFC95894.1~~~beta_lactam unknown +>ARGMiner~~~OXA-199~~~AFC95894.1~~~beta_lactam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEYKSQGVVALWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVGSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~OXA-312~~~AGU69250.1~~~beta_lactam unknown +>ARGMiner~~~OXA-312~~~AGU69250.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKTTTTEVFKWDGQKRLFPEWEKDMTLGDAMKASALPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OKP-B-5~~~CAP12356.2~~~beta_lactam unknown +>ARGMiner~~~OKP-B-5~~~CAP12356.2~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNTAGNLLLKIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTPATMVERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-96~~~CAG28417.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-96~~~CAG28417.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPSLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDILASAAKIVTDGL ->ARGMiner~~~MdtM~~~YP_405948~~~multidrug unknown +>ARGMiner~~~MdtM~~~YP_405948~~~multidrug~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFRQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSLVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWLVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAVVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~adeB~~~ZP_03823622~~~multidrug unknown +>ARGMiner~~~adeB~~~ZP_03823622~~~multidrug~~~unknown MMSQFFIRRPIFAWVIAIFIILFGLLSIPKLPIARFPSVAPPQVNISAVYPGATPKTINDSVVTLIERELSGVKNLLYYSSTTDTSGTAEISATFKPGTDVDMAQVDVQNKIKAIEARLPQIVRQQGLQVESSSSGFLMLVGIKSPNGQYSEIDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNAAIRENNVEIAPGRLGDMPAEQGQLITIPLSAQGQLDSIEQFKNISLKSKTSGSVIRLSDVANVEIGSQAYNFAILEDGKPSTAAAIQLSPGANAVKTADAVREKIEELNINLPEGMQFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFAVMLVAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLPPKEATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGIIYQQFTLTMSVSILFSALLALVLTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYERILLKVIKHSIPMMVIFVVITGLTFAGMKYWPTAFMPEEDQGWFLTSFQLPSDATTERTKNIVNQFEDSLKDNPNVKNNTTILGWGFSGSGQNVAVSFTTLKDFKDRTSSASEMTNAVNAEMANSKEGATMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDQLMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLNALGVRFADVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMHLKDILNLKVAGASGQLVSLSEVVTPEWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGDAMREMENLIAQLPKGIGYEWTGISLQEKQSESQMAFLLVLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIVAIMTRGMMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVQAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFVFILGGVEKLFKSKRTSTKQETTS ->ARGMiner~~~FOX-8~~~ADK73994.1~~~beta_lactam unknown +>ARGMiner~~~FOX-8~~~ADK73994.1~~~beta_lactam~~~unknown MQQRRAFALLTLGSLLLAPCTYASGEAPLTATVDGIIQPMLKEYRIPGIAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFVLDDKVSQHAPWLKGSALDGVTMAELATYSAGGLPLQFPDKVDSNDKMQTYYRSWSPVYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPIRVTPGVLAAEAYGIKTGSADLLKFAEANMGYQGDALVKSAIALTHTGFYSVGEMTQGLGWESYDYPVTEQVLLAGNSPAVSLQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~QnrA3~~~AAZ04782.1~~~quinolone unknown +>ARGMiner~~~QnrA3~~~AAZ04782.1~~~quinolone~~~unknown MDIIDKVFQQEDFSRQDLSDSRFRRCRFYQCDFSHCQLRDASFEDCSFIESGAVEGCHFSYADLRDASFKACRLSLANFSGANCFGIEFRECDLKGANFSRARFYNQISHKMYFCSAYISGCNLAYANLSGQCLEKCELFENNWSNANLSGASLMGSDLSRGTFSRDCWQQVNLRGCDLTFADLDGLDPRRVNLEGVKICAWQQEQLLEPLGVIVLPD ->ARGMiner~~~BacA~~~YP_294981~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_294981~~~bacitracin~~~unknown MEIALALKAVILGIVEGLTEFLPISSTGHLILAGQLLDFNDEKGKIFEIVIQFGAILAVCWEFRRRIANVLSGLTTDPKAQRFAINVIVATVPAIVLALVFGKWIKAHLFNPITVALAFIIGGVVILLAERRDARRGMVTNPRGNALLQAAKAGAPRIESVDDLNWRDALKVGLAQCFALVPGTSRSGATIIGGMLFGLSRQVATEFSFFLAIPVIFGATVYELYKARALLSVDDLGIFGIGFVFAFLSAFLCVRWLLRFVATHDFKPFAWYRIAFGIIVLATAYSGLIAWHA ->ARGMiner~~~AQU-1~~~BAM76830.1~~~beta_lactam unknown +>ARGMiner~~~AQU-1~~~BAM76830.1~~~beta_lactam~~~unknown MKQTSPLSSLALSALLLSPLTQAAPADPLVGVVDEVIRPLVKEHRIPGMAVAVFKEGQPHYFNYGVAELATGKKVSEQTLFEIGSVSKTYTATLGAYAVVKGSIGLDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVESLEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGYLAASSLQQPFAQLMEQTLLPGLGLHHTYINVPKQAMANYAYGYSKEDKPIRVTPGVLADEAYGIKTSSADLLAFVKANINGVDDKGLQQAIALTHQGRYSVGEMTQGLGWESYPYPVSEQTLLAGNSVQVIMKANPTTAAPKEMGSQRLFNKTGSTNGFGAYVAFVPAKGVGIVMLANRNYPIQERVKAAHSILSKLAP ->ARGMiner~~~CMY-82~~~AAM11668~~~beta_lactam unknown +>ARGMiner~~~CMY-82~~~AAM11668~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANNRPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTHYWPELTGKQWQGISLLHLATYTAGGLPLQVPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTKRVLRPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMTRWVQAHMNASKVQEKTLQQAIELAQSRYWRVGDMYQGLGWEMLNWPVKADSIISGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~AmrA~~~YP_001066168~~~multidrug unknown +>ARGMiner~~~AmrA~~~YP_001066168~~~multidrug~~~unknown MKYEWARTRRLSAALAVAAFVAAGCGKHESEHDAAAPREASVVTVKKTSVPLSVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKARAAHLAALDKRRRYDELVRDRAVSERDHTEALADERQAKAAVASASAELARAQLQLDYATVTAPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVESLRRAVKSGRAAGIAQQDVEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAVARDAILVPRDALLRTADSATVKVVGQNGKIRDVTVEAAQMKGRDWIVTRGLAGGERVVVVDAAQFEAGTTVKALERGAAAQPASGAAAASAPGRRST ->ARGMiner~~~BcII~~~NP_979752~~~beta_lactam unknown +>ARGMiner~~~BcII~~~NP_979752~~~beta_lactam~~~unknown MKKNTLLKLGVCVSLLGTTQFVSTISSVKAEQKLEQKVIKNEAGTISISQLNKNVWVHTELGYFNGEAVPSNGLVLTTSKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGMKTLKERGIKAHSTVLTAELAKKSGYEEPLGDLQTITNLKFGNMKVETFYPGKGHTEDNIVVWLPQYNILAGGCLVKSASSKDLGNVADAYVNEWSISIENVLKRYGNINSVVPGHGGVGDRDLLLHTLDLLK ->ARGMiner~~~VIM-3~~~AAG27703.1~~~beta_lactam unknown +>ARGMiner~~~VIM-3~~~AAG27703.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATKSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGSEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~BacA~~~ZP_04616832~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04616832~~~bacitracin~~~unknown MTDMYSLFVAFVLGVVEGLTEFLPVSSTGHMIIVGHLLGFTGEKAETFEVIIQLGSILAVVVVFWRRLFGLIGIHFGGKKVANDGQTGGHLTLGHVLLAMIPAVILGLVFHDAIKSLFQPQSVMYALVIGGLLLLAAEWLKPKNPKAVGLDDISYRQAFMIGCFQCLALWPGFSRSGATISGGMLTGVSRYAASEFSFILAVPMMLGASGLDLYKSLHFLTWGDFPMFAVGFATAFVVALVAIKTFLSVIKRISFVPFAIYRFVVAAAVYWVFM ->ARGMiner~~~VanRG~~~ZP_02422325~~~glycopeptide unknown +>ARGMiner~~~VanRG~~~ZP_02422325~~~glycopeptide~~~unknown MNESILIVDDEKEIADLIEVYLENDGYTVHKFYNGMDALKCIESQNLDLAILDVMLPDIDGFRICQKIREQYYYPIIMLTAKVEDTDKIMGLTIGADDYITKPFNPLEVVARVKTQLRRYVRYNNAANTEEKDAPIAEHDVRGLIINKNTHKCTLYGKEVTLTPIEFSILWYLCENRGKVISSEELFENIWGEKFLDNNNTVMAHIGRLREKLNEPAKKPKFIKTVWGVGYTIEK ->ARGMiner~~~QnrB10~~~ABG56269.1~~~quinolone unknown +>ARGMiner~~~QnrB10~~~ABG56269.1~~~quinolone~~~unknown MLSLLYKNTGIDMTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~acrB~~~ACI87546~~~multidrug unknown +>ARGMiner~~~acrB~~~ACI87546~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHNHTVDHH ->ARGMiner~~~AAC(6')-Ib11~~~AAN41403.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib11~~~AAN41403.1~~~aminoglycoside~~~unknown MKNTIHINSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDLSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~aadA16~~~ACF17980.1~~~aminoglycoside unknown +>ARGMiner~~~aadA16~~~ACF17980.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSQALNVIERHLGSTLLAVHLYGSALDGGLKPCSDIDLLVTVTAQLDETVRQALFVDFLEVSASPGQSEALRALEVTIVVYGDVAPWRYLARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKARQHSLALAGSAAEDFFNSVPESDLFKALADTLKLWNSQPDWAGDERNVVLTLSRIWYSAATGKIAPKDVAANWVMERLPVQHQPVLLEAQQAYLGQGMDCLASRADQLTAFIYFVKHEAASLLGSTPMMSNSSFKPTPLRGAA ->ARGMiner~~~CTX-M-110~~~AEM44648.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-110~~~AEM44648.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEEHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGNL ->ARGMiner~~~TEM-101~~~AAM18924.1~~~beta_lactam unknown +>ARGMiner~~~TEM-101~~~AAM18924.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIVEIGASLIKHW ->ARGMiner~~~tetJ~~~AAD12753.1~~~tetracycline unknown +>ARGMiner~~~tetJ~~~AAD12753.1~~~tetracycline~~~unknown MNKSIIIILLVTVLDAIGIGLIMPVLPTLLNEFVSENRLANHYGILLALYATMQVIFAPILGKLSDKYGRKPILLISLLGAALDYLLMACPTSLWMLYIGRIIAGITGATGAVCASAMTDVTHPHERTRYFGFLGGAFGVGLIIGPMLGGLLGEISAHTPFIFAAISHSLLFIFSLLCFQETQTTKISTEISALNQDTAPHSTTGFIKKSLFFWLIAYFIIQLIGQIPATIWVLFTQVRFAWHTTEVGLSLAFLGVLHIFFQAVLAGKLAQKWGERNTVIISMSIDAFGCLLLAWISHVWVMLPALICLAAGGMGQPALQGYLSKSVDHHVQGQLQGTLVSLTNITGIVGPLLFSFIYSYSVEYWDGLLWFIGAMLYSGLLVASYFKQKSPILKKFPS ->ARGMiner~~~BacA~~~NP_977823~~~bacitracin unknown +>ARGMiner~~~BacA~~~NP_977823~~~bacitracin~~~unknown MADWLIGIIMGAVEGLTEFLPVSSTGHMILTGHLLGFDDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGVLGVLFHSAIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSAADIPLFATGFITAFVVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~IMI-3~~~ACX71212.1~~~beta_lactam unknown +>ARGMiner~~~IMI-3~~~ACX71212.1~~~beta_lactam~~~unknown MSLNVKQSRIAILFISCLFSISFFSQANTKGIDEIKNLETDFNGRVGVYALDTGSGKSFSYKANERFPLCSSFKGFLAAAVLKGSQDNQLNLNQIVNYNTRSLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDEDFRLDRWELDLNTAIPGDERDTSTPAAVAKSLKTLALGNILSEREKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKNEKEAKHEDKVIAEASRIAIDNLK ->ARGMiner~~~SHV-135~~~ADR66517.1~~~beta_lactam unknown +>ARGMiner~~~SHV-135~~~ADR66517.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLKQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMTATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-27~~~CAP45560~~~beta_lactam unknown +>ARGMiner~~~CTX-M-27~~~CAP45560~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVTAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNDTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~Bcr~~~CAS09877~~~multidrug unknown +>ARGMiner~~~Bcr~~~CAS09877~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAVAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNILFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR ->ARGMiner~~~CMY-85~~~AHL39322.1~~~beta_lactam unknown +>ARGMiner~~~CMY-85~~~AHL39322.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKSSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYLEGKPLHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQLGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CTX-M-139~~~AFY98865.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-139~~~AFY98865.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLFAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~Bcr~~~YP_001177494~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_001177494~~~multidrug~~~unknown MTTRPHSSISIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSAQMTLSTYILGFAVGQLLYGPMADSIGRKPVILGGTLVFAAAAVACALAQTIEQLIMMRFLHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLLAPMIGGAVLVWLSWHVIFWILAIAALLASAMIFFFIDETLPVERRQKFHIRTTIGNFASLFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVSPQHFGYYFALNIVFLFVMTIINSRFVRRAGALNMFRAGLWIQFVMAIWMVFTAFFDVGFWALVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSMATFNTAWPMIWSIAFCATCSILFYLYASRPRKSAH ->ARGMiner~~~SHV-159~~~AFQ23965.1~~~beta_lactam unknown +>ARGMiner~~~SHV-159~~~AFQ23965.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVGDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~TEM-123~~~AAQ93490.1~~~beta_lactam unknown +>ARGMiner~~~TEM-123~~~AAQ93490.1~~~beta_lactam~~~unknown MSIKHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIALGPDGKPSRIVVIYTTGSQATMDEANRQIAEIGASLIKHW ->ARGMiner~~~rmtD~~~ABY64751.1~~~aminoglycoside unknown +>ARGMiner~~~rmtD~~~ABY64751.1~~~aminoglycoside~~~unknown MSELKEKLLASKKYRDVCPDTIERIWRECSAKFKKEKDVDKAAREALHGVTGAFMTEREYKRAMEMAAARDWEALLGMHASTRERLPVESMDRVFDQLFEASGTPARILDLACGLNPVYLAHRLPNAAITGVDISGQCVNVIRAFGGAEARLGDLLCEIPEDEANAALLFKVLPLLERQRAGAAMDALMRVNAEWIVASFPTRSLGGRNVGMEKHYSEWMEAHVPENRAIAARLTGENELFYVLKRK ->ARGMiner~~~smeC~~~AAD51346.1~~~multidrug unknown +>ARGMiner~~~smeC~~~AAD51346.1~~~multidrug~~~unknown MKPMLLRALAAATMTTVLGGCVSMAPHYQRPEAPVPAQFGNAAIGAAEPALAMPAWRDVFLEPRLQQVIALALQNNRDLRVAVLQVEKERAQYRIQRAALLPSVDASGSVTRSRVSDANSETGVTQVTESDAVQVGISSWELDLFGRIRSLKNEALQNWLASAENQRAVRTSLVAEVATAWLALAADEQSLAFTQQTLDSQHQTLQRTEARHAQGLASGLDLSQVQTSVEAARGALAKLQAQQAQDRDALQLLVGAPLDPALLPTAQALDGSVALAPLPANLPSSVLLQRPDVLSAEHALQAANADIGAARAAFFPTLALTANYGHSSTALSTLFSAGTRGWSFAPSITAPIFHAGALKASLDASKIGKDIGIAQYEKAIQQAFSEVADALATRDHLTAQLDAQRALVADSQRSYTLADARYRTGLDGYLQSLDAQRSLYAAQQDLIALQQQEAGNRVTLFKVLGGGADAR ->ARGMiner~~~GES-19~~~AEZ05107.1~~~beta_lactam unknown +>ARGMiner~~~GES-19~~~AEZ05107.1~~~beta_lactam~~~unknown MRFIHALLLAAIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGARNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~tet35~~~AAK37619.1~~~tetracycline unknown +>ARGMiner~~~tet35~~~AAK37619.1~~~tetracycline~~~unknown MCVIMPASSWGAYIITIIGGILVSHGITEYSALGAYVRLIPMNFYAVFALLMVFAVAWFGLDIGKMREHEIAASQGRGFDKDKENDSQEAHDLNEELDIRESEKGKVSDLILPIVTLIVATIASMLYTGGQALAADGKEFVLLGAFENTDVGTSLIYGSLLGLAVALFTVIKQGLPMVEIARTLWIGAKSMFGAILILVFAWTIGSVIGDMKTGSYLSTMAQGNINPHWLPVILFLLSGLMAFSTGTSWGTFGIMLPIAGDMAGATDVALMLPMLSAVLAGAVFGDHCSPISDTTILSSTGARCNHIDHVSTQLPYALSVAFVSCIGFITLGMTASIAFSFIAASITFVIVCAILSWLSKSKMASCQNA ->ARGMiner~~~ACT-30~~~AIT76086.1~~~beta_lactam unknown +>ARGMiner~~~ACT-30~~~AIT76086.1~~~beta_lactam~~~unknown MMKKSLCCALLLGLSCSALAAPVSEKQLAEVVANTVTPLMIAQSVPGMAVAVIYQGKSHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYARGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQVGIVMLANKSYPNPARVEAAYHILDALQ ->ARGMiner~~~BacA~~~ZP_04167983~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04167983~~~bacitracin~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFEDDRAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGILGVLFHSAIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASALDLIKSWDILSTADIPLFATGFITAFVVAMLAIVSFLKLLGRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~BacA~~~YP_001639853~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001639853~~~bacitracin~~~unknown MDLVLIAKALVLAVVEGATEFIPVSSTGHQLLIGHFIGFHSPNNTFEVLIQLGAILAILFVYFGRLWSIATALPNDPRARRFVLAILIAFLPAAIVGGLFSKYIKLYLFNPWIVCATLVAGGIVLLIIDDTVGEPKAAPNEGTHDGPTEHPRKTDVFEFSLPMALKIGLFQCVAMIPGVSRSGATIVGAMLMGASKRSATEFSFYLAMPTMAGAFAKDLLDNYKNLSSNDALLIVIGFVAAFISALIVVRTVLDYVSRHGFWLFAWWRIIVGSLGFAGLILFG ->ARGMiner~~~vgaD~~~ACX92986.2~~~multidrug unknown +>ARGMiner~~~vgaD~~~ACX92986.2~~~multidrug~~~unknown MLILEANHIEKSINDRKLLDVTHLQIHYEDRIGVVGRNGSGKTTLLSILAGEIEADKGEVKTSASRYFLPQLKETDTFRSGGEITKSYIDKALAMKAEILFADEPTTNLDTHNIKELEKHFSRYRGAIILVSHNRYFLDQICTKIWEIEDGEVKEIHGNYTSYVKQKELLRRQQQEEYEKYITKKKQLERAVTMKEQKAQKMIKPPSKQMGTSESRIWKMQHATKQKKMHQNIKALETRVEKLERVKKPKDYPAVKMKLSNQDQIQGRNVLRVKDLSVSFGNHVLWTDASFTIKGGEKAAIIGNNGVGKTTLLKQILERVPAVTISPAAKIGYFSQNLDTLDTHVSILENVMSTAIQDETTVRTVLARLHFYREDVYKEVQVLSGGERVKVAFAKLFVSDYNTLILDEPTNYLDIDAIEALEELLINYEGAVLFVSHDCRFVQNIASKIIELSDQKVIEFLGSYKAFRERSQETERDYMKEELLKIEIKLTQMISEMNDEASNELEKEFQMLIHERNQLRNQVNN ->ARGMiner~~~Bcr~~~AAC75243~~~multidrug unknown +>ARGMiner~~~Bcr~~~AAC75243~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALANTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILALAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR ->ARGMiner~~~BacA~~~ZP_03552050~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03552050~~~bacitracin~~~unknown MDIVLLVKAAVMGIVEGLTEFLPISSTGHLILAGSLLGFDDDKAKVFDIAIQTGAIFAVILVYWQKIHSTVVALPRQAKARRLALNVVIGFLPAVVLGLLFGKMIKAHLFIPVVVASTFIIGGFIILWAEKRPPGSVRIEHVDDMTMWDALKVGLVQCFAMIPGTSRSGSTIIGGMLLGLSRQAATDFSFFLAIPTLIGAGAYSLYKERALLSVADIPLFSVGLVFSFISAWLCVRWLLKYISTHDFIPFAWYRIAFGIVVLATAWTGTVVWAE ->ARGMiner~~~OXA-136~~~ABW76134.1~~~beta_lactam unknown +>ARGMiner~~~OXA-136~~~ABW76134.1~~~beta_lactam~~~unknown MSKKNFILIFIFVILISCKNTEKISNETTLIDNIFTNSNAEGTLVIYNLNDDKYIIHNKERAEQRFYPASTFKIYNSLIGLNEKAVKDVDEVFYKYNGEKVFLESWAKDSNLRYAIKNSQVPAYKELARRIGLKKMKENIEKLDFGNKSIGDSVDTFWLEGPLEISAMEQVKLLTKLAQNELPYPIEIQKAVSDITILEQTYNYTLHGKTGLADSKNMTTEPIGWFVGWLEENDNIYVFALNIDNINSDDLAKRINIVKESLKALNLLK ->ARGMiner~~~amrB~~~AAC64522~~~multidrug unknown +>ARGMiner~~~amrB~~~AAC64522~~~multidrug~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSQHRQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKHPRHPRRVSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKPMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYTLVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPKIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~TEM-15~~~CAO98721.1~~~beta_lactam unknown +>ARGMiner~~~TEM-15~~~CAO98721.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BacA~~~ZP_04107441~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04107441~~~bacitracin~~~unknown MKNYIFVIKEALLVADWLIGIIMGAVEGLTEFLPVSSTGHMILTGHLIGFDDDRAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGVLGVLFHSAIKEVLFGPGPVVISLVAGGILMIVAEKFSKSSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSTADIPLFATGFITAFVVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~SHV-65~~~ABA06587.1~~~beta_lactam unknown +>ARGMiner~~~SHV-65~~~ABA06587.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCTAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~MdtO~~~YP_002806276~~~multidrug unknown +>ARGMiner~~~MdtO~~~YP_002806276~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPKVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHSIAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~MexC~~~YP_002256705~~~multidrug unknown +>ARGMiner~~~MexC~~~YP_002256705~~~multidrug~~~unknown MKIERLRRHGGVHALLAAMLAGCGPSEPQSAPPAPQVATTSVAPTHLELTEDLPGRVAAVRVAEIRPQVSGIVLRRLFEQGTEVRAGQPLFQINPAPFRADADTAAAALRRAEAALARARVQTTRLQPLVEADAVSRQVYDDAVSQRDQAAADVEQARATLARRQLDLKFATVEAPIPGRIDQALVTEGALVNSGDSNPMARIQQIDQVYVDVRRPASSLEALRRTLATQTAGAGNGLPVAVLRGNGEPYEAKGRILFSGINVDAGTGDVLLRVLVNNPQRQLLPGMFVRARVPHVRYTDALTVPQQAVVRVGGQPQVWALDANGHARLKPVELGELVDRRYRIRTGLSAGQKIVVEGMERLSDGAAVTAHDWKSPEPVPAGPAH ->ARGMiner~~~opmD~~~YP_002088900~~~multidrug unknown +>ARGMiner~~~opmD~~~YP_002088900~~~multidrug~~~unknown MKRSYPNLSRLALALAVGTGLAACSVGPDYQRPQSPPPRVASEHLGEFSGERREAPWWSFFDDPQLVRLVDQALARNHDIREARANLRSARALFDDRWLDQLPQVTSQAGYSRSIEQQLDYDGEPRRRLAESYRAGFDAQWEIDLFGRLGRLSDAALARAEAADADLRLVRLSIAADTARAYFEIQGYQRRLDVARAQVRSWRDTLELTRSSLQLGSGLPEDVENAQANLLRSEAAIPPLTTALESARYRLDVLRGEAPGSGAPILDGAAAAPLAKNLPLGDVDRLILQRPDVVSAERQLAASTEDVGAATAELYPRLDLGGFIGFFALRSGDLGSASRAFELAPSVSWPAFRLGNVRARLRAVEAQSDAALARYQRSLLLAQEDVGNALNQLAEHQRRLVALFQSATHGANALEIANERYRAGAGSYLAVLENQRALYQIREELAQAETASFVNVIALYKALGWGSGDLAPGAGQLAAGESAGANR ->ARGMiner~~~SHV-26~~~AAF36719.1~~~beta_lactam unknown +>ARGMiner~~~SHV-26~~~AAF36719.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMTATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-41~~~AAY43008.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-41~~~AAY43008.1~~~beta_lactam~~~unknown MMRKSVRRAMLMTTACVSLLLASVPLCAQANDVQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAAAAVLKQSETQKGLLSQRVEIKPSDLVNYNPIAEKHVNGTMTFGELIAAALQYSDNTAMNKLIAHLGGPDKVTAFARTIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQALRNLTLGNALGDTQRAQLVMWLKGNTTGAASIQAGLPTSWVVGDKTGSGGYGTTNDIAVIWPEGRAPLVLVTYFTQSEPKAESRRDVLAAAARIVTDGY ->ARGMiner~~~FosB~~~YP_001374841~~~fosfomycin unknown +>ARGMiner~~~FosB~~~YP_001374841~~~fosfomycin~~~unknown MLIKGINHICFSVSNLETSIAFYEKVLEGELLVKGRKLAYFRICGTWVALNEETDIPRKEIHQSYTHIAFSIEKEDFERLLQRLKENDVHILQGRKRDVRDCKSIYFTDPDGHKFECHTGTLEERLQYYKEAKPHMTFY ->ARGMiner~~~MdtH~~~A7ZZ23~~~multidrug unknown +>ARGMiner~~~MdtH~~~A7ZZ23~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFNLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~APH(6)-Id~~~CAC86407~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~CAC86407~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTSAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMANCMPASEDPCLLPFSRSGIALQLCFSGRANDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVKDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLEQAYAYGCLSAAWNADGEEEQRSLAIAAAIKQVRQTSY ->ARGMiner~~~mdtF~~~ZP_03063547~~~multidrug unknown +>ARGMiner~~~mdtF~~~ZP_03063547~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKETTHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEEKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~TEM-185~~~AEG64812.1~~~beta_lactam unknown +>ARGMiner~~~TEM-185~~~AEG64812.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRREPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BacA~~~YP_584847~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_584847~~~bacitracin~~~unknown MDIALALKAVILGIVEGLTEFLPISSTGHLILAGQLLDFNDEKGKIFEIVIQFGAILAVCWEFRQRIGTVISGLASDVKSQRFAVNVIVATIPAIVLALVFGKWIKAHLFNPITVATAFIIGGVVILLAEWREARRGTVSAPQGNALLEAAKAGAPRIESVDDLNWRDALKVGLAQCFALVPGTSRSGATIIGGMLFGLSRQVATEFSFFLAIPVIFGATVYELYKARALLSADDLGIFAVGFVFAFLSAFLCVRWLLRFVATHDFKPFAWYRIAFGIIVLLTAWTGVISWHA ->ARGMiner~~~QnrVC1~~~ACC54440.2~~~quinolone unknown +>ARGMiner~~~QnrVC1~~~ACC54440.2~~~quinolone~~~unknown MEKSKQLYNQVNFSHQDLQEHIFSNCTFIHCNFKRSNLRDTQFINCTFIEQGALEGCDFSYADLRDASFKNCQLSMSHFKGANCFGIELRDCDLKGANFSQVSFVNQVSNKMYFCSAYITGCNLSYANFEQQLIEKCDLFENRWIGANLRGASFKESDLSRGVFSEDCWEQFRVQGCDLSHSELYGLDPRKIDLTGVKICSWQQEQLLEQLGVIIVPD ->ARGMiner~~~TEM-171~~~ADA79630.1~~~beta_lactam unknown +>ARGMiner~~~TEM-171~~~ADA79630.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRIDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OKP-A-4~~~CAJ19601.1~~~beta_lactam unknown +>ARGMiner~~~OKP-A-4~~~CAJ19601.1~~~beta_lactam~~~unknown MRYVRLCLFSLIAALPLAVFASPPPLEQITRSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHTLSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATMAERNQQIARIGAALIEHWQR ->ARGMiner~~~cmlA5~~~ABK51578~~~chloramphenicol unknown +>ARGMiner~~~cmlA5~~~ABK51578~~~chloramphenicol~~~unknown MLLLYGSAAKSFVQGDGFVRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWASQSVLGFIAPMWLVGIGVATAVSVSPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRVKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~MdtM~~~ZP_02698483~~~multidrug unknown +>ARGMiner~~~MdtM~~~ZP_02698483~~~multidrug~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~adeK~~~AAX14803.1~~~multidrug unknown +>ARGMiner~~~adeK~~~AAX14803.1~~~multidrug~~~unknown MQKVWSISGRSIAVSALALALAACQSMRGPEPVVKTDIPQSYAYNSASGTSIAEQGYKQFFADPRLLEVIDLALANNRDLRTATLNIERAQQQYQITQNNQLPTIGASGSAIRQVSQSRDPNNPYSTYQVGLGVTAYELDFWGRVRSLKDAALDSYLATQSARDSTQISLISQVAQAWLNYSFATANLRLAEQTLKAQLDSYNLNKKRFDVGIDSEVPLRQAQISVETARNDVANYKTQIAQAQNLLNLLVGQPVPQNLLPTQPVKRIAQQNVFTAGLPSDLLNNRPDVKAAEYNLSAAGANIGAAKARLFPTISLTGSAGYASTDLSDLFKSGGFVWSVGPSLDLPIFDWGTRRANVKISETDQKIALSDYEKSVQSAFREVNDALATRANIGERLTAQQRLVEATNRNYTLSNARFRAGIDSYLTVLDAQRSSYAAEQGLLLLQQANLNNQIELYKTLGGGLKANTSDTVVHQPSSAELKKQ ->ARGMiner~~~vph~~~AAP92507~~~peptide unknown +>ARGMiner~~~vph~~~AAP92507~~~peptide~~~unknown METSPTMRIIETHRDLLSRLLPGDTVGGLAVHEGQFHHVVIGSHRVVCFARTRAAADRLPGRADVLRALAGIDLGFRTPQPLSEGGAQGTDEPPYLVLSRIPGAPLEDDVLTSPEVAEAVARQYATLLSGLAAAGDEEKVRAALPEAPANEWQEFATGVRTELFPLMSDGGRERAERELAALDALPHLTSAVVHGDLGGENVLWETVDGVPRMSGVVDWDEVGIGDPAEDLAAIGASYGEELLGRVLALGGWADNGTAERISAIRGTFALQQALYAQRDGDEEELADGLSGYR ->ARGMiner~~~MdtM~~~YP_002847165~~~multidrug unknown +>ARGMiner~~~MdtM~~~YP_002847165~~~multidrug~~~unknown MQRILAFFSQRATTLFFPVALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLFTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKILFAIIAVMGLIALIGLMLAMPETVQRGAVPFSARGVVRDFRDVFRNRVFLFGAATLSLSYIPMMSWVAVSPVILIDAGGMTTSEFAWAQAPVFGAVIVANMVVVRFVKDPTRPRFIWRAAPIQLSGLAVLIVGNLLWPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEIGRWLWFNGGRISFHLLAVAAGIAVVFTLAGLLKRVRQHEATTLATEN ->ARGMiner~~~AmrA~~~YP_001764893~~~multidrug unknown +>ARGMiner~~~AmrA~~~YP_001764893~~~multidrug~~~unknown MNNKRTQWRRMRLAPFALAALLAVAGCGKGDKDAAPETAKQATVVTVRPTAVPMSVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKQGAVLFRIDPAPLKAARDAAQGALAKAQAAALAATDKRRRYDDLVRDRAVSERDHTEAVAADTQAKAEVASAKAELARAQLQLDYATVTAPIAGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVDALRRAVKSGRATGIAQHDVAVTLLRADGTAYPLKGKLLFSDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDTAVDQRAILVPRDALLRTADRTSVRVVGANGKVKDVEVTADQMSGRDWRITRGLAGGERVIVDDAAQFAPDTAVKPVEKAPPSKAAPVAAASQAAARQT ->ARGMiner~~~ErmV~~~YP_002201843~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmV~~~YP_002201843~~~macrolide-lincosamide-streptogramin~~~unknown MARPSRVSRALSQNFLTDRAAAARLARLAVPRPKNPLLLEVGAGKGALTELLAPRCRTLLAYEIDERLVPVLAARFSGTPQVRVIGGDFLAARPPRTAFSVAGNVPFSRTAAIVDWCLRAPALTDATLLTQVEYARKRTGDYGSWTLLTVLNWPRYEWRLAGLVGRRSFRPVPRVDAGILRIERRGVPLLPPGALPGWRHLVELGFSGVGGSLHASLCRAHPRRRVDAGFRAARLDRDVLVGEVAPERWLRLHEVLAP ->ARGMiner~~~TEM-19~~~AFN21551.1~~~beta_lactam unknown +>ARGMiner~~~TEM-19~~~AFN21551.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~FosB~~~ZP_04300309~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04300309~~~fosfomycin~~~unknown MVKGINHLCFSVSNLEKSITFYEKVLEGELLVKGKKLAYFNICGVWVALNEETHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILKGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMTFY ->ARGMiner~~~OXA-424~~~AJA32742.1~~~beta_lactam unknown +>ARGMiner~~~OXA-424~~~AJA32742.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGEKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-335~~~AGW16417.1~~~beta_lactam unknown +>ARGMiner~~~OXA-335~~~AGW16417.1~~~beta_lactam~~~unknown MKILILLPLLSCLGLTACSLPVSSLPSQSTSTQAIASLFDQAQSSGVLVIQRDQQVQVYGNDLNRANTEYVPASTFKMPNALIGLQHGKATTNEIFKWDGKKRSFTAWEKDMTLGQAMQASAVPVYQELARRIGLELMQQEVQRIQFGNQQIGQQVDNFWLVGPLKVTPKQEVQFVSALAREQLAFDPQVQQQVKAMLFLQERKAYRLYVKSGWGMDVEPQVGWLTGWVETPQAEIVAFSLNMQMQNGIDPAIRLEILQQALAELGLYPKAEG ->ARGMiner~~~mdtF~~~YP_691296~~~multidrug unknown +>ARGMiner~~~mdtF~~~YP_691296~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGASPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVIYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMRLSVFVAMSLTPALCATILKATPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~SHV-5~~~AAT97115~~~beta_lactam unknown +>ARGMiner~~~SHV-5~~~AAT97115~~~beta_lactam~~~unknown MLYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-160~~~AJU57235.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-160~~~AJU57235.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPEGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CMY-112~~~AIT76090.1~~~beta_lactam unknown +>ARGMiner~~~CMY-112~~~AIT76090.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEEKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDEVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~LEN-5~~~AAU25807.1~~~beta_lactam unknown +>ARGMiner~~~LEN-5~~~AAU25807.1~~~beta_lactam~~~unknown MRYIRLCVISLLATLPLAVYAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~IMP-35~~~AFO59566.1~~~beta_lactam unknown +>ARGMiner~~~IMP-35~~~AFO59566.1~~~beta_lactam~~~unknown MKKIFVLFVFLFCSITAAGESLPDIKIEKLDEDVYVHTSFEEDNGWGVITKHGLVVLVNTDAYIIDTPFTAKDTEKLVRWFVGRGYKIKGSISSHFHSDSAGGIEWLNSQSIPTYASKLTNELLKKNGNAQAENSFSGVSYWLVKHKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFIKPDGLGYLGDANLEAWPKSAETLMSKYGNAKLVVSSHSEIGGASLLKRTWEQAVKGLKESKKPSQPNN ->ARGMiner~~~MacB~~~Q57R58~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~Q57R58~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQTRARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLAGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~CTX-M-9~~~AAF05311.2~~~beta_lactam unknown +>ARGMiner~~~CTX-M-9~~~AAF05311.2~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTAGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~TEM-158~~~ABQ00181.1~~~beta_lactam unknown +>ARGMiner~~~TEM-158~~~ABQ00181.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERDRQIAEIGASLIKHW ->ARGMiner~~~QnrB41~~~AEL31272.1~~~quinolone unknown +>ARGMiner~~~QnrB41~~~AEL31272.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGDKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNSSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIGS ->ARGMiner~~~TEM-24~~~CAA46345.1~~~beta_lactam unknown +>ARGMiner~~~TEM-24~~~CAA46345.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGTGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-148~~~CAJ32372.1~~~beta_lactam unknown +>ARGMiner~~~TEM-148~~~CAJ32372.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMAKTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~fusH~~~NP_630216~~~fusidic_acid unknown +>ARGMiner~~~fusH~~~NP_630216~~~fusidic_acid~~~unknown MATLIPKKGSTLLNKGIRTRRARGALAGGTVLTAAAALLTAVPAAQAISGEPAAATDHAFTARLHIGEGDTLRGCSAALVHQQWLLTATSCFAATPGGEVKSGKPALKSTATLGGKTLGIVEVVPRDDRDVAMVRLAEPVTTVEPVRLAADAPVAAETLLGAGFGRTRTEWVPDQLHTGEFRVDSVTGTTVELTGQDGVSVCKGDTGGPALRGTGGEVELAAVHSRSWQGGCFGETETRTGAVDARADGLADWVTDVRNRDRTQSADVDGDGRADLVVLRSNGDVVVHRNLGDSFAAGRVMSGGWGLFVTWKDLGRLYFADVDGDRKADMIVHTSDGNIEVRFNHGTYWDQGTHWSGGWGRFIDGSDLGRLYFADVDGDGRADMIVHTGDGNVEVRFNHGTYWDQGTHWSGGWGRFVTWKDLGRLYFADVDGDGRADMIVHTGDGNVEVRFNHGTYWDQGTHWSGGWGRFVDGSDLGSLEFGDATGDGKADLLVRTKDGKVALRTNHGTYWDQGKFMITL ->ARGMiner~~~ACT-35~~~BAP68758.1~~~beta_lactam unknown +>ARGMiner~~~ACT-35~~~BAP68758.1~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVVEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYYILEALQ ->ARGMiner~~~VIM-29~~~AFP99885.1~~~beta_lactam unknown +>ARGMiner~~~VIM-29~~~AFP99885.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSAKVLYGGCAVHELSSTSAGNVADADLAEWPTSVERIQKRYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~QnrB17~~~CAP45902.1~~~quinolone unknown +>ARGMiner~~~QnrB17~~~CAP45902.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNSSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~ACT-3~~~ABL67017.1~~~beta_lactam unknown +>ARGMiner~~~ACT-3~~~ABL67017.1~~~beta_lactam~~~unknown MMMTKSLCCALLLSTSCSVLATPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYEGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAIHVSPGMLDAEAYGVKTNVQDMASWVMVNMKPDSLQDNSLRKGLTLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILSAL ->ARGMiner~~~KPC-8~~~ACI95258.1~~~beta_lactam unknown +>ARGMiner~~~KPC-8~~~ACI95258.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGGYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~OXA-120~~~CCJ32595.1~~~beta_lactam unknown +>ARGMiner~~~OXA-120~~~CCJ32595.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEIFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CMY-26~~~BAF56185.1~~~beta_lactam unknown +>ARGMiner~~~CMY-26~~~BAF56185.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFASAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKTYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQDISLLHLATYTAGGLPLQIPDDVTDKTALLHFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSNVTDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~GES-16~~~ADJ94120.1~~~beta_lactam unknown +>ARGMiner~~~GES-16~~~ADJ94120.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAEIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~OXA-361~~~AHA11124.1~~~beta_lactam unknown +>ARGMiner~~~OXA-361~~~AHA11124.1~~~beta_lactam~~~unknown MKILILLPLFSCLGLTACSLPVSSSPSQITSIQSTQAIAQLFDQAQSAGVLVIQRGQQIQVYGNDLSRANTEYVPASTFKMLNALIGLQHGKATTNEIFKWDGKKRSFSAWEKDMTLGQAMQASAVPVYQELARRIGLELMQQEVQCIQFGNQQIGQQVDNFWLVGPLKVTPKQEVQFVSALAREQLAFDPQVQQQVKVMLLLQEQQAYRLYAKSGWGMDVEPQVGWLTGWVKTPQAEIVAFSLNMQMRNGMDPAIRLEILQQALAELGLYPKAEG ->ARGMiner~~~vanRC~~~AAF86641.1~~~glycopeptide unknown +>ARGMiner~~~vanRC~~~AAF86641.1~~~glycopeptide~~~unknown MSEKIVVVDDEKEIADLVTTFLQNEGFSVQPFYDGTSAIAYIEKEAIDLAVLDVMLPDIDGFQLLQQIRKTHFFPVLMLTAKGEDLDKITGLSLGADDYVTKPFNPLEVVARVKTQLRRYQRYNHSTASPTVEEYEKDGLILKINSHQCILYGKEVFLTPIEFKILLYLFEHQGSVVSSETLFEAVWKEKYLDNNNTVMAHIARLREKLHEEPRKPKLIKTVWGVGYIIEK ->ARGMiner~~~OXA-329~~~AGW16411.1~~~beta_lactam unknown +>ARGMiner~~~OXA-329~~~AGW16411.1~~~beta_lactam~~~unknown MYKKALIVATSILFLSACSSNTVKQHQIHSISANKNSEAIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTAYVPASTFKMLNALIGLEHHKAITTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDDFWLVGPLKITPQQETQFAYQLAHKMLPFSKDVQEQVQSMVFIEEKNGRKIYAKSGWGWDIEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~SHV-187~~~S00464~~~beta_lactam unknown +>ARGMiner~~~SHV-187~~~S00464~~~beta_lactam~~~unknown SPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLTAVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~arr-7~~~CAZ48628.1~~~rifampin unknown +>ARGMiner~~~arr-7~~~CAZ48628.1~~~rifampin~~~unknown MPNDWIPTSHENCSLVPGPFYHGTKAKLAIGDLLSPGHPSHFEQGRRLKHIYFAALMEPAIWGAELAMSLSRQEGRGYIYIVEPLGPFEDDPNLTNKKFPGNPTKSYRTSESLRIVEVVEDWQGHSPDVLQGMLASLEDLQRRGLAIIED ->ARGMiner~~~baeS~~~BAA15934.1~~~multidrug unknown +>ARGMiner~~~baeS~~~BAA15934.1~~~multidrug~~~unknown MKFWRPGITGKLFLAIFATCIVLLISMHWAVRISFERGFIDYIKHGNEQRLQLLSDALGEQYAQHGNWRFLRNNDRFVFQILRSFEHDNSEDKPGPGMPPHGWRTQFWVVDQNNKVLVGPRAPIPPDGTRRPILVNGAEVGAVIASPVERLTRNTDINFDKQQRQTSWLIVALATLLAALATFLLARGLLAPVKRLVDGTHKLAAGDFTTRVTPTSEDELGKLAQDFNQLASTLEKNQQMRRDFMADISHELRTPLAVLRGELEAIQDGVRKFTPETVASLQAEVGTLTKLVDDLHQLSMSDEGALAYQKAPVDLIPLLEVAGGAFRERFASRGLKLQFSLPDSITVFGDRDRLMQLFNNLLENSLRYTDSGGSLQISAGQRDKTVRLTFADSAPGVSDDQLQKLFERFYRTEGSRNRASGGSGLGLAICLNIVEAHNGRIIAAHSPFGGVSITVELPLERDLQREV ->ARGMiner~~~SHV-162~~~AFQ23968.1~~~beta_lactam unknown +>ARGMiner~~~SHV-162~~~AFQ23968.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGSVGMVEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BacA~~~YP_002099037~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002099037~~~bacitracin~~~unknown MALSFDHFGVGPRMDWILICKALILGVVEGLTEFLPVSSTGHLIVAGSFLNFNDSHAKTFDVVIQFGAILAVCWEYRQRIVSVVAGLPSRPDAQRFALNVVIATIPAIALGLLFEKKIKAVLFSPVPVAFALVVGGAIILWAEARQRERGVAPRVQSIDALTPLDALKVGIAQCFALIPGMSRSGSTIIGGMLFGLDRRVATEFSFFLAIPIIFGATLYETVKDWHAFTVDSLGLFVVGLVSAFVSAFVCVRWLLRYVATHDFTVFAWYRILFGLFVLLVGYSGWLNWA ->ARGMiner~~~CMY-61~~~AEM97673.1~~~beta_lactam unknown +>ARGMiner~~~CMY-61~~~AEM97673.1~~~beta_lactam~~~unknown MMNRYAAALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~RosB~~~ZP_04630103~~~polymyxin unknown +>ARGMiner~~~RosB~~~ZP_04630103~~~polymyxin~~~unknown MGTLAHRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKSIAIPGAIAQIAVATLLGMGLSHLLGWDLMTGFVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFAGVMGNETTSLSQLFTELAITIGKVIAFITLMIVVGRRLVPWILAKTASTGSRELFTLAVLVLALGIAYGAVGLFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILLHEPLAVLASLAIIIFGKSLIAFALVRMFGHSKRTALTISVSLAQIGEFAFILAGLGISLGLMSDHGRNLVLAGAILSIMLNPLLFTLLDRYLAKNETMEDLILEEAVEEEKQIPVDLCNHALLVGYGRVGSLLGAKLHAEGVPLVVIENSRPRVEALREQGINAVLGNAASADIMSLARLDCARWLLLTIPNGYEAGEIVASARIKRPDLEIIARAHYDDEVVYISDRGANQVVMGEREVANSMLNMLKIDRLTEEDKRPVCPI ->ARGMiner~~~OXA-383~~~AHL30281.1~~~beta_lactam unknown +>ARGMiner~~~OXA-383~~~AHL30281.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~tetL~~~1703286A~~~tetracycline unknown +>ARGMiner~~~tetL~~~1703286A~~~tetracycline~~~unknown VNTSYSQSTLRHNQVLIWLCVLSFFSVLNEMVLNVSLPDIANEFNKLPASANWVNTAFMLTFSIGTALYGKLSDQLGIKNLLLFGIMVNGLGSIIGFVGHSFFPILILARFIQGIGAAAFPALVMVVVARYIPKENRGKAFGLIGSLVAMGEGVGPAIGGMVAHYIHWSYLLLIPTATIITVPFLIKLLKKEERIRGHIDMAGIILMSAGIVFFMLFTTSYRFSFLIISILAFFIFVQHIRKAQDPFVDPELGKNVFFVIGTLCGGLIFGTVAGFVSMVPYMMKDVHHLSTAAIGSGIIFPGTMSVIIFGYIGGLLVDRKGSLYVLTIGSALLSSGFLIAAFFIDAAPWIMTIIVIFVFGGLSFTKTVISTVVSSSLKEKEAGAGMSLLNFTSFLSEGTGIAIVGGLLSIGFLDHRLLPIDVDHSTYLYSNMLILFAGIIVICWLVILNVYKRSRRHG ->ARGMiner~~~dfrB1~~~CAQ52800~~~trimethoprim unknown +>ARGMiner~~~dfrB1~~~CAQ52800~~~trimethoprim~~~unknown MQRVVGPHRTPRSSQERSEMERSSNEVSNPVAGNFVFPSNATFGIGDRVRKKSGAAWQGQIVGWYCTNLTPEGYAVESEAHPGSVQIYPVAALERIN ->ARGMiner~~~CMY-110~~~BAO05497.1~~~beta_lactam unknown +>ARGMiner~~~CMY-110~~~BAO05497.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSKFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWGIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~MdtH~~~ZP_03050421~~~multidrug unknown +>ARGMiner~~~MdtH~~~ZP_03050421~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLRQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~CMY-23~~~ABF06441.1~~~beta_lactam unknown +>ARGMiner~~~CMY-23~~~ABF06441.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAGAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~MdtP~~~YP_002410375~~~multidrug unknown +>ARGMiner~~~MdtP~~~YP_002410375~~~multidrug~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRNVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~CARB-2~~~AAZ91704.1~~~beta_lactam unknown +>ARGMiner~~~CARB-2~~~AAZ91704.1~~~beta_lactam~~~unknown MKFLLAFSLLIPSVVFASSSKFQQVEQDVKAIEVSLSARIGVSVLDTQNGEYWDYNGNQRFPLTSTFKTIACAKLLYDAEQGKVNPNSTVEIKKTDLVTYSPVIEKQVGQAITLDDACFATMTTSDNTAANIILSAVGGPKGVTDFLRQIGDKETRLDRIEPDLNEGKLGDLRDTTTPKAIASTLNKFLFGSALSEMNQKKLESWMVNNQVTGNLLRSVLPAGRNIADRSGAGGFGARSITAVVWSEHQAPIIVSIYLAQTQASMAERNDAIVKIGHSIFDVYTSQSR ->ARGMiner~~~tetO~~~ZP_02423658~~~tetracycline unknown +>ARGMiner~~~tetO~~~ZP_02423658~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMYVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~imiS~~~YP_855286~~~beta_lactam unknown +>ARGMiner~~~imiS~~~YP_855286~~~beta_lactam~~~unknown MKGWIKCGLAGAVVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYVQENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRKPVLEVINTNYHTDRAGGNAYWKSIGAKVISTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHEGDFTLQEGKLRAFYLGPAHTPDGIFVYFPDQQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAASQS ->ARGMiner~~~macA~~~AAV85981.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~macA~~~AAV85981.1~~~macrolide-lincosamide-streptogramin~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQAAYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~KPC-12~~~ADZ75467.1~~~beta_lactam unknown +>ARGMiner~~~KPC-12~~~ADZ75467.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELEMNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~BacA~~~YP_001886771~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001886771~~~bacitracin~~~unknown MGLDFLFIFKAIIVAIVEGLTEFVPVSSTGHMILASDLINFQGEFVKMFEVVIQLGAILAVVVLYWKKIKDSVIEFFAYIFTGGKKGEVGFRFGINVLIGSIPAGVIGILFYSKIKTLFKPQAVVVGFIVGGILLLVIENIFRKKRHSVKSIDRITPMQSIKVGLFQVLSMWPGMSRSASTIMGGWIAGLSTPIAAEFSFFLAIPAMVGSSAKDLFEFDFALMNLTTWVALIVGFIVAFIVSLVVMEGFVAYLKKKPMRVFAIYRIIAGIVFAILMYMGIVTLHL ->ARGMiner~~~arnA~~~A7ZP73~~~polymyxin unknown +>ARGMiner~~~arnA~~~A7ZP73~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~OXA-163~~~ADY06444.1~~~beta_lactam unknown +>ARGMiner~~~OXA-163~~~ADY06444.1~~~beta_lactam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYDTKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~rmtF~~~AFJ11385.1~~~aminoglycoside unknown +>ARGMiner~~~rmtF~~~AFJ11385.1~~~aminoglycoside~~~unknown MDERAQAALDALLSAKNLRDVCPETVRRVFMELLPRYRKPKDAEKAARTHLHQITGAFMTADAQKKARALLARWNEGDESALAAALSLHASTRERLPGADEWMRRVSPFLGADARVLDLACGLNPILLGSMGVTNALGMDIHLGCVRLVNETARARGWHTRARACDLLSEIPAEEADAALLMKLLPVLEAQKTGRAAELLASLRAPRLVVTFPTRTLGGRGVGMEKHYADWFERILPDTLSVRDRFTVSDELVYLVERT ->ARGMiner~~~BacA~~~NP_764009~~~bacitracin unknown +>ARGMiner~~~BacA~~~NP_764009~~~bacitracin~~~unknown MFLLELIKGIILGIVEGLTEFAPVSSTGHMILVDDMWLKSTNFLGSQSAFTFKVVIQLGSVFAAAWVFRERFLEILHIGQHKPEPSTSGDRRSKPRRLNLIHVLVGMVPAGILGFLFDDLIEKYLFSVPTVLIGLFIGAIYMIIADKYSKTVQHPQTVDQINYFQAFVIGISQAIAMWPGFSRSGSTISTGVLMKLNHKAASDFTFIMSVPIMLAASGLSLLKHYEYIHLAHIPFYILGFLAAFIVGLIAIKTFLHLINKVKLVPFAIYRIVLVIFIAILYFGFGIGKGI ->ARGMiner~~~EmrD~~~YP_001174764~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_001174764~~~multidrug~~~unknown MKKQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMAKDLSVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMLATVIAMTTHSLPLLIAASALQGMGTGVGGVMARTLPRDMYQGTQLRHANSLLNMGILVSPLLAPLIGGVLDSIWSWRACYAFLLILCIIVTFSMARWMPETRPTGAPRTKLIANYKTLFGNGAFSCYLLMLIGGLAGIAVFEACSGVLLGAGLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVISCLLAGVMMWIPGLFGVMTVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGALAWLSAMMPQTGQASLGLLMTLMGLLILLCWLPLASREPHHEQMV ->ARGMiner~~~MdtP~~~ZP_02904358~~~multidrug unknown +>ARGMiner~~~MdtP~~~ZP_02904358~~~multidrug~~~unknown MINHQLSRLLLCGILGSATLMSGCALIRKDSAPHQQLKPEQIKLANDIHLASSGWPQAQWWKQFNDPQLDALIKRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSSVAAAIGAHNAALAETAAVELSLTTGVAQLYYSIQASDQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVEKQIAAVKGQITETREALRALTGAGASDMPEIKSTALPQVQTGIPATLPYDLLARRPDLQAMRWYVQASLNQVDAARALFYPSFDIKAFFGLDSIHLDTLFKNTSRQINFIPGLKLPLFDGGRLNANLEGTRATSNMMIERYNQSVLNAVRDVAVNGTRLQTLNEEREMQAERVEATRFTQRAADAAYKRGLTSRLQATEAQLPVLSEEMALLMLDSRRVIQSIQLMKSLGGGYQAAPIVEKK ->ARGMiner~~~MdfA~~~YP_668774~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_668774~~~multidrug~~~unknown MQNKLASGVRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~CTX-M-157~~~AIS67613.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-157~~~AIS67613.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTAPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~tetB~~~BAC67138~~~tetracycline unknown +>ARGMiner~~~tetB~~~BAC67138~~~tetracycline~~~unknown MNSSTKIALVITLLDAMGIGLIMPVLPTLLREFIASEDIANHFGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLNNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~vanXI~~~WP_015943580.1~~~glycopeptide unknown +>ARGMiner~~~vanXI~~~WP_015943580.1~~~glycopeptide~~~unknown MKSDFVFVDELVSGIRWDAKYATWDNFTGKPVDGYAANRIVGTRALCAALEKARENAASLGFGLLLWDGYRPQCAVDCFLRWSKQPEDGRTKQKHYPNIDRSEIIEKGYVAAKSGHSRGSAIDLTLYHLASGTLVPMGGDFDLMDSVSHHGAHGISQAEARNRQYLCSIMEASGFVSYACEWWHYSLKHEPYPNTYFDFLIA ->ARGMiner~~~OXA-73~~~AAW22056.1~~~beta_lactam unknown +>ARGMiner~~~OXA-73~~~AAW22056.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALKMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~mecB~~~BAI83385.1~~~beta_lactam unknown +>ARGMiner~~~mecB~~~BAI83385.1~~~beta_lactam~~~unknown MKNKALAILIICICLLIAYNFVKKDEVDKIFDAIELRDSEYLNEHATFLSKSLYDKDQRYKRMDKIDASLGIKEVKVSNVRLVQKKKNKRQYSANLNFRTKYGNFSREGNYSFEKDEITKKWLLDWSPEVIIPGLTDRNQISIETLESFRGKILDRNGIDIAKDGIHYEVGIDIKNLNKKNKKNISKLLSISESTLNKKLKQTWVKEGVFLPLKSYIELDDELKLGIQKYHLTVNQTKGRVYPLREATVHLLGYVGEINAEELKNKKFKDYDEHSIVGKSGIELQYDKQLQNKDGYKVVITSDDALNNDEDVLLEKKPKNGQDIILTIDSKVQRSIYSHLKEDNGSGVAMNPKTGELLALVSYPAYDPYEFMFGISDENYKKIVNDKKEPLLNKFQTTSSPGSTQKLITSIIGLKNGTIDASTSYNIVTKGWQRNSSWGGYEVTRFEEVNGDIDLEKAIAHSDNIFFARATLDMGSEKFIKGMKALDIGRNIPSDYYFQKGQIANPESLKNNFKNEILLADSGYGQGEILISPVQILSIYSSLINEGKMMKPKLFETTKEDIWKNHIISKDDVDILTRSMRKVVTGTHRLDAERNYAQFAGKTGTAELKTSREEGLGAQIGWFVGYDQNNPNMMLGISVKNVENKGMSSYNARKFAEIMDELYENGTKKYEIDR ->ARGMiner~~~acrB~~~YP_688009~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_688009~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSTPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~QnrVC4~~~ADI55014.1~~~quinolone unknown +>ARGMiner~~~QnrVC4~~~ADI55014.1~~~quinolone~~~unknown MDKTDQLYVQADFSHQDMSGQYFKNCKFFCCSFKRANLRDTQFVDCSFIERGELEGCDFSYSDLRDASFKNCSLSMSYFKGANCFGIEFRECDLKGANFAQASFMNQVSNRMYFCSAYITGCNLSYANFERQCIEKCDLFENRWIGANLSGASFKESDLSRGVFSEGCWSQCRLQGCDLSHSELYGLDPRKVDLTGVKICSWQQEQLLEQLGLIVVPD ->ARGMiner~~~ErmR~~~ALX06067.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmR~~~ALX06067.1~~~macrolide-lincosamide-streptogramin~~~unknown MAGPQDRPRGRGPSSGRPQRPVGGRSQRDRDRRVLGQNFLRDPATIRRIADAADVDPDGLVVEAGPGEGLLTRELARRAGRVRTYELDQRLARRLSTDLAQETSIEVVHADFLTAPHPEEPFQFVGAIPYGITSAIVDWCLTAPTLTSATLVTQQEFARKRTGDYGRWTALTVTTWPTFEWQYVAKVDRTLFTPVPRVHSAIMRLRRRPQPLLRDAAARSRFADMVEIGFVGKGGSLYRSLTREWPRSKVDSAFARADVHHDEIVAFVHPDQWITLFQLLDGSRGGAARGPGDQRGRRGRPGGGPRPDGRAGGGPRRDAGGRRTGDGRGGRPRPPRGGQA ->ARGMiner~~~cmeB~~~ABS43151.1~~~multidrug unknown +>ARGMiner~~~cmeB~~~ABS43151.1~~~multidrug~~~unknown MFSKFFIERPVFASVVAIIISLAGVIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSATLAAISMYSSDGSMSAVDVYNYIALNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFKITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDIADVEIGSQQYSSQGRLNGNDAVPIIINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVIKTFIEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFIPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVRKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGTIFYLNKAVPNSLVPEEDQGLMIGIINLPSASALHRTISEVDHISQEVLKTNGIKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADKIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDQIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKIHE ->ARGMiner~~~BacA~~~YP_001797193~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001797193~~~bacitracin~~~unknown MDLILLLKAVILGVVEGLTEFLPISSTGHLILVGDLLDFNDDRGKAFEVIIQFGAILAVCWEFREKLIKVTSSFASSPNARRFVLNLFIASIPAMGLGFLFGKHIKAVLFSPIPVASAFIVGTLIIFWAERRQQNLVDVSSYIKSVDDLRPLDALKVGLAQCAALIPGTSRSGATIIGGMLFGLPRAVATEFSFFLAIPVIGGATAYELLKLWKAPVAFSGEFTLAIVVGFIAAFISAFVCVRWLIHYVAHHNFIPFAWYRIAFGILVLFTSYTGLIAWSH ->ARGMiner~~~OXA-170~~~ADK35874.1~~~beta_lactam unknown +>ARGMiner~~~OXA-170~~~ADK35874.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEKSNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~CTX-M-116~~~AEI70324.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-116~~~AEI70324.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~FosB~~~ZP_04227541~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04227541~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENSILFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFAVEQKDFERLLHRLEENNVHILQGRERNVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~BacA~~~ZP_03612802~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03612802~~~bacitracin~~~unknown MFLLELIKGIILGIVEGLTEFAPVSSTGHMILVDDMWLKSTKFLGEQSAFTFKVVIQLGSVFAAAWVFRDRFLEILHIGHHKPENEGSVQRRSQPKRLNLLHVLVGMIPAGILGFLFDDLIEKYLFSVPTVMIGLFIGAIYMILADKYSEKVQHPQTVDQINYFQAFVIGISQAIAMWPGFSRSGSTISTGVFMKLNHKAASDFTFIMSVPIMLAASGLSLLKHYEYIHLAHVPFYLLGFLAAFIVGLIAIKTFLHLINKVKLIPFAIYRIVLVVIIAILYFGFGIGKGI ->ARGMiner~~~CTX-M-144~~~AHX39588.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-144~~~AHX39588.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDLRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~OXA-25~~~AAG35607.1~~~beta_lactam unknown +>ARGMiner~~~OXA-25~~~AAG35607.1~~~beta_lactam~~~unknown MKKFILPIFSISILVSLSACSSIKTKSEDNFHISSQQHEKAIKSYFDEAQTQGVIIIKEGKNLSTYGNALARANKEYVPASTFKMLNALIGLENHKATTNEIFKWDGKKRTYPMWEKDMTLGEAMALSAVPVYQELARRTGLELMQKEVKRVNFGNTNIGTQVDNFWLVGPLKITPVQEVNFADDLAHNRLPFKLETQEEVEKMLLIKEVNGSKIYAKSGWGMGVTPQVGWLTGWVEQANGKKIPFSLNLEMKEGMSGSIRNEITYKLLENLGII ->ARGMiner~~~ErmC~~~AAA98296.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmC~~~AAA98296.1~~~macrolide-lincosamide-streptogramin~~~unknown MNEKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKIVFDSIADEIYLIVEYGFAKRLLNTKRSFALFLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVNKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~mdtG~~~YP_001478113~~~multidrug unknown +>ARGMiner~~~mdtG~~~YP_001478113~~~multidrug~~~unknown MAPAPKLINWKRNLFVAWLGCFLTGAAFSLIMPFLPLYVETLGVTGHQALNMWSGLVFSITFLFSAIASPFWGGLADRRGRKLMLLRSALGMSIVMLLMGMAQNIWQFLALRAVLGLLGGFIPNANALIATQVPRNRSGWALGTLSTGGVSGALIGPLIGGLLADQYGLRPVFYITAGVLLTCFVLTLLYVKEQFTPVQKKDMLHARQVFAALKSPKLILSLFVTTMIIQIATGSIAPILTLYVRDLAGDIHNLAFVSGLIASVPGVAALMSAPRLGKLGDRIGPERILVFMLIVSVLLLIPMAFVQTPWQLGVLRFLLGAADGALLPAVQTLLIYNCTNQVAGRIFSYNQSFRDIGNVSGPLMGAAVSASYGFRAVFCVTALVVLFNAVYSWWCLQRRPTRMREDTLQEE ->ARGMiner~~~MdtH~~~ZP_03060956~~~multidrug unknown +>ARGMiner~~~MdtH~~~ZP_03060956~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRATGFATMGIAHEPWLLLFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVHTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~acrA~~~ABR13270~~~multidrug unknown +>ARGMiner~~~acrA~~~ABR13270~~~multidrug~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKEAQQGAQQVPEVGVVTLKSEPLQMTTELPGRTSAYRIAEVRPQVSGIILKRNFTEGGDVKAGESLYQIDPATYQASYESAKGDLAKAEAAAKISQLTLNRYKKLLGTQYISQQDYDSALADAQQANAAVVAAKAAVETARINLAYTKVTSPISGRIGKSAVTEGALVQNGQTTALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVELVTNDGIKFSQTGTLEFSDVTVDQTTGSITIRAIFPNPDKTLLPGMFVRARLEEGTNPSAILVPQQGVTRTPRGDASALVVGADNKVETRNITATQAIGDKWLVTEGLKDGDRVIITGLQKVRPGAQVKAQEVKSDDKQQASAAGQSEQTKS ->ARGMiner~~~OXA-171~~~ADK35875.1~~~beta_lactam unknown +>ARGMiner~~~OXA-171~~~ADK35875.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASTRNELLMKSLKQLNII ->ARGMiner~~~TEM-96~~~AAM22276.1~~~beta_lactam unknown +>ARGMiner~~~TEM-96~~~AAM22276.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLGRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~VIM-23~~~ACT33323.1~~~beta_lactam unknown +>ARGMiner~~~VIM-23~~~ACT33323.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSSTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~arnA~~~YP_002850217~~~polymyxin unknown +>ARGMiner~~~arnA~~~YP_002850217~~~polymyxin~~~unknown MKAVVFAYHDMGCQGVQALLDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASVGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSDEILSLAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQQRVAISPEDVALTLHHKLCQAARHLLEQTLPAINAGSYSEFPQQDADATCFGRRTPEDSFLDWNKPAAELHNQVRAVSDPWPGAFSYVGTQKFTVWSSRVCTNDIAAQPGTVISVSPLLIACVDGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTSKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAIGRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQERCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPQNEASIQELAEMLLSCFEKHPLRNQFPPFAGFRDVESSSYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~OXA-167~~~ADK35871.1~~~beta_lactam unknown +>ARGMiner~~~OXA-167~~~ADK35871.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELMMKSLKQLNII ->ARGMiner~~~CTX-M-14~~~ABI20753~~~beta_lactam unknown +>ARGMiner~~~CTX-M-14~~~ABI20753~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTQAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~OXA-327~~~AGW16409.1~~~beta_lactam unknown +>ARGMiner~~~OXA-327~~~AGW16409.1~~~beta_lactam~~~unknown MYKKALIVATSILFLSACSSNTVKQHQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTAYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDNFWLVGPLKITPQQETQFAYQLAHKTLPFSQDVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGVL ->ARGMiner~~~arnA~~~A8A2C2~~~polymyxin unknown +>ARGMiner~~~arnA~~~A8A2C2~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~tetO~~~ZP_01996651~~~tetracycline unknown +>ARGMiner~~~tetO~~~ZP_01996651~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGEIVPADHACPGEIVILADDTLKLNDILGNEKLLPHKTWIDNPMPLLRTTVEPQKPEQREALLNALAEIADTDPLLHFDIDTVTHEIMLSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~LAT-1~~~CAA55007.1~~~beta_lactam unknown +>ARGMiner~~~LAT-1~~~CAA55007.1~~~beta_lactam~~~unknown MMKKSLCSALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDCIARGEIKLSDPVTKYWPELTGKKWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGRLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~mdtG~~~Q57QK4~~~multidrug unknown +>ARGMiner~~~mdtG~~~Q57QK4~~~multidrug~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFILNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~tetA(P)~~~AAA20116.1~~~tetracycline unknown +>ARGMiner~~~tetA(P)~~~AAA20116.1~~~tetracycline~~~unknown MVNKLSAYKTYLLFSAITAMCFSLVATVMMVYHIEIVHLNPLQLILVGTTLELACFIFEIPTAIVADVYSRKLSIVIGGVLTGVGFILEGSISSFVFVLVAQIVWGLGSTFISGSLEAWIAEEEKNKDLDEIYIKGAQAGQIGAFIGIVLSTVIANFSVRLPIIVSGVLFIILALFLWLYMPENNFKPSAPGDLNTFKKMVYTFKSGLKFVKSKSIIMILLAVTLFYGLSSEGYDRLSNAHFLQDTTLPKLGNLSSVTWFGIFGILGMILSFIVMHFMAKNLKNEDNRKNGKLLLCINILYISSMLIFALTRNFSLMLIAYLATNTFRIINKPIFSAWLNGHIDDNSRATVLSINGQMNSLGQILGGPIIGIIATNISVSIGIVCTSLLVTPVLVLYIVAMIIDKKVDDRVGGIDYEENN ->ARGMiner~~~CTX-M-3~~~ACR56331~~~beta_lactam unknown +>ARGMiner~~~CTX-M-3~~~ACR56331~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLALGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~MdfA~~~NP_459843~~~multidrug unknown +>ARGMiner~~~MdfA~~~NP_459843~~~multidrug~~~unknown MQNRLQSGGRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLATLLAKNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFILFAALAAIAFFGLQRAMTETATRRGETLSFKALGRDYRLVIKNRRFVAGALALGFVSLPLLAWIAQSPIIIISGEQLSSYEYGLLQVPVFGALIAGNLVLARLTSRRTVRSLIVMGGWPIVAGLIIAAAATVVSSHAYLWMTAGLSVYAFGIGLANAGLVRLTLFSSDMSKGTVSAAMGMLQMLIFTVGIEVSKHAWLSGGNGLFSLFNLANGILWLLLMLVFLKDKRTGNLQTV ->ARGMiner~~~arnA~~~Q32DT3~~~polymyxin unknown +>ARGMiner~~~arnA~~~Q32DT3~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLTKYRGRAPLNWVLVNGETETGVTLHRMVTRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQASDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~MIR-10~~~AIT76111.1~~~beta_lactam unknown +>ARGMiner~~~MIR-10~~~AIT76111.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVASSAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWVIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~OXA-70~~~AAW81341.1~~~beta_lactam unknown +>ARGMiner~~~OXA-70~~~AAW81341.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQHEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CTX-M-34~~~AAR99493.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-34~~~AAR99493.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTVDVQQKLAELEQQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSCDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~acrA~~~ZP_03835678~~~multidrug unknown +>ARGMiner~~~acrA~~~ZP_03835678~~~multidrug~~~unknown MGFKPLINLKSDTRGLHMNKNRGLTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPEVGVVTLKTEPLQITTELPGRTSAFRIAEVRPQVSGIILKRNFTEGGDIEAGVSLYQIDPATYQAAYESAKGDLAKAQAAANIAQLTVKRYQKLLGTKYISQQDYDSALADAQQANAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVELVTSDGIKFPQAGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGTNPTALLVPQQGVTRTPRGDASALVIGADNKVETRQIVASQAIGDKWLVTDGLKPGDRVIVSGLQKVRPGAQVKAQEITADNNQQAASGSQPEQSKS ->ARGMiner~~~VatB~~~YP_001038094~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~VatB~~~YP_001038094~~~macrolide-lincosamide-streptogramin~~~unknown MQYGPDPSSIYPNENIKSICYIKNLITRPNIIVGDYTYYDDINGAERFEEHVTHHYEFIGDKLIIGKFCAIAKGIEFVMNGANHRMCSVTTYPFNIMGHGWEKATPTLGDLPFKGDTVVGNDVWIGQNVTVMPGVHIGDGAIVAANSVVTKDIPAYHIAGGNPVRITKKRFDDDLIDYLLKLKWWDWPARKIFDNLEVLCSGNLSRIKEIK ->ARGMiner~~~CMY-103~~~AHA80104.1~~~beta_lactam unknown +>ARGMiner~~~CMY-103~~~AHA80104.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAATKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEEKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDEVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQGKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~CTX-M-43~~~AAZ14955.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-43~~~AAZ14955.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGGYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAERRRDILAAAAKIVTHGF ->ARGMiner~~~aadA17~~~ACK43806.1~~~aminoglycoside unknown +>ARGMiner~~~aadA17~~~ACK43806.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~MacB~~~YP_002044935~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_002044935~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQLWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNDVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~ACT-7~~~P05364~~~beta_lactam unknown +>ARGMiner~~~ACT-7~~~P05364~~~beta_lactam~~~unknown MMRKSLCCALLLGISCSALATPVSEKQLAEVVANTITPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDAVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILEALQ ->ARGMiner~~~CTX-M-53~~~ABB72225.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-53~~~ABB72225.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTVDVQQKLAELEQQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVIYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~rosB~~~ZP_04631861~~~polymyxin unknown +>ARGMiner~~~rosB~~~ZP_04631861~~~polymyxin~~~unknown MGTLAHRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKAIAIPGAVAQIAVATLLGMGLSHLLGWDLMAGFVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFAGVMGNETTSLSQLFTELAITIGKVIAFITLMIVVGRRLVPWILAKTASTGSRELFTLAVLVLALGIAYGAVGLFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILVHEPLAVVASLAIIIIGKSAAAFILVRLFGHSKRTALTISVSLAQIGEFAFILAGLGISLGLMSEHSRNLVLAGAILSIMLNPLLFTLLDRYLAKNETMEDLILEEAVEEEKQIPVDLCNHALLVGYGRVGSLLGAKLHAEGIPLVVIENSRPRVEALREQGINAVLGNAASADIMSLARLDCARWLLLTIPNGYEAGEIVASARIKRPDLEIIARAHYDDEVVYISDRGANQVVMGEREIANSMLNMLKIETLTEEDKRPLCPI ->ARGMiner~~~OXA-116~~~ABW95047.1~~~beta_lactam unknown +>ARGMiner~~~OXA-116~~~ABW95047.1~~~beta_lactam~~~unknown LLITSAIFISACSPYIVSANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSL ->ARGMiner~~~CMY-31~~~CAA75611~~~beta_lactam unknown +>ARGMiner~~~CMY-31~~~CAA75611~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDCIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGRLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSSGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~tetM~~~ZP_03915077~~~tetracycline unknown +>ARGMiner~~~tetM~~~ZP_03915077~~~tetracycline~~~unknown MEENHMKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~EmrD~~~BAH65866~~~multidrug unknown +>ARGMiner~~~EmrD~~~BAH65866~~~multidrug~~~unknown MKRHKNFNLLLMLVLLVAVGQMAQTIYIPAIADMAVALNVREGAVQSVMAAYLLTYGISQLFYGPLSDRVGRRPVILVGMSIFMLATLVAITTHSLPVLIAASAMQGMGTGVGGVMARTLPRDLYEGAQLRHANSLLNMGILVSPLIAPLLGGILDTLWSWRACYAFLLVLCAGVTFSMAKWMPETRPEGAPRTKLLASYKTLFGTGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMAVSILFILPIPAAFFGAWFAGRPNKRFPTLMWQSVICCLLAGLMMWIPGLLGIMTVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMMPQTGQGSLGLLMMLMGLLILLCWLPLASRFTHHQQPV ->ARGMiner~~~tetG~~~AAD25538.1~~~tetracycline unknown +>ARGMiner~~~tetG~~~AAD25538.1~~~tetracycline~~~unknown MRSSAIIALLIVGLDAIGLGLIMPVLPTLLRELVPAEQVAGHYGALLSLYALMQVVCAPLLGQFSDGYGRRPVLLASLAGAAVDYTIMASAPVLWVLYIGRLISGITGATGAVAASTIADSTGEGSRARWFGYMGACYGTGMIAGPALGGMLGGISAHAPFIAAALLNGFAFLLACIFLKETNRSHGETGKLVRIEPFVLFRLDDALRGLTALFAVFFTIQLIGQVPAALWVIYGEDRFQWDTTTVGLSLAAFGATHAIFQAFVTGPLSSRLGERRTLLFGMAADATGFILLAFATQGWMVFPILLLLAAGGVGMPALQAMLSNNVSSNKQGALQGTLTSLTNLSSIAGPLGFTALYSATIGAWTGWVWIVGAILYLICLPILRRPFATSL ->ARGMiner~~~BacA~~~ZP_04150458~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04150458~~~bacitracin~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFDDDRAKVFEVVIQLGSILAVVVVFWKRLWSLVGIGKVTQGPSLNLLHIIIGMIPAGVLGVLFHSKIKEVLFGPGPVVISLIVGGILMIVAEKFSRPSTAKTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDVLSSADITLFATGFITAFLVAMLAIVSFLKLLARVKLTPFAYYRFILAAVFYYFFIM ->ARGMiner~~~CTX-M-2~~~AAZ95872.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-2~~~AAZ95872.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~FosC~~~CAA83855.1~~~fosfomycin unknown +>ARGMiner~~~FosC~~~CAA83855.1~~~fosfomycin~~~unknown MMTSIMFSMLSDITRIFVEQGLRVYPFQSSALLGVDEEGRVTLHARQLATAMASGYMPLLTGDLLLRGEQEAQVFSSDNIAPLLAADFEVRRVLYYSDVAGVYDQGNALVPWVGNANAACMEACVGASSMTDLTGGMRNKFMQQRQLARLGVVSEVLSFECFDRVHLSLCGLRQFGTVFLSE ->ARGMiner~~~SHV-46~~~AAO53445.1~~~beta_lactam unknown +>ARGMiner~~~SHV-46~~~AAO53445.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLNSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~EmrD~~~YP_312412~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_312412~~~multidrug~~~unknown MIMKRHRNVNLLLMLVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLVAVTTSSLTVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLVLCAGVTFSMARWMPETRPVDAPRTRLLTSYKTLFGNSGFNCYLLMLIGGLAGIAAFEACSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLLMWIPDWFGVMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGLLIVLCWLPLATRMSHQGQPV ->ARGMiner~~~OXA-207~~~AFK28473.1~~~beta_lactam unknown +>ARGMiner~~~OXA-207~~~AFK28473.1~~~beta_lactam~~~unknown MKKFILPIFSISILVSLSACSSIKTKSEDNFHISSQQHEKAIKSYFDEAQTQGVIIIKEGKNLSTYGNALARANKEYVPASTFKMLNALIGLENHKATTNEIFKWDGKKRTYPMWEKDMTLGEAMALSAVPVYQELARRTGLELMQKEVKRVNFGNTNIGTQVDNFWLVGPLKITPVQEVNFADDLAHNRLPFKLETQEEVKKMLLIKEVNGSKIYAKSGWVMGVTPQVGWLTGWVEQANGKKIPFSLNLEMKEGMSGSIRNEITYKSLENLGII ->ARGMiner~~~MdtM~~~ZP_03044758~~~multidrug unknown +>ARGMiner~~~MdtM~~~ZP_03044758~~~multidrug~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVFRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTESRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~BacA~~~YP_002886367~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002886367~~~bacitracin~~~unknown MEWYELFVGFLLGVVEGLTEFAPVSSTGHMILVDGLWLNSSQFLGQEVANTFKIVIQLGSILAVVVVFRDRFKTLLNPKSLLTLRQSGTARQFNLVHILIGLLPAGVLGVLFEDYIDTHLFSVKTVLIGLVVGAFFMIAADLSGVKRQTTATLDDITYKQALGVGLIQCFSLWPGFSRSGSTISGGVLLGMSHRAAADFTFIMAVPIMFGASALSLYSKWTYFTLDTLPVFVVGFISAFLFALLSIKFFLKLINKVKLMPFAIYRIVLAVVIYVLYF ->ARGMiner~~~MdtO~~~ACB17069~~~multidrug unknown +>ARGMiner~~~MdtO~~~ACB17069~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTNSLTPLPETRIEREALALQKLNVFCLADDADWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAIAEGQCWQSDWRITESQAMAARECNLENICQTLLQLGQMDPNTPPTPATKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~MdtO~~~YP_002394084~~~multidrug unknown +>ARGMiner~~~MdtO~~~YP_002394084~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLVSEINKLQHTIAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVVLERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~BcII~~~ZP_04091529~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04091529~~~beta_lactam~~~unknown MKERVEKMKNTLLKLGVCVSLLGITPFVSTISSVQAERTVEHKVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLILNTSKGLVLVDSSWDDKLTKELIEMAEKKFKKSVTDVIITHAHADRIGGIKTLKERGIKAHSTTLTAELAKENGYEEPLGDLQAITKLKFGNMKVETFYPGKGHTEDNIVVWLPQYNMLVGGCLVKSASAKDLGNITDAYVNEWSTSIENVLKRYENINFVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~salA~~~AGN74946~~~multidrug unknown +>ARGMiner~~~salA~~~AGN74946~~~multidrug~~~unknown MLFLFEEKALEVEHKVLIPELTFSIEDHEHLAIVGVNGVGKSTLLKVIHQDQSVDSAMMEQDLTPYYDWTVMDYIIESYPEIAKIRLQLNHTDMINKYIELDGYIIEGEIVTEAKKLGIKEEQLEQKISTLSGGEQTKVSFLKVKMSKASLLLIDEPTNHMDLEMKEWLTKAFKQEQRAILFVSHDRTFLNETPDAILELSLDGAKKYIGKYDKYKQQKDIEHETLKLQYEKQQKEQAAIEETIKKYKAWYQKAEQSASVRSPYQQKQLSKLAKRFKSKEQQLNRKLDQEHIPNPHKKEKTFSIQHHNFKSHYLVQFNHVSFAYDNRKIFDDVSFYIKRNQNVIVEGRNGTGKSTLIKLILGELEPTKGDITVHPELEIGYFSQDFENLNMHHTVLDEILEIPEMKEADARTILASFYFDKDRINDVVETLSMGEKCRLQFVKLYFSNPHIMILDEPTNYFDIGMQENIIQLIQSFQGSVLIVSHDNYFKSQIKDQTWTIKNHQMTHENVQVKDPINTESMKHHLKELEQYTDERNRETEF ->ARGMiner~~~MdtK~~~ZP_03072121~~~multidrug unknown +>ARGMiner~~~MdtK~~~ZP_03072121~~~multidrug~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~acrB~~~NP_836133~~~multidrug unknown +>ARGMiner~~~acrB~~~NP_836133~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWLNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSTPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~CMY-62~~~AEM97674.1~~~beta_lactam unknown +>ARGMiner~~~CMY-62~~~AEM97674.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFSALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~OXA-184~~~AFO09968.1~~~beta_lactam unknown +>ARGMiner~~~OXA-184~~~AFO09968.1~~~beta_lactam~~~unknown MKKILLLFSLFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNNFKRAKEPFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFIESKNKILSFALNVDIKNIKNLKIREELLEKYIYSLN ->ARGMiner~~~TolC~~~YP_002236547~~~multidrug unknown +>ARGMiner~~~TolC~~~YP_002236547~~~multidrug~~~unknown MQMKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDVGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPIPTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~smeE~~~CAG34250~~~multidrug unknown +>ARGMiner~~~smeE~~~CAG34250~~~multidrug~~~unknown MARFFIDRPIFAWVIAIIIMLAGGLALFKLPVSMYPNVAPPAVEISATYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIAFVSENGSMDANDIADYVGSNVVDRLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYGLSVAEVTAAIKAQNAQVAIGQLGGAPSIKGQQLNATINAQSRLQTPEQFRNIIVRGAQDGAELRLGDVARVELGAESYDFVTRYNGQPASGLAVTLATGANALDTAAGVDAALQDMKSFFPAGLKAEIPYDTTPFVRVSIKGVVQTLIEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAMLGFSVNMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHKGWSGRFFNGFNRGFDRTSESYQRGVRGIIHRPWRFMGIVAALFVLMGVLFVRLPSSFLPNEDQGVLMALVQAPVGATQERTLESIAALENHFLQNEKDAVDSVFSVQGFSFAGMGQNAGMAFVKLKDWSERDANNGVMPITGRAMAALGQIKDAFIFAFPPPAIPELGTASGYTFFLKDNSGQGHEALVAARNQLLGLAAGSKKLANVRPNGQEDTPQFRIDIDAAKATSLGLSIDQINGTLAAAWGSSYIDDFVDRGRVKRVFVQADQPFRMVPEDFDLWSVKNDKGEMVPFSAFATKHWDYGSPRLERYNGVSAMEIQGEPAPGVASGDAMAEIEQLAKQLPAGFGIEWTAMSYQERQAGSQTPLLYTLSLMIVFLCLAAMYESWSVPTAVLLAAPLGILGAVLANTFKGLERDIYFQVAMLTTVGLTSKNAILIVEFAKENLEKGASLIESIMHAVRDRLRPIVMTSLAFGMGVVPLAISTGAGSGAKQAIGTGVLGGMIVGTVLGVFFVPLFFVVVQRVFKRKSTT ->ARGMiner~~~OXA-206~~~BAL15076.1~~~beta_lactam unknown +>ARGMiner~~~OXA-206~~~BAL15076.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAISVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~SHV-76~~~CAJ47131.2~~~beta_lactam unknown +>ARGMiner~~~SHV-76~~~CAJ47131.2~~~beta_lactam~~~unknown MRYIRLCIISLLAALPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVEDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-278~~~AGI65307.1~~~beta_lactam unknown +>ARGMiner~~~OXA-278~~~AGI65307.1~~~beta_lactam~~~unknown MKILILWPLLSYLSLTACSFPVSNSPSQITSTQSIQAIAKLFDQAQSSGVLVIQRGPHLQVYGNDLSRAHTEYVPASTFKIFNALIGLQHGKATTNEIFKWDGKKRSFAAWEKDMTLGQAMQASAVPVYQELARRIGLELMEQEVRRIQFGNQHIGQQVDNFWLVGPLKITPKQEVEFVSALAQEQLAFDPQVQQQVKAMLLLQEQQAYRLYAKSGWGMDVEPQVGWLTGWVETPQAEIVAFSLNMQMQSNMDPAIRLKILQQALAELGLYPKAEG ->ARGMiner~~~Bcr~~~YP_001452184~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_001452184~~~multidrug~~~unknown MLMPLSIDMYLPALPVISAQFGVPAGSAQMTLSTYILGFAVGQLIYGPMADSLGRKPVILGGTLVFAAAAVACALAQTIDQLIIMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIIGGWVLVWLSWHYIFWILAVAAILASVMIFLLINETLPVERRQPFHIRTTIGNFASLFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVSPQHFGYYFALNIVFLFVMTIINSRFVRRVGALNMFRTGLWIQFVMAAWMVFSALFGVGFWALVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFTSAWPMIWSIAFCATCSILFYLYASRPKKR ->ARGMiner~~~OXA-149~~~ACX31141.1~~~beta_lactam unknown +>ARGMiner~~~OXA-149~~~ACX31141.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKHVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~catS~~~CAA52904.1~~~chloramphenicol unknown +>ARGMiner~~~catS~~~CAA52904.1~~~chloramphenicol~~~unknown FTNIPCTYSMTVKLDITQIKKKRMKLYPAMLYYLATIVNRHSEFRTAINQEGELGIYDEMIPSYTIFHEDTETFSNLWTPYIPDFEAFSMAYANDMQRYGSNYGMIGKPDIPENVFNVSMIPWSTFDSFNLNLQKGYDYLIPIFTMGKYYRDDEKIILPLAIQV ->ARGMiner~~~amrA~~~AAC64521~~~multidrug unknown +>ARGMiner~~~amrA~~~AAC64521~~~multidrug~~~unknown MHIQWTGSLRGLLAALVALFLLGCEEAADAGKTAEAPAEVGVIVARPAPIGITSELPGRLEAYRQAEVRARVAGIVTRRLYEEGQDVRAGTVLFQMDPCALKAALDISRGALARAEGNQPAAAEKFKRYAELIQDRAISEREYTEAQTDARQPLAQIASGQGRTGAGPPAPWYATVTAPIDGRARRALVTEGALVGEDSPTPLTRVEQIDPIYVNFSQPAGEVAAMQRAIREGQVKGVADKDIAVRLVLADGSEYPLAGELLFSRLAVDPGTDTIAMRALFRNPHRELLPGGYVQVRLQRAVNPQAITVPRDALIRTAQSAVVKVVNPKGLVEDVEVRADTLQGRDWIISRGLKGGEWVIVENAAQHAAGSSVQAVVRQPASADAPSPLAASPAGQ ->ARGMiner~~~rif~~~NP_671620~~~rifampin unknown +>ARGMiner~~~rif~~~NP_671620~~~rifampin~~~unknown MNNTIINSLIGGDDSIKRSNVFAVDSQIPTLYMPHYISLSGVMTNDGPDNQAIASFEIRDQYITALNHLVLSLELPEVKGIGRFGYVPYVGYKCINNVSISSCNGVIWEIEGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYSQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGIVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTVFAHISHTINIIDTSIPVSLWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~SHV-80~~~CAJ47135.2~~~beta_lactam unknown +>ARGMiner~~~SHV-80~~~CAJ47135.2~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPTGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~PER-1~~~CAA79968.1~~~beta_lactam unknown +>ARGMiner~~~PER-1~~~CAA79968.1~~~beta_lactam~~~unknown MNVIIKAVVTASTLLMVSFSSFETSAQSPLLKEQIESIVIGKKATVGVAVWGPDDLEPLLINPFEKFPMQSVFKLHLAMLVLHQVDQGKLDLNQTVIVNRAKVLQNTWAPIMKAYQGDEFSVPVQQLLQYSVSHSDNVACDLLFELVGGPAALHDYIQSMGIKETAVVANEAQMHADDQVQYQNWTSMKGAAEILKKFEQKTQLSETSQALLWKWMVETTTGPERLKGLLPAGTVVAHKTGTSGIKAGKTAATNDLGIILLPDGRPLLVAVFVKDSAESSRTNEAIIAQVAQTAYQFELKKLSALSPN ->ARGMiner~~~QnrB31~~~ADQ43424.1~~~quinolone unknown +>ARGMiner~~~QnrB31~~~ADQ43424.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFLCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEISHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRRVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~IMP-1~~~CAA11471.1~~~beta_lactam unknown +>ARGMiner~~~IMP-1~~~CAA11471.1~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~SHV-23~~~AAF34337.1~~~beta_lactam unknown +>ARGMiner~~~SHV-23~~~AAF34337.1~~~beta_lactam~~~unknown MLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGEFCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAGTLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERN ->ARGMiner~~~pac~~~P13249~~~puromycin unknown +>ARGMiner~~~pac~~~P13249~~~puromycin~~~unknown MTEYKPTVRLATRDDVPRAVRTLAAAFADYPATRHTVDPDRHIERVTELQELFLTRVGLDIGKVWVADDGAAVAVWTTPESVEAGAVFAEIGPRMAELSGSRLAAQQQMEGLLAPHRPKEPAWFLATVGVSPDHQGKGLGSAVVLPGVEAAERAGVPAFLETSAPRNLPFYERLGFTVTADVEVPEGPRTWCMTRKPGA ->ARGMiner~~~CeoA~~~YP_371055~~~multidrug unknown +>ARGMiner~~~CeoA~~~YP_371055~~~multidrug~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVNANAPEKSAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGTLVKKGDVLFVIDPRPYQAETDRAAAQLAAAQARNGYAQTDWQRAQRLIGDNAIAKRDYDEKQNAAREATANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARNGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHEALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLSAGDRVVVNGTQRVRPGEQVKPHMVPMTGGDAPSAPLADNAKPAAPAKADS ->ARGMiner~~~BacA~~~ZP_02865688~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_02865688~~~bacitracin~~~unknown MGIDFLFILKALIIAIVEGLTEFVPVSSTGHMILVGDLIHFNTQSGGFPEMYEVVIQLGAILAVVVLYWRKISSSVVEFLCYIFSFIGLKASNDKRKYEKRLAESKTGFRFGINVIIATIPAAILGFLFDDEINKYLFSTKTVAVGFIVGGILLIVIENNFRKRAKRSKIVKDIDKMTYGQSLLVGCFQCLSLWPGMSRSASTIMGGWISGLSTTVATEFTFFLGIPVMVGASGLKLFKFDYSQMDGTYLIALILGFIVAFIVSLVVIDKFINYLKKKPMRVFAIYRVFAGIVLAILIFTKVIS ->ARGMiner~~~EmeA~~~NP_266279~~~multidrug unknown +>ARGMiner~~~EmeA~~~NP_266279~~~multidrug~~~unknown MKNKKSMMNLAISNLFLVFLGAGLVIPVLPTLKEQMHFSGTTMGMMISIFAIAQLVASPVAGALSDKIGRKKLIAIGMIIFSFSELLFGLAQAKTGFYISRALGGVAAAMLMPSVTAYVADMTTIAERPKAMGLVSAAISGGFIIGPGVGGFIAHFGIRVPFYVAAILAFLGFILTITILKEPERTIESHQEIEKVSFLDILKNPLFGSLFIIILISSFGLQAFESIYSIMASINFGFSMSEIALVITVSGILALFFQLFLFDAIVNKIGELGLIQLTFFASAIFIAVIAFTKSNLVVALSTFVVFLAFDLFRPAVTTYLSKHAGNRQGAINGLNSAFTSFGNILGPMAAGYMFDLNHLFPYYISAIILLGTGFLSLFLNRKNFSKI ->ARGMiner~~~r39~~~CAA37699.1~~~beta_lactam unknown +>ARGMiner~~~r39~~~CAA37699.1~~~beta_lactam~~~unknown MLFPTARRTGFAALAALALVPAAACSGSAAPAEAEPASAEVTAEDLSGEFERLESEFDARLGVYAVDTGTGEEVFHRADERFGYASTHKAFTAALVLGQNTPEELEEVVTYTEEDLVDYSPITEQHVDTGMTLLEVADAAVRHSDNTAANLLFEELGGPEGFEEDMRELGDDVISADRIETELNEVPPGETRDTSTPRAMAGSLEAFVLGDVLEEGPRDVLTEMLLNNTTGDELIRAGVPEDWRVGDKTGGGSHGSRNDIAVVWPPEDDPIVIAVMSTREQEDAEFDNALVSGATEVVVEALAP ->ARGMiner~~~OXA-201~~~ADX07746.1~~~beta_lactam unknown +>ARGMiner~~~OXA-201~~~ADX07746.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIQVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~MdtK~~~YP_001453247~~~multidrug unknown +>ARGMiner~~~MdtK~~~YP_001453247~~~multidrug~~~unknown MQKYISEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGCVSVLIMFVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVIGFLGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFIAMASYVKRARSMRDIRNERGFSKPDTAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGVCMAVITAIFTVTLREPIALLYNDNPEVVTLAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAIMMMLRMRYLQRQSSAIILQRAAR ->ARGMiner~~~LEN-22~~~CAP12350.2~~~beta_lactam unknown +>ARGMiner~~~LEN-22~~~CAP12350.2~~~beta_lactam~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSPRSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~EmrD~~~ACN65732~~~multidrug unknown +>ARGMiner~~~EmrD~~~ACN65732~~~multidrug~~~unknown MKRHKNFNLLLMLVLLVAVGQMAQTIYIPAIADMAVALNVREGAVQSVMAAYLLTYGISQLFYGPLSDRVGRRPVILVGMSIFMLATLVAITTHSLPVLIASSAMQGMGTGVGGVMARTLPRDLYEGAQLRHANSLLNMGILVSPLIAPLLGGILDTLWSWRACYAFLLVLCAGVTFSMAKWMPETRPEGAPRTKLPASYKTLFGTGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMAVSILFILPIPAAFFGAWFAGRPNKRFPTLMWQSVICCLLAGLMMWIPGLLGIMTVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMMPQTGQGSLGLLMMLMGLLILLCWLPLASRFTHHQQPV ->ARGMiner~~~TEM-129~~~CAG34105.1~~~beta_lactam unknown +>ARGMiner~~~TEM-129~~~CAG34105.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BacA~~~ZP_04156234~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04156234~~~bacitracin~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFDDDRAKVFEVVIQLGSILAVVVVFWKRLWSLVGIGKVTQGPSLNLLHIIIGMIPAGVLGVLFHSKIKEVLFGPGPVVISLIVGGILMIVAEKFSRPSTAKTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDVLSSADITLFVTGFITAFLVAMLAIVSFLKLLARVKLTPFAYYRFILAAVFYYFFIM ->ARGMiner~~~smeD~~~CAG34264~~~multidrug unknown +>ARGMiner~~~smeD~~~CAG34264~~~multidrug~~~unknown MLLSRIRPFALSLAIAATVAACGGQPQAPEQGPGDVTVVTLKSETVGLTRELPGRTNAFLVAEVRPQVNGIVAKRLFTEGGMVKAGEPLYQIEDASYRAQANSARAQLARAEATANAARLSAKRITELAKVDAVSQQDLENAVAAQKQAEADVGAAKASLDAANVTLGYARITAPISGRIGKSSVTQGALVSAGQANALATVQQLDPIYVDLTQSSAELLQLRRELAAGRLQDNQTLPVSILMEDGSTFEHKGTLEFSEVSVDPTTGSFGLRVKVDNPDGLLMPGMYVRAVIGGGVRSDAVLVPMQGIGRDPKGDTTAMVVGKDNKVEVRPVKVSRTVGDKWLVEDGLKAGDKVIVEGLQKIGPGMPVKATEKGDVPAKPAAAAQPAAPAGDAK ->ARGMiner~~~msrE~~~YP_724476.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~msrE~~~YP_724476.1~~~macrolide-lincosamide-streptogramin~~~unknown MSLIIKARNIRLDYAGRDVLDIDELEIHSYDRIGLVGDNGAGKSSLLKVLNGEIVLAEATLQRFGDFAHISQLGGIEIETVEDRAMLSRLGVSNVQNDTMSGGEETRAKIAAAFSQQVHGILADEPTSHLDLNGIDLLIGQLKAFDGALLVISHDRYFLDMVVDKIWELKDGKITEYWGGYSDYLRQKEEERQHQAVEYELMMKERERLESAVQEKRQQANRLDNKKKGEKSKNSTESAGRLGHAKMTGTKQRKLYQAAKSMEKRLAALEDIQAPEHLRSIRFRQSSALELHNKFPITADGLSLKFGSRTIFDDANFIIPLGAKVAITGSNGTGKTSLLKMISERADGLTISPKAEIGYFTQTGYKFNTHKSVLSFMQEECEYTVAEIRAVLASMGIGANDIQKNLSDLSGGEIIKLLLSKMLLGKYNILLMDEPGNYLDLKSIAALETMMKSYAGTIIFVSHDKQLVDNIADIIYEIKDHKIIKTFERDC ->ARGMiner~~~TEM-113~~~AAS89983.1~~~beta_lactam unknown +>ARGMiner~~~TEM-113~~~AAS89983.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~kdpE~~~NP_415222.1~~~aminoglycoside unknown +>ARGMiner~~~kdpE~~~NP_415222.1~~~aminoglycoside~~~unknown MTNVLIVEDEQAIRRFLRTALEGDGMRVFEAETLQRGLLEAATRKPDLIILDLGLPDGDGIEFIRDLRQWSAVPVIVLSARSEESDKIAALDAGADDYLSKPFGIGELQARLRVALRRHSATTAPDPLVKFSDVTVDLAARVIHRGEEEVHLTPIEFRLLAVLLNNAGKVLTQRQLLNQVWGPNAVEHSHYLRIYMGHLRQKLEQDPARPRHFITETGIGYRFML ->ARGMiner~~~CARB-10~~~ACJ61335.1~~~beta_lactam unknown +>ARGMiner~~~CARB-10~~~ACJ61335.1~~~beta_lactam~~~unknown MDVRQHKASFFSVVITFLCLTLSLNANATDSVLEAVTNAETELGARIGLAAHDLETGKRWEHKSNERFPLTSTFKTLACANVLQRVDLGKERIDRVVRFSESNLVTYSPVTEKHVGKKGMSLAELCQATLSTSDNSAANFILQAIGGPKALTKFLRSIGDDTTRLDRWETELNEAVPGDKRDTTTPIAMVTTLEKLLIDETLSIKSRQQLESWLKGNEVGDALFRKGVPSDWIVADRTGAGGYGSRAITAVMWPPNRKPIVAALYITETDASFEERNAVIAKIGEQIAKTVLMENSRN ->ARGMiner~~~OKP-B-10~~~CAJ19619.1~~~beta_lactam unknown +>ARGMiner~~~OKP-B-10~~~CAJ19619.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNTAGNLLLKIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPANMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMAERNQQIAVIGAALIEHWQR ->ARGMiner~~~SHV-78~~~CAJ47133.2~~~beta_lactam unknown +>ARGMiner~~~SHV-78~~~CAJ47133.2~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASSRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~QnrB~~~YP_001478290~~~quinolone unknown +>ARGMiner~~~QnrB~~~YP_001478290~~~quinolone~~~unknown MTLKLDGEKIARNRFTGEKIENGSFINCDFSGTDLTGTEFIDCQFYDRESQQGGNFSRALLKDASFKNCDLSMADFRNASALGIEIRECRAQGADFRGTSFMNMITPRTWFCSAYITKSNLSYANFSKVVLEKCELWENRWNSAQILGATFSGSDLSGGEFASFDWRAANFTHCDLTNSELGELDLRSTDLQGVKLDSYQVFQMMERLGIAVIG ->ARGMiner~~~RosA~~~ZP_04621517~~~fosmidomycin unknown +>ARGMiner~~~RosA~~~ZP_04621517~~~fosmidomycin~~~unknown MTDRSDTGFEAPVKTTIKRTSFSILGAISVSHLLNDMIQSLILAIYPLLQAEFSLSFAQIGLITLTYQLTASLLQPLIGLYTDKHPQPYSLPMGMGFTLSGILLLAVATTFPVVLLAAALVGTGSSVFHPESSRVARMASGGRHGLAQSVFQVGGNFGSALGPLLAAILIAPYGKGNVGWFSLAALLAIVVLLQVSKWYQQQQRAIHGKPVKILSAKVLPRKTIISTLAILMVLIFSKYFYLASISSYYTFYLMHKFGISVQNAQIHLFVFLFAVAAGTIIGGPLGDRIGRKHVIWGSILGVAPFTLVLPYASLYWTGVLTVIIGVILASAFSAILVYAQELIPGKVGMVSGLFFGFAFGMGGIGAAILGYVADLTSIELVYQICAFLPLLGIFTALLPNIDDK ->ARGMiner~~~APH(3')-IVa~~~CAA27061.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IVa~~~CAA27061.1~~~aminoglycoside~~~unknown MNESTRNWPEELLELLGQTELTVNKIGYSGDHVYHVKEYRGTPAFLKIAPSVWWRTLRPEIEALAWLDGKLPVPKILYTAEHGGMDYLLMEALGGKDGSHETIQAKRKLFVKLYAEGLRSVHGLDIRECPLSNGLEKKLRDAKRIVDESLVDPADIKEEYDCTPEELYGLLLESKPVTEDLVFAHGDYCAPNLIIDGEKLSGFIDLGRAGVADRYQDISLAIRSLRHDYGDDRYKALFLELYGLDGLDEDKVRYYIRLDEFF ->ARGMiner~~~LRA-5~~~ACH59002.1~~~beta_lactam unknown +>ARGMiner~~~LRA-5~~~ACH59002.1~~~beta_lactam~~~unknown MKTIFGKRRQSAVVLITLIAILLASGQPYQSSQVRGAACLPDIIFDEPSQGPEKNEAISMLTERLSSIINAAGGDIGIAVIHVETGHTTAIQGTTQLPLYSVFKLPLAIAVLKEIEENRLQLDRKVRVTPADVAPGWTANAAMWRRPIDRTVAQLIEVSIIRSDNTSSDKLLQLVGGPAAVTHRMRALGFPNIEIVSTVREFSENRTRPNTGSAEDLARLLVQLQKGELLQPQHSALLLGFMHRATTGTERLRGSLPVGTPVADKTGTGDAGVVTNDVGIITLPKGQGHLAIAVLISGSKLSPAAQEKLIAEIARAAYDAHVSRAE ->ARGMiner~~~OXA-62~~~AAR32134.1~~~beta_lactam unknown +>ARGMiner~~~OXA-62~~~AAR32134.1~~~beta_lactam~~~unknown MNTIISRRWRAGLWRRLVGAVVLPATLAATPAAYAADVPKAALGRITERADWGKLFAAEGVKGTIVVLDARTQTYQAYDAARAEKRMSPASTYKIFNSLLALDSGALDNERAIIPWDGKPRRIKNWNAAMDLRTAFRVSCLPCYQVVSHKIGRRYAQAKLNEVGYGNRTIGGAPDAYWVDDSLQISAREQVDFVQRLARGTLPFSARSQDIVRQMSIVEATPDYVLHGKTGWFVDKKPDIGWWVGWIERDGNITSVAINIDMLSEADAPKRARIVKAVLKDLKLI ->ARGMiner~~~novA~~~AAF67494.2~~~aminocoumarin unknown +>ARGMiner~~~novA~~~AAF67494.2~~~aminocoumarin~~~unknown MKSALSTWKPSDRPPDPTLPEPPAQLRRIFRLFRPYRGRLAVVGLLVGASSLVAVASPFMLREILDTAIPQGRTGLLSLLALGMILTAVLSSVFGVVQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKRITLQRQKQMATMAATVTESLSVSGILLGRTMGRADSLTRSFAEESERLVDLEVRSNMAGRWRMSVIGIVMAAMPAVIYWAAGFVLQSGGTVVSIGTLVAFVSLQQGLFRPAVSLLATGVQMQTSLALFQRIFEYLDLPVDITEPERPVALDKVRGEVRFDGVDFSYEEKDGNTLHGLDLTVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVLLDGVDVRDLAFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEKAARAAQIHEHIVTLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLVLDEATSALDTRTEHAVQQAIDSLSEGRTTITIAHRLSTVRDADQIVVLDAGRIAERGTHEELIDRDGRYAALVRRDGAPAPAPVPARDERVGAA ->ARGMiner~~~CTX-M-147~~~AHA80961.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-147~~~AHA80961.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAVAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTESTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~IND-11~~~ADK25050.1~~~beta_lactam unknown +>ARGMiner~~~IND-11~~~ADK25050.1~~~beta_lactam~~~unknown MKKSIQLLMMSMFLSPLINAQVKDFVIEPPVKPNLYLYKSFGVFGGKEYSANAVYLTTKKGVVLFDVPWQKEQYQTLMDTIQKRHHLPVIAVFATHSHDDRAGDLSFYNQKGIKTYATAKTNELLKKDGKATSTEIIKTGKPYKIGGEEFMVDFLGEGHTVDNVVVWFPKYKVLDGGCLVKSRTATDLGYTGEANVKQWPETMRKLKTKYAHATLVIPGHDEWKGGGHVQHTLDLLDKNKKPE ->ARGMiner~~~PEDO-2~~~AJP77071~~~beta_lactam unknown +>ARGMiner~~~PEDO-2~~~AJP77071~~~beta_lactam~~~unknown MKKIFLMVLFSCSLLGFAQTVTEPANNPKEWSQATEPFRIAGNLYYVGTYDLASYLIVTEKGNILINTGLANSLSIIKENIKALGFDYKSIKILLLTQAHFDHLGAMSEIKKETGAKLYVDEKDADALETGGKLDYELGKYGISFKPVKPDFLLKNNDKIKLGNTTLTMLHHPGHTKGSCSFIFDTKDKNSSYKILIANMPSIIVDRKFSEIASYKDIQKDYTETFKAMKKLDFDLWVASHASQFELHDKRKSGAPYNPKIFMDKSKFFKNLEDLENIFLEKIKN ->ARGMiner~~~OXA-211~~~AEV91550.1~~~beta_lactam unknown +>ARGMiner~~~OXA-211~~~AEV91550.1~~~beta_lactam~~~unknown MKTLQLALIALITTFGSACTTIPPSVETAKNHQQQSAQQQIQQAFDQLQTTGVIVIKDKHGLHSYGNDLSRAQTPYVPASTFKMLNALIGLEHGKATSTEVFKWDGQKRSFPAWEKDMTLGQAMQASAVPVYQELARRIGLDLMKKEVQRIGYGNQQIGTVVDNFWLVGPLQITPVQEVLFVEKLANTQLAFKPDVQHTVQDMLLIEQKPNYKLYAKSGWGMDLEPQVGWWTGWVETATSEKVYFALNMHMKTGISASVREQLVKQSLTALGII ->ARGMiner~~~OXA-3~~~AAC41449.1~~~beta_lactam unknown +>ARGMiner~~~OXA-3~~~AAC41449.1~~~beta_lactam~~~unknown MAIRIFAILFSTFVFGTFAHAQEGMRERSDWRKFFSEFQAKGTIVVADERQTDRVILVFDQVRSEKRYSPASTFKIPHTLFALDAGAARDEFQVFRWDGIKRSFAAHNQDQDLRSAMRNSTVWIYELFAKEIGEDKARRYLKQIDYGNADPSTSNGDYWIDGNLAIAAQEQIAFLRKLYHNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRIGWWVGWVEWPTGPVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~CfxA4~~~AAV37205.1~~~beta_lactam unknown +>ARGMiner~~~CfxA4~~~AAV37205.1~~~beta_lactam~~~unknown MEKNRKKQIVVLSIALVCIFILVFSLFHKSATKDSANPPLTNVLTDSISQIVSACPGEIGVAVIVNNRDTVKVNNKSVYPMMSVFKVHQALALCNDFDNKGISLDTLVNINRDKLDPKTWSPMLKDYSGPVISLTVRDLLRYTLTQSDNNASNLMFKDMVNVAQTDSFIATLIPRSSFQIAYTEEEMSADHNKAYSNYTSPLGAAMLMNRLFTEGLIDDEKQSFIKNTLKECKTGVDRIAAPLLDKEGVVIAHKTGSGNVNENGVLAAHNDVAYICLPNNISYTLAVFVKDFKGNESQASQYVAHISAVVYSLLMQTSVKS ->ARGMiner~~~amrB~~~YP_002086467~~~multidrug unknown +>ARGMiner~~~amrB~~~YP_002086467~~~multidrug~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALFFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~acrA~~~YP_309461~~~multidrug unknown +>ARGMiner~~~acrA~~~YP_309461~~~multidrug~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYLDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~ErmU~~~CAA44667.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmU~~~CAA44667.1~~~macrolide-lincosamide-streptogramin~~~unknown MPSRYGSRQDLGQNFLVDPDIIKLIRRAPNERKVPSLIWRRRGHVTLPLSRLGRPVTAVELDPRRVKRLSARAPENVKVVGEDILRFRLPTVPHTVVGNIPFHVTTATMRRILVAPAWVSAVLVVQWEVARRRAGIGGCSLVTAESWPWFDFSVLKRVPRFAFRPAPSVDGGILVIERRPEPLVRERREYQAFVRQVFTGRGHGLREILQRIGRVQDSDLSAWFRAHGVSPQALPKDLTAEQWASLWGMARGGRSVPRTRRPRGLPPRTSRGPRRNSG ->ARGMiner~~~AmrB~~~ZP_03585936~~~multidrug unknown +>ARGMiner~~~AmrB~~~ZP_03585936~~~multidrug~~~unknown MARFFIDRPVFAWVIALFIMLGGAFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTALIEREMNGAPGLLYTSATSSAGMASLYLTFRQGVNADLAAVEVQNRLKTVEARLPEPVRRDGIQVEKAADNIQLVVSLTSDDGRMTGVQLGEYASANVVQALRRVDGVGRVQFWGAEYAMRIWPDPVKLAGHGLTASDIAAAVRAHNARVTVGDIGRSAVPDSAPIAATVFADAPLKTPADFGAIALRSQADGAALYLRDVARIEFGGSDYNYPSYVNGKVAVGMGIKLAPGSNAVATEKRIRAAMDELSAYFPPGVKYQIPYETSSFVRVSMNKVVTTLIEAGVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGAMYAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEERLAPYDATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFALSLAVSIAFSAFLALSLTPALCATLLKPVDDGHHDKRGFFGWFNRFVARSTQRYATRVGAMLNKPLRWLVVYGVLTAVAALMLTRLPSAFLPDEDQGNFMVMVIRPQGTPLAETMQSVREVESYLRREEPAAYTFALGGFNLYGEGPNGGMIFVTLKNWNARQAARDHVQAIVARVNERFAGTPNTTVFAMNSPALPDLGSTGGFDFRMQNRGGLDYAAFSAAREQLLAAGAKDAALTDLMFAGTQDAPQLKLDIDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVIVQADGQHRLDPDDVKKLRVRNARGEMVPLAAFATLHWTLGPPQLTRYNGYPSFTINGSAAPGHSSGEAMAAIERIAATLPAGIGHAWSGQSFEERLSGAQAPLLFALSVLVVFLALAALYESWSIPLAVMLVVPLGVIGAVLGVTLRAMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLLAQRMSLAEAALEAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQTAIGTGVLGGVIAATVLAVFLVPLFFVVVGRLFGFGTRRRGSAPAVNVEGSR ->ARGMiner~~~MdtH~~~ZP_02902573~~~multidrug unknown +>ARGMiner~~~MdtH~~~ZP_02902573~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVRTPVREGMACVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPVGMVSSLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAQQPELPWMMLGIIGIITFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~MfpA~~~YP_001849482~~~quinolone unknown +>ARGMiner~~~MfpA~~~YP_001849482~~~quinolone~~~unknown MEHWVDCEFTDRDFRDEDLSRLRTERVVFSECNFGGVNLTESEHRGSAFRNCSFERTTLWHSTFAQCSMLGSVFVSCRMRPLVLDEVDFTLAVLGGNDLRGVDLSGCRLREASLVETDLRKSVLRGADLRGARTNGTKLDDADLRGANLDPSLWRSASLAGARIDVPQALSFALAHGLRLDS ->ARGMiner~~~arnA~~~B7LM76~~~polymyxin unknown +>ARGMiner~~~arnA~~~B7LM76~~~polymyxin~~~unknown MKAVVFAYHDMGCLGVQALLDAGYEISAIFTHADNPAEKVFYGSVSRLAALAGIPVYAPDDINHPLWVERIAQLAPDVIFSFYYRNLLNNEILKLAPHGAFNLHGSLLPKYRGRAPLNWVLENGENETGVTLHRMVAKADAGAIIAQQRVAIDPEDAALTLHKKLCQSASQMLEYALPAIKQGQTQETAQNESEATYFGRRKPEDSFLDWNKPATVLHNMVRAVADPWPGAFSYVGTQKFTIWSSRVHPRVNAAQPGSVISVAPFLIACGDGALEVITGQSVDGITMQGSQLAQTLGLVEGSRLNSQPVCTVQRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLTHPNFHFVEGDISIHSEWIEYHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVQYHKRIIFPSTSEVYGMCTDKFFDEDHSNLIVGPINKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENTGNRCDGEIINIGNPDNEASIEELGKMLLASFDKHPLRQHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPTIDMQETIDETLDFFLRTVDIVEKSS ->ARGMiner~~~TLA-1~~~AAD37403.1~~~beta_lactam unknown +>ARGMiner~~~TLA-1~~~AAD37403.1~~~beta_lactam~~~unknown MTVPISIIFWGNIMKKHLVVIAFCVLFASASAFAAKGTDSLKSSIEKYLKDKKAKVGVAVLGIEDNFKLNVNEKHHYPMQSTYKFHLALAVLDKLDKENISIDKKLFVKKSELLPNTWSPLRDKYPDGNVDLSISEILKATVSRSDNNGCDILFRFVGGTNKVHNFISKLGVKNISIKATEEEMHKAWNVQYTNWTTPDATVQLLKKFYKNEILSKNSYDYLLNTMIETTTGPKRLKGLLPDGTVVAHKTGSSDTNDKGITAATNDIGIITLPNGKHFAIAVYVSDSSEKSDVNEKIIAEICKSVWDYLVKDGK ->ARGMiner~~~gadX~~~YP_491919.1~~~multidrug unknown +>ARGMiner~~~gadX~~~YP_491919.1~~~multidrug~~~unknown MQSLHGNCLIAYARHKYILTMVNGEYRYFNGGDLVFADASQIRVDKCVENFVFVSRDTLSLFLPMLKEEALNLHAHKKVSSLLVHHCSRDIPVFQEVAQLSQNKNLRYAEMLRKRALIFALLSVFLEDEHFIPLLLNVLQPNMRTRVCTVINNNIAHEWTLARIASELLMSPSLLKKKLREEETSYSQLLTECRMQRALQLIVIHGFSIKRVAVSCGYHSVSYFIYVFRNYYGMTPTEYQERSAQRLSNRDSAASIVAQGNFYGTDRSAEGIRL ->ARGMiner~~~mdtF~~~NP_290094~~~multidrug unknown +>ARGMiner~~~mdtF~~~NP_290094~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIIXVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVIYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~BacA~~~ZP_04621202~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04621202~~~bacitracin~~~unknown MTDMYSLFVAFVLGVVEGLTEFLPVSSTGHMIIVGELLGFTGDKAKTFEVIIQLGSILAVVVVFWRRLFGLIGIHFGKVPHEGKTSGHLTLGHILLAMIPAVVLGLAFHDVIKSLFDPRNVMYALVAGGVLLLVAEWFKPKNPKSIGLDDITYRQAFAIGCFQCLALWPGFSRSGATISGGMLVGVNRYAASEFSFILAVPMMIGASGLDLYKSLHFLTLGDLPMFAVGFTTAFIVALIAIKTFLSLIKRISFVPFAIYRFIVAAAVYWVFM ->ARGMiner~~~MdfA~~~NP_752857~~~multidrug unknown +>ARGMiner~~~MdfA~~~NP_752857~~~multidrug~~~unknown MRDYYWRRNCMQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~CARB-14~~~AFI72872.1~~~beta_lactam unknown +>ARGMiner~~~CARB-14~~~AFI72872.1~~~beta_lactam~~~unknown MDVRKHKASFFSVVITFLCLTLSLNANATDSVLEAVTNAETELGARIGLAVHDLETGKRWEHKSNERFPLSSTFKTLACANVLQRVDLGKERIDRVVRFSESNLVTYSPVTEKHVGKKGMSLAELCQATLSTSDNSAANFILQAIGGPKALTKFLRSIGDDTTRLDRWETELNEAVPGDKRDTTTPIAMVTTLEKLLIDETLSIKSRQQLESWLKGNEVGDALFRKGVPSDWIVADRTGAGGYGSRAITAVMWPPNRKPIVAALYITETDASFEERNAVIAKIGEQIAKIVLMENSRN ->ARGMiner~~~arr-1~~~AAC05822.1~~~rifampin unknown +>ARGMiner~~~arr-1~~~AAC05822.1~~~rifampin~~~unknown MVANPPKPFEVHESGAYLHGTKADLKVGDRLVPGRESNFEAGRIMKHVYITQTLDAAVWGAELAVGEGRGRIYIVEPEGEIEDDPNVTDKKLPGNPTRSYRTREPVRIVGELTDWEGHSPEQIAAMREGLEDLRRKGLAVIYD ->ARGMiner~~~SHV-56~~~ACB73258.1~~~beta_lactam unknown +>ARGMiner~~~SHV-56~~~ACB73258.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADRTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~MIR-6~~~AFJ79785.1~~~beta_lactam unknown +>ARGMiner~~~MIR-6~~~AFJ79785.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVTSAAFAAPMSETQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTSTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWLIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~BacA~~~A4VLM0~~~bacitracin unknown +>ARGMiner~~~BacA~~~A4VLM0~~~bacitracin~~~unknown MDLWVAIQALILGVVEGITEFLPVSSTGHQIIVADLIGFGGERALAFNIIIQLGAILAVIWEYRRKIIDVVVGLPEERQAQKFTVNLLIAFMPAVVLGVAFADLIHEYLFNPITVAAALVIGGIVMLWAERRDHAIRAETVDDMTWTLALKVGFAQCLALVPGTSRSGSTIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRDLFQPGDFAVFAIGFVTSFIFAMLAVRALLKFIGNHSYAAFAWYRIGFGLLILATWQLGMIDWSTAIG ->ARGMiner~~~LEN-12~~~CAG25817.1~~~beta_lactam unknown +>ARGMiner~~~LEN-12~~~CAG25817.1~~~beta_lactam~~~unknown ATLPLAVYAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAG ->ARGMiner~~~CPS-1~~~AJP77054~~~beta_lactam unknown +>ARGMiner~~~CPS-1~~~AJP77054~~~beta_lactam~~~unknown MRNLTLLFLLCLSFFGTAQTVTEPKNNPAEWSKDYEPFKIVGNLYYVGTYDLASYLIVTDKGNILINTGLADSYSTIKKNIEKFGFKYKDIKILTLTQAHYDHMGAMAQIKKETGAKLYVDEKDAAELKSGGKSDYEMGKYGVTFEPVHPDFLLKNNAKIKLGNTVLTLLHHPGHTKGSCSFLFETKEGNKNYKVLIANLPSIIIDHKFSDVKKYPTIQKDYGYTFEAMKKINFDVWVASHASQFDLHKKRKEGDSYNPKLFMDKENYFKRLKDLENDYLEKVKEDSAKK ->ARGMiner~~~BacA~~~ZP_04637931~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04637931~~~bacitracin~~~unknown MYSLFVAVVLGVVEGLTEFLPVSSTGHMIIVGELLGFTGDKAKTFEVIIQLGSILAVVVVFWRRLFGLIGIHFGAVPHEGKTSGHLTLGHILLAMIPAVVLGLVFHDVIKSLFDPRNVMYALVAGGVLLLVAEGFKPKNPKSVGLDDITYRQAFAIGCFQCLALWPGFSRSGATISGGMLVGVNRYAASEFSFILAVPMMIGASGLDLYKSLHFLTLGDLPMFAVGFITAFIVALIAIKTFLSLIKRISFVPFAIYRFVVAAAVYWVFM ->ARGMiner~~~SME-1~~~CAA82281.1~~~beta_lactam unknown +>ARGMiner~~~SME-1~~~CAA82281.1~~~beta_lactam~~~unknown MSNKVNFKTASFLFSVCLALSAFNAHANKSDAAAKQIKKLEEDFDGRIGVFAIDTGSGNTFGYRSDERFPLCSSFKGFLAAAVLERVQQKKLDINQKVKYESRDLEYHSPITTKYKGSGMTLGDMASAALQYSDNGATNIIMERFLGGPEGMTKFMRSIGDNEFRLDRWELELNTAIPGDKRDTSTPKAVANSLNKLALGNVLNAKVKAIYQNWLKGNTTGDARIRASVPADWVVGDKTGSCGAIGTANDYAVIWPKNRAPLIVSIYTTRKSKDDKHSDKTIAEASRIAIQAID ->ARGMiner~~~SHV-186~~~AIW68620.1~~~beta_lactam unknown +>ARGMiner~~~SHV-186~~~AIW68620.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPATMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~IMP-19~~~ABM67078.1~~~beta_lactam unknown +>ARGMiner~~~IMP-19~~~ABM67078.1~~~beta_lactam~~~unknown MKKLFVLCVCFLCSITAAGAALPDLKIEKLEEGVYVHTSFEEVNGWGVVSKHGLVVLVNTDAYLIDTPFTATDTEKLVNWFVERGYKIKGTISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFSGVSYWLVKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGNLGDANLEAWPKSAKILMSKYVKAKLVVSSHSEIGDASLLKRTWEQAVKGLNESKKPSQPSN ->ARGMiner~~~tet34~~~YP_001444376~~~tetracycline unknown +>ARGMiner~~~tet34~~~YP_001444376~~~tetracycline~~~unknown MSKKFIITWDAMQSYCRELAEKQMPAEQWKGIWAVSRGGLVPGAILARELGIRHVDTICISSYDHDHQRDMTVVKAPEGDGEGFLIVEDLVDSGDTARKLREMYPKAKLIAVCAKPAGVELLDDYVVDIAQDTWIEQPWDMSIQFVEPVNRKQK ->ARGMiner~~~DHA-5~~~AEL22919.1~~~beta_lactam unknown +>ARGMiner~~~DHA-5~~~AEL22919.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGRPYYFNYGFADVQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLHFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~BacA~~~YP_997055~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_997055~~~bacitracin~~~unknown MDVVLLVKAAIMGVVEGLTEFLPISSTGHLILAGALLGFDDAKAQVFDVAIQTGAILAVILVYWAKIRATLHALPSERQAQRLAFNLAIGFFPAVLLGLLFGKAIKAHLFTPVVVASTFIIGGLVILWAERRAPAATRIHTLDAMTAPDALKVGLVQCLAMVPGTSRSGATIIGGMLLGLSRQAATDFSFFLAIPTLIGAGVYSLYQERALLTVADLPMFLTGLVFSFLSAWLCVRWLLRYIASHSFVPFAYYRIGFGLMVLVTASTGWVPWVD ->ARGMiner~~~acrA~~~YP_002269113~~~multidrug unknown +>ARGMiner~~~acrA~~~YP_002269113~~~multidrug~~~unknown MTNLKSDTRGLHMNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~SFB-1~~~AAT90847.1~~~beta_lactam unknown +>ARGMiner~~~SFB-1~~~AAT90847.1~~~beta_lactam~~~unknown MISAPSFAHENEQQTDQSNTDAVKKPQQQPTELFLSPLVPDVYLHQSYKQVSGFGLVESNGLVVVQNKQAFIIDTPWTDSDTAKLVDWITQQGLTVTASISTHSHQDRAGGIGYLNSQGIATWVSDKTQRLLTANKLSTASHTFRTKQHTLQQQLIEVYDLGAGHTVDNLLVWLPKQQILFGGCLIKSLSSRTLGYTGEADLEQWPLTVAKVQAQFIQAKIVVPGHGKIGDTSLLSHTIDLLTQ ->ARGMiner~~~AAC(6')-Iy~~~AAF03531.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iy~~~AAF03531.1~~~aminoglycoside~~~unknown MDIRQMNKTHLEHWRGLRKQLWPGHPDDAHLADGEEILQADHLASFIAMADGVAIGFADASIRHDYVNGCDSSPVVFLEGIFVLPSFRQRGVAKQLIAAVQRWGTNKGCREMASDTSPENTISQKVHQALGFEETERVIFYRKRC ->ARGMiner~~~vanTE~~~AAL27444.1~~~glycopeptide unknown +>ARGMiner~~~vanTE~~~AAL27444.1~~~glycopeptide~~~unknown MKHRANGIDLFRIFAATMVVAIHTFPFQSIAPFLDEVITLTVFRVAVPFFFMITGYFLLGRLSLNFSYNNNQRVKKYLYKIGMIYLYSILLYFPLSLLNGTISLKMNILLLLKVFIFDGTFYHLWYFPASIIGTILVTLLLRSIGFKLTVAFSTCLYLVGLGGDSWYGITNQVPLLNKLYTFIFSWSDYTRSGVFFTPVFLCLGIFAYRVSKKLTASKILNLLFYVFIIGMTFESIFLHRFTNVKHDSMYLLLPSCALILFLMLLNWQPKLKVKESADLTLLVYILHPLVIVIVHSISKYIPILKNSLLNFLLVVVCSFILAQLLLNLKRKLRVSKQKIPFERASKEISASAIHHNINEIRKIIPKNTNIMGVVKANAYGCGMVEVAYELEKIGISFFCVATIEEAIALRKSGNQGDILILGYTHPNRINDIKKYNLIQSIVSEEHGKVLNLKKIPIRCHLQVDTGMHRLGVTPNVTIIQQMYLFSNLKIEGIYSHLGSSDSLEQESIARTNTQIFLFNNILSDLEQMGISYGYTHIQSSYGILNYPELSFDFVRIGILCYGFLSDYNSPTKIPIDLQPIVKVKASLITERIVEAGEYVGYGLGAKVEKRTRIGVVSIGYADGIPRALSNAKLTLEFKGQSIKQIGNICMDMMLVDLSEVEDISLNDELIVLPNISKIADEEQTITNELLSRLGSRLGTELN ->ARGMiner~~~SHV-15~~~CAB37325.2~~~beta_lactam unknown +>ARGMiner~~~SHV-15~~~CAB37325.2~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAMLARVDAGDKQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~ACT-36~~~AJG06170.1~~~beta_lactam unknown +>ARGMiner~~~ACT-36~~~AJG06170.1~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALAAPVSEKQLAEVVANTITPLMKAQSIPGMAVAVIYQGKPHYYTFGKADIAASKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNAALLRFYQNWQPQWKPGTTRLYANASIGLFGALTVKPSGMGYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGISLAQSRYWRIGSMYQGLGWEMLNWPVEANTVIEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILDALQ ->ARGMiner~~~Erm(30)~~~AAC69328.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~Erm(30)~~~AAC69328.1~~~macrolide-lincosamide-streptogramin~~~unknown MAMRDSIPRRADRDTLRRELGQNFLQDDRAVRNLVTHVEGDGRNVLEIGPGKGAITEELVRSFDTVTVVEMDPHWAAHVRRKFEGERVTVFQGDFLDFRIPRDIDTVVGNVPFGITTQILRSLLESTNWQSAALIVQWEVARKRAGRSGGSLLTTSWAPWYEFAVHDRVRASSFRPMPRVDGGVLTIRRRPQPLLPESASRAFQNFAEAVFTGPGRGLAEILRRHIPKRTYRSLADRHGIPDGGLPKDLTLTQWIALFQASQPSYAPGAPGTRMPGQGGGAGGRDYDSETSRAAVPGSRRYGPTRGGEPCAPRAQVRQTKGRQGARGSSYGRRTGR ->ARGMiner~~~Bcr~~~ZP_03066488~~~multidrug unknown +>ARGMiner~~~Bcr~~~ZP_03066488~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVILAQFGVSAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAVAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAGILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR ->ARGMiner~~~TUS-1~~~AAN63648.1~~~beta_lactam unknown +>ARGMiner~~~TUS-1~~~AAN63648.1~~~beta_lactam~~~unknown MYHYFSSLFVLIFSTLVYPQSDKLKIEPLNDHMYVYTTYQVFQGVEYSSNALYVVTDEGVILIDTPWDKDQYAPLVEHIRREHNKEIKWVITTHFHEDRSGGLDYFNKAGAETYTYALTNEILKQRNEPQATFTFGSTKQFNLGKEKIEVYFLGEGHSKDNTVVWFPEEAILYGGCLIKSAEATTIGNIVDGNVEAWPTTIKAVKRKFKKAKVIIPGHDAWNQSGHLENTARILSAYQAQKLKNNKQL ->ARGMiner~~~vanXO~~~AHA41501.1~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~AHA41501.1~~~glycopeptide~~~unknown MNDDFVYVDDWVPGVRWDAKYATWDNFTGKPVDGYLANRIVGTRALCAALEQAREKAASLGFGLLLWDGYRPRRAVDSFLRWSEQPEDGQTKQRHYPNIDRPEMLEKGYVATQSGHSRGGAVDLTLYHLATGELAPMGGDHDLMDPISHHRARGIKPIESKNRELLRSIMEDCGFDRYDCEWWHYTLKREPYPDVYFDFPIT ->ARGMiner~~~BacA~~~YP_080399~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_080399~~~bacitracin~~~unknown MNIWDIIVAIILGIVEGLTEYAPVSSTGHMIIVDDVWLKSKELLTPEAANTFKVVIQLGSILAVAFVFKDRILNLLGMKKNITEEQKSGNRLSIAQIAVGLVPAAVLGFLFEDYIDQYLFSVRTVAVGLIAGAVLMLAADWINRRKDTTDSVDRMTYKQALGMGLFQCLALWPGFSRSGSTISGGVILGLSHRAAADFTFIMAIPIMMGASLLSLIKNWAYLSADLLPFFIAGFISAFIVALFVVRFFLRLINKIKLVPFAIYRIVLGLLLFILFL ->ARGMiner~~~OXA-88~~~ABD48715.1~~~beta_lactam unknown +>ARGMiner~~~OXA-88~~~ABD48715.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~TEM-199~~~AFN69127.1~~~beta_lactam unknown +>ARGMiner~~~TEM-199~~~AFN69127.1~~~beta_lactam~~~unknown QHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNIGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtG~~~YP_002243989~~~multidrug unknown +>ARGMiner~~~mdtG~~~YP_002243989~~~multidrug~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIHENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~PER-7~~~AEI54993.1~~~beta_lactam unknown +>ARGMiner~~~PER-7~~~AEI54993.1~~~beta_lactam~~~unknown MNVIIKAVVTASTLLMVSFSSFETSAQSPLLKEQIESIVIGKKATVGVAVWGPDDLEPLLINPFEKFPMQSVFKLHLAMLVLHQVDQGKLDLNQTVIVNRAKVLQNTWAPIMKAYQGDQFSVPVQQLLQYSVSHSDNVACDLLFELVGGPAALHDYIQSMGIKETAVVANEAQMHADDQVQYQNWTSMKGAAEILKKFEQKTQLSETSQALLWKWMVETTTGPERLKGLLPAGTVVAHKTGTSGVRAGKTAATNDLGIILLPDGRPLLVAVFVKDSAESSRTNEAIIAQVAQAAYQFELKKLSALSPN ->ARGMiner~~~OXA-240~~~AFN20670.1~~~beta_lactam unknown +>ARGMiner~~~OXA-240~~~AFN20670.1~~~beta_lactam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMNQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~OXA-50~~~AAQ76277.1~~~beta_lactam unknown +>ARGMiner~~~OXA-50~~~AAQ76277.1~~~beta_lactam~~~unknown MRPLLFSALLLLSGHTQASEWNDSQAVDKLFGAAGVKGTFVLYDVQRQRYVGHDRERAETRFVPASTYKVANSLIGLSTGAVRSADEVLPYGGKPQRFKAWEHDMSLRDAIKASNVPVYQELARRIGLERMRANVSRLGYGNAEIGQVVDNFWLVGPLKISAMEQTRFLLRLAQGELPFPAPVQSTVRAMTLLESGPGWELHGKTGWCFDCTPELGWWVGWVKRNERLYGFALNIDMPGGEADIGKRVELGKASLKALGILP ->ARGMiner~~~IMP-40~~~BAM62794.1~~~beta_lactam unknown +>ARGMiner~~~IMP-40~~~BAM62794.1~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVFPKHGLVVLVNAEAYLIDTPSTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~ACT-28~~~BAA32077~~~beta_lactam unknown +>ARGMiner~~~ACT-28~~~BAA32077~~~beta_lactam~~~unknown MKTKSLCCALLLSTSCSVLAAPMSEKQLSDVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQHWQPQWKPGTTRLYANASIGLFGALAVKPSGMSFEQAMTKRVFKPLKLDHTWINVPKEEEAHYAWGYRDGKAIHVSPGMLDAEAYGVKTNITDMASWLKANMNPDALPDSTLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVEAKTVVEGSDNKVALAPLPVAEVNPPAPPVKASWIHKTGSTGGFGSYVAFIPEKELGIVMLANKSYPNPARVEAAYRILSALQ ->ARGMiner~~~AcrB~~~YP_001908403~~~multidrug unknown +>ARGMiner~~~AcrB~~~YP_001908403~~~multidrug~~~unknown MAKFFIDRPIFAWVIAIIIMLAGALSILKLPIEQYPNVAPPAIEITAAYPGADAKTLQDSVTQVIEQNMNGIDGLMYMSSSSDSSGALQLTLTFESGTNADIAQVQVQNKLQLATPLLPQEVQQQGIKVQKSSSSFLMVAGFINDNDTMTQNDIADYVGSNIKDPISRVTGVGDTQLFGAQYAMRIWMDPHKLNNYQLTPVDVISAINTQNAQVAAGQLGGSPPVKGQQLNASIIAQTRLTSPEEFGKILLKVNTDGSRVRLSDVAHIELGGENYEIIARFNGKPASGLGIKLATGANALDTASAVKAELSKLEPFFPSGLKVVYPYDTTPFVKISINEVVKTLVEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAIINTFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMDQIQGALVGIALVLSAVFIPMAFFGGSTGVIYRQFSITIVSAMVLSVIVALVLTPALCATMLKPIAKGEHGKTTGFFGWFNRMFDKSTHHYTDSVGHIVRSTGRYLLIYLLIVVGMAFLFLRLPSSFLPEEDQGVFLTMAQLPAGATQERTEKVLDQVTDYYLNNEKANVNSVFTVNGFGFAGRGQNTGIAFISLKPWDERPGAENKVPAIAGRAMTALSAIKDAMVIPFNLPAIVELGTATGFDFQLVDQGGLGHEKLTAARNQLLGMIAQHPDTLVGVRPNGLEDTPQFKLSIDQEKAQALGVSLSNINTTLAAAWGGSYVNDFIDRGRVKKVYVMAAAPYRMLPDDIGNWYVRATNGEMVSFNAFSSAQWVYGSPRLERYNGLPSMELLGQPAAGKSSGEAMNLMQELAGKLPTGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALIFTTLRGLSNDVYFVVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLAISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFGKNKEDGERSHAVDNH ->ARGMiner~~~APH(4)-Ib~~~CAA52372.1~~~aminoglycoside unknown +>ARGMiner~~~APH(4)-Ib~~~CAA52372.1~~~aminoglycoside~~~unknown MLQTSKKKSGHDESWANADAHKWRGERKRDNRKIVLSGTTKLLFVAEEQFQLIPPPSYCVSLVPKLPSNVTQPLFEYCFAPRILFFYALKKMTQHTKLCKLSSLIWREMWAISSRLQWQCVCAARRITMRNGGWKFIEMLSCWSDMVHKHESVLISTLPSFINFLVGPFRSAGAEPGGMHRRVDPPRPLSPALIEAFDGVMQLSGAPSRGVTPTPRGPDALGRITDSRGGSEAGYRFNMCNRAVPSAALPIGEVLDIGEFSGKRTYLAAVHRAREQDLPETELPAVLQPCTGMAHAIAAADLSHTSGFAPFGPQGMGQETPWRDKRDCYFDPQVYYWLSQMGDTLRASVAQGFEKRMLWAEDCPEARHLRIHVKGSNAALPEPGPKTWAGDGSQAVWAGRLRPTQDSRYVVASIFPWRPW ->ARGMiner~~~APH(3')-Ia~~~CAE51638.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~CAE51638.1~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNLDADLYGYRWARDNVGQSGATIYRLYGKPNAPELFLKHGKGSVANDVTDEMVRLNWLTAFMPLPTIKHFIRTPDDAWLLTTAIPGKTAFQVLEEYPDSGENIVDALAVFLRRLHSIPVCNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRLFQKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~acrB~~~YP_002240037~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_002240037~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLSILKLPVAQYPTIAPPAISITAMYPGADAETVQNTVTQVIEQNMNGIDHLMYMSSNGDSTGTATITLTFESGTDPDIAQVQVQNKLALATPLLPQEVQQQGISVEKASSSFLMVVGVINTNGTMNQDDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISALKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTNTEEFGNILLKVNQDGSQVRLRDVAKIELGGESYDVVAKFNGQPASGLGIKLATGANALDTANAIRAELAKMEPFFPSGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGSHGATTGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLVLYLIIVVGMAWLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTNYYLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSQRPGEENKVEAITGRAMGYFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGMVAQHPDVLTGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYIMSEAKYRMLPEDIGKWYVRGSDGQMVPFSAFSTSRWEYGSPRLERYNGLPSLEILGQAAPGKSTGEAMSLMEELAGKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATILAIFFVPVFFVVVRRRFSKKSEDIEHSHQVEHH ->ARGMiner~~~AAC(6')-29b~~~ACI31326.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-29b~~~ACI31326.1~~~aminoglycoside~~~unknown VSILPVKEQDAADWLALRNLLWLADDHASEIEQYFSGGFEEPAEVLIARDATGAAVGHVELSIRHDLEELQGIKTGYIEGLYVAPSHRSTDLVRRFLRESEKWALEQGCSAFASDRSDRVITHRKFAGSAV ->ARGMiner~~~CMY-48~~~ADP02979.1~~~beta_lactam unknown +>ARGMiner~~~CMY-48~~~ADP02979.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~CMY-78~~~AFK73443.1~~~beta_lactam unknown +>ARGMiner~~~CMY-78~~~AFK73443.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPGDVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKSSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYLEGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~L1~~~CAB75346.1~~~beta_lactam unknown +>ARGMiner~~~L1~~~CAB75346.1~~~beta_lactam~~~unknown MRSTLLAFALSSLALAATLFTFDGAAADASLPQLQAYTVDPSWLQTMAPLQIADHTWQIGTHDLTALLVQTADGLVLIDGGMPQMASYLLTNMKARGTNTGPLRMVLLSHAHTDHAGPVAEIKRRTGAQVVVNAETAVLLARGGSDDLHFGDEITFPPVNADRIVMDREVVKLGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLQGNARYPRLVEDYRRSFATVRGLPCDLLLTPHPGASNWNYAAGSNASEKVLSCKAYADAAEKKFDAQLAKETAGAR ->ARGMiner~~~EmrE~~~AAN80811~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~EmrE~~~AAN80811~~~multidrug~~~Multi-drug efflux pumps MLPGRVNSFVSLGFLLIIIVPAFISCHARAPWIHIHQDENGELCSNCSTILSSMNRKEYAMNPYIYLGGAILAEVIGTTLMKFSEGFTRLWPSVGTIICYCASFWLLAQTLAYIPTGIAYAIWSGVGIVLISLLSWGFFGQRLDLPAIIGMMLICAGVLVINLLSRSAPH ->ARGMiner~~~OXA-219~~~AEL17179.1~~~beta_lactam unknown +>ARGMiner~~~OXA-219~~~AEL17179.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~AAC(6')-IIb~~~AAA25680.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIb~~~AAA25680.1~~~aminoglycoside~~~unknown MHPGVVTLRPMTEDDIGMLHEWLNRPHIVEWWGGERPSLEEVKEDYRPSALAEEGVTPYIGLLDGTPFAFAQSYVALGSGGGWWEEETDPGVRGIDQSIADSGLLGRGYGTRLVQALVDLLFADPQVSKVQTDPSPNNMRAIRCYEKAGFRKVKVVSTPDGPAMYMLHERPLVNGLRSAA ->ARGMiner~~~lsaA~~~ZP_04433622~~~multidrug unknown +>ARGMiner~~~lsaA~~~ZP_04433622~~~multidrug~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLETLILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~Aac2Ic~~~YP_001848841~~~aminoglycoside unknown +>ARGMiner~~~Aac2Ic~~~YP_001848841~~~aminoglycoside~~~unknown MHTEVHTARLVHTADLDSETRQGIRQMVTAAFAGDFTDHDWEHALGGMHALIWHRGAIIAHGAVVQRRLIYRGSALRCGYVEGVAVREDWRGQGLATAVLDATEQVIRGAYELGALSSSAGARRLYTSRGWLPWHGPTSVLSPTGPTPTPDDDGTVFVLPVDASLDTSAELMCDWRAGDVW ->ARGMiner~~~OXA-370~~~AHF71363.1~~~beta_lactam unknown +>ARGMiner~~~OXA-370~~~AHF71363.1~~~beta_lactam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYETRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~MdtL~~~ZP_02901234~~~multidrug unknown +>ARGMiner~~~MdtL~~~ZP_02901234~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMATAMLFAGKVADRSGRKPVAIPGAALFIIASIFCSLAETSTLFIAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMTIMGVAVLMLSLFILKETRPAAPATSNKPQENTESLLNRFFLSRVLITTLSVSVILTFVNTSPVLLMEIMGFKRGEYATIMALTAGVSMTVSFSTPFALGILKPRTLMIASQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGVGAWNMLIGILIACSIVSLLLIMLVAPGRTVAAHEEIHHHA ->ARGMiner~~~QnrB58~~~AFR46589.1~~~quinolone unknown +>ARGMiner~~~QnrB58~~~AFR46589.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIDNSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~ramA~~~AFK13828.1~~~multidrug unknown +>ARGMiner~~~ramA~~~AFK13828.1~~~multidrug~~~unknown MINQEAEGESSMTISAQIIDTIVEWIDDNLHQPLRIEEIARHAGYSKWHLQRLFMQYKGESLGRYIRERKLLLAARDLRESDARVYDICLRYGFDSQQTFTRIFTRTFNQPPGAYRKENHSRAH ->ARGMiner~~~BacA~~~A8YZV4~~~bacitracin unknown +>ARGMiner~~~BacA~~~A8YZV4~~~bacitracin~~~unknown MFIIELIKGIILGVVEGLTEFAPVSSTGHMILVDDMWLKSSEFLGSQSAFTFKIVIQLGSVFAAAWVFRERFLEILHIGKHKHVEGDNDQQRRSKPRRLNLLHVLVGMVPAGILGLLFDDFIEEHLFSVPTVMIGLFVGAIYMIIADKYSAKVKNPQTVDQISYFQAFVIGISQAVAMWPGFSRSGSTISTGVLMKLNHKAASDFTFIMAVPIMLAASGLSLLKHYQDIQIADIPFYILGFLAAFTVGLIAIKTFLHLINKIKLIPFAIYRIVLVIFIAILYFGFGIGKGI ->ARGMiner~~~ErmF~~~ZP_04543635~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmF~~~ZP_04543635~~~macrolide-lincosamide-streptogramin~~~unknown MTKKKLPVRFTGQHFTIDKVLIKDAIKQANITQQDTVLDIGAGKGFITVHLLKNVNKVVAIENDLVLYKHLCKRFNNAQNVQVVGCDFRKFTVPLLPFKVVSNIPYGITSDIFRILMFDNVELFLGGSIVLQSEPAKKLVSSKVYNPYTVFYHTFYDLEFLYEISPGSFLPPPTVKSALLKIKRKQSSIDFELKVKYLAFISCLLQKPDLSVRTALKSIFRKSQVRSISEKFGINLNAQIVCLSPSQWKNCFLEMLEVVPEKFHPS ->ARGMiner~~~aadA23~~~CAH10847.1~~~aminoglycoside unknown +>ARGMiner~~~aadA23~~~CAH10847.1~~~aminoglycoside~~~unknown MTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~CTX-M-17~~~AAK71471.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-17~~~AAK71471.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAKGL ->ARGMiner~~~IMP-28~~~AFG73659.1~~~beta_lactam unknown +>ARGMiner~~~IMP-28~~~AFG73659.1~~~beta_lactam~~~unknown MSKLFVFFMFLFCSITAAAESLPDLKIERLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNTEAYLIDTPFTAKDTEKLVTWFVGRGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFGGVSYWLVKNKIEVFYPGPGHTPDNVVVWLPENRVLFGGCFVKPYGLGNLGDANLEAWPKSAKLLMSKYGKAKLVVPSHSEVGDASLLKRTLEHAVKGLNESKKPSKPSN ->ARGMiner~~~KPC-13~~~AEA73284.1~~~beta_lactam unknown +>ARGMiner~~~KPC-13~~~AEA73284.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLGTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~BL3_shw~~~YP_561834~~~beta_lactam unknown +>ARGMiner~~~BL3_shw~~~YP_561834~~~beta_lactam~~~unknown MEFSRMMLNLVRKVFTTGPRVLSVVSLLSVNVLGINLAQAVTSEESVPKFSIEPLANNVYLHQSFQQTESFGLVGANGLVVINEGKAFIVDTPWSESDTAVLVDWIKEQGYQLVGSVSTHSHEDRTAGIGWLNAHSIPTFASELTNQILKESEKPLASHPFALPQASLFDGQLEAFYPGGGHALDNLVVWLPKSNILFGGCLVRSLDSTSLGYTGEAVLEQWPTSAMKVLAKFPDVELVVPGHGEPGDKQLLIHTKALAESGAKQASAK ->ARGMiner~~~NDM-1~~~CAZ39946.1~~~beta_lactam unknown +>ARGMiner~~~NDM-1~~~CAZ39946.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGMVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~EmrD~~~YP_543187~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_543187~~~multidrug~~~unknown MIMKRHRNVNLLLMLVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLVAVTTSSLMVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLVLCAGVTFSMARWMPETRPVDAPRTRLLTSYKTLFGNSGFNCYLLMLIGGLAGIAAFEACSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLLMWIPDWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGLLIVLCWLPLATRMSHQGQPV ->ARGMiner~~~LEN-3~~~AAN05030.1~~~beta_lactam unknown +>ARGMiner~~~LEN-3~~~AAN05030.1~~~beta_lactam~~~unknown VISLLATLPLVVYAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQQIAGIGAALI ->ARGMiner~~~mdtF~~~YP_543006~~~multidrug unknown +>ARGMiner~~~mdtF~~~YP_543006~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTIYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~OXA-350~~~AGW83448.1~~~beta_lactam unknown +>ARGMiner~~~OXA-350~~~AGW83448.1~~~beta_lactam~~~unknown MYKKALIVTTSILFLSACSSNSVKQNQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTAYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDDFWLVGPLKITPQQETQFAYQLAHKTLPFSKNVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMNKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~BcII~~~ZP_02213597~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_02213597~~~beta_lactam~~~unknown MKERVEKMKNTLLKLGVCVSLLGITPFVSTISSVQAERKVEHKVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLILNTSKGLVLVDSSWDDKLTKELIEMAEKKFKKSVTDVIITHAHADRIGGIKTLKERGIKAHSTTLTAELAKKNGYEEPLGDLQAITKLKFGNMKVETFYPGKGHTEDNIVVWLPQYNMLVGGCLVKSASAKDLGNITDAYVNEWSTSIENVLKRYENINFVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~TEM-138~~~AAW47922.1~~~beta_lactam unknown +>ARGMiner~~~TEM-138~~~AAW47922.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPIDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~dfrA14~~~ACI32877.1~~~trimethoprim unknown +>ARGMiner~~~dfrA14~~~ACI32877.1~~~trimethoprim~~~unknown MRTLKVSLIAAKAKNGVIGCGPDIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGALPNRKYAVVTRSGWTSNDDNVVVFQSIEEAMDRLAEFTGHVIVSGGGEIYRETLPMASTLHLSTIDIEPEGDVFFPSIPNTFEVVFEQHFTSNINYCYQIWKKG ->ARGMiner~~~LRA-17~~~ACH58994.1~~~beta_lactam unknown +>ARGMiner~~~LRA-17~~~ACH58994.1~~~beta_lactam~~~unknown MSIFRTILFVSILLLTSLANSPHATAQVTNTDRPEWSKPYKPFRIAGNIYYVGTYDLACYLITTPQGHILINAALAGTVDQVKANVEALGFKFSDIKILLISQAHFDHVGGLAAIQKMTGAKVMIDDQDAPVVEDGGNSDYIYGGKGVGSLFAPVHVDRKLHDHDNITLGGTQLEMLHHPGHTKGSCSYLLTVKDEHRSYRVLIANIPYMLSEVTLPGMPTYPNVGKDFMYTYGAMRKLQFDIWVAAHSSQFGLQDVRKETDGYNPGAFGDKKKYLTTIDKTEDIYKEHFKGGK ->ARGMiner~~~BcI~~~P00809~~~beta_lactam unknown +>ARGMiner~~~BcI~~~P00809~~~beta_lactam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGESLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSNRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGIPTDWVVGDKSGAGSYGTRNDIAVVWPPNSAPIIVLISSKDEKEAIYNDQLIAEATKVIVKGS ->ARGMiner~~~MdtP~~~YP_002394083~~~multidrug unknown +>ARGMiner~~~MdtP~~~YP_002394083~~~multidrug~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPIVEKK ->ARGMiner~~~tolC~~~YP_002384075~~~multidrug unknown +>ARGMiner~~~tolC~~~YP_002384075~~~multidrug~~~unknown MQMKKLLPILIGLSLSGFSALSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNIDNFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGANSAQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYNYLINQLNIKSALGTLNEQDLIALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSVRTSNGNNPFRN ->ARGMiner~~~tetB~~~BAC67136~~~tetracycline unknown +>ARGMiner~~~tetB~~~BAC67136~~~tetracycline~~~unknown MNSSTKIALVITLLDAMGIGLIMPVLPTLLREFIASEDIANHLGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLNNATGIIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~CTX-M-44~~~1BZA~~~beta_lactam unknown +>ARGMiner~~~CTX-M-44~~~1BZA~~~beta_lactam~~~unknown ANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTAPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAERRRDILAAAAKIVTHGF ->ARGMiner~~~BacA~~~YP_001669149~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001669149~~~bacitracin~~~unknown MDFWTAFQAIILGVVEGLTEFLPISSTGHQIIVADLIGFGGERAMAFNIIIQLAAILAVVWEFRGKILEVVFGLTSQPKARRFTGNLLLAFMPAVVLGVLFADLIHEYLFNPITVATALVVGGVIMLWAERREHRVQVDHVDDMRWSHALKIGFVQCLAMIPGTSRSGSTIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRDLFQPADLPVFAIGFVTSFIFAMIAVRALLKFIANHSYAAFAWYRIVFGLLILATWQFGWVDWSTAHG ->ARGMiner~~~qepA~~~AEZ36150.1~~~multidrug unknown +>ARGMiner~~~qepA~~~AEZ36150.1~~~multidrug~~~unknown MSATLHDTAADRRKATRREWIGLAVVALPCLVYAMDLTVLNLALPVLSRELQPSSAQLLWILDIYGFFVAGFLITMGTLGDRIGRRRLLLIGAAFFAFASVLAALADTAALLIAARALLGLAGATIAPSTMALVRNMFHDPRQRQFAIGVWIAAFSLGSAIGPLVGGVLLEFFHWGAVFWLNVPVMLLTLALGPRFLPEYRDPDAGHLDLASVLLSLAAVLLTIYGLKQLAEHGEGLASMAALLAGLAVGALFLRRQGHIAYPLLDLRLFAHALFRAALAAYALAALAMFGVYIFMTQYLQLVLGLSPLQAGLATLPCSLCFVIGSLLSPQLAARWPAARILVVGLSAAAFGFAVLGLGQGLWWLVPATIVKGLGLAPVFTIGNEIIITSAPSERAGAASALSETVSEFSGALGIALFGSVGLVVYRQALTSAALPGLPADALQTAGASLGGAVHLADTLPAWQGAALLAAARAGFTDALQATAWAGAVLVLVAAGLVARLLRKRPALASG ->ARGMiner~~~PER-4~~~ACE77058.1~~~beta_lactam unknown +>ARGMiner~~~PER-4~~~ACE77058.1~~~beta_lactam~~~unknown MNVIIKAVVTASTLLMVSFSSFETSAQSPLLKEQIESIVIGKKATVGVAVWGPDDLEPLLINPFEKFPMQSVFKLHLAMLVLHQVDQGKLDLNQTVIVNRAKVLQNTWAPIMKAYQGDEFSVPVQQLLQYSVSHTDNVACDLLFELVGGPAALHDYIQSMGIKETAVVANEAQMHADDQVQYQNWTSMKGAAEILKKFEQKTQLSETSQALLWKWMVETTTGPERLKGLLPAGTVVAHKTGTSGIKAGKTAATNDLGIILLPDGRPLLVAVFVKDSAESSRTNEAIIAQVAQTAYQFELKKLSALSPN ->ARGMiner~~~APH(6)-Ia~~~CAA68516.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Ia~~~CAA68516.1~~~aminoglycoside~~~unknown MSSSDHIHVPDGLAESYSRSGGEEGRAWIAGLPALVARCVDRWELKRDGGVRSGEASLVVPVLRADGTRAALKLQMPREETTAALIGLRAWGGDGMVRLLDHDEESSTMLLERLDGSRTLASVEDDDEAMGVLAGLLNRLHSVPAPPGLRGLGEIAGAMVEEVPSAVDSLADPEDRSRLRGWASAVAELVGEPGDRVLHWDLHYENVLAAEREPWLAIDPEPLVGDPGFDLWPALDTGWERIEATGDARRVVRRRFDLLTESLELDRGRAAGWTLARLLQNTLWDIEDGLTAIAPSQIAVAEALAKP ->ARGMiner~~~BL2e_cfxa~~~ZP_04543532~~~beta_lactam unknown +>ARGMiner~~~BL2e_cfxa~~~ZP_04543532~~~beta_lactam~~~unknown MKKSRRKRLVILCIALVCIIVLVFLLFSKSTSNNSTNPPLTDVLTDSISRIVSACPGEIGVAIIINNTDTVKVNDKSVYPMMSVFKVHQALALCNDFDNKGISLDTLVKIDRNRLDSKTWSPMMKDYSELVISLTVRDLLRYTIAQSDNNASNLMFKDMVNVAQTDSFIATLIPRSSFQIAYTEEEMSADHDRAYFNYTSPLGAAMLMNRLFTESIVSGEKQSFIKNTLKECVTGTDRIVAPLLDKERVSIAHKTGSGDVNENGILAAHNDVAYICLPNNVCYTLAIFVKDFKGNESQASQYVAHISEVVYSLLIQNSAIP ->ARGMiner~~~vanSC~~~AAF86642.1~~~glycopeptide unknown +>ARGMiner~~~vanSC~~~AAF86642.1~~~glycopeptide~~~unknown MKNRNPLIRKLLTQYFVTTGILLAFLVMIPLVIRFIAGTRTWYGTEPIYYILRFFADRWLFCVAIGALLIWFGTTIYYMTKAIGYLNETIQATTQLIEEPSKRITLSSHLIDVQEEMNQLREKSLQDQRAAKEAEQRKNDLIVYLAHDLRTPLTSVIGYLTLLKEEPQLSNAMRNRYTEIALQKAQRLELLISEFFEITRFNLTTIVLQTETTDLSLMLEQLTFEFLPLLEEKNLNWQLNLQKNVLATVDTEKIARVFDNLIRNAINYSYPDSPLLLELVESDSIHIRLTNRGKTIPEEMIGRLFEPFYRMDSSRATATSGTGLGLPIAKEILLASGGDISAESKDETIIFNVRLPKPANN ->ARGMiner~~~CTX-M-54~~~ABC18328.3~~~beta_lactam unknown +>ARGMiner~~~CTX-M-54~~~ABC18328.3~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEQTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~bacA~~~YP_002638807~~~bacitracin unknown +>ARGMiner~~~bacA~~~YP_002638807~~~bacitracin~~~unknown MSDMHSLLIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQREGESKGRLTLIHILLGMIPAVVLGLVFHDTIKSLFNPINVMYTLVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATVLDLYKSWSFLTAADIPMFAVGFVTAFVVALIAIKTFLQLIKRISFIPFAIYRFVVAAAVYVVFF ->ARGMiner~~~QnrB30~~~ADM52194.1~~~quinolone unknown +>ARGMiner~~~QnrB30~~~ADM52194.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSSDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~TEM-111~~~AAL77062.1~~~beta_lactam unknown +>ARGMiner~~~TEM-111~~~AAL77062.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGADERGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~CTX-M-37~~~AAT70415.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-37~~~AAT70415.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLHAQTADVQQKLAELEQQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~BLA1~~~ZP_04145743~~~beta_lactam unknown +>ARGMiner~~~BLA1~~~ZP_04145743~~~beta_lactam~~~unknown MEQIYFGDCLLYVSKKSVKNLKGMMILKNKRLLKIGICVGILGLSLTSLEAFTGGSLQVEAKEKNGQIKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYENALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIAKNLKDLTVGNALPHQKRNILTDWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRSPIIIAILSNKDEKGATYDNQLIAEAAEVVVNAFK ->ARGMiner~~~MdtO~~~ZP_03064513~~~multidrug unknown +>ARGMiner~~~MdtO~~~ZP_03064513~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQIVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPLLMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAITEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~adeS~~~ADM92606.1~~~multidrug unknown +>ARGMiner~~~adeS~~~ADM92606.1~~~multidrug~~~unknown MKSKLGISKQLFIALTIVNLSVTLFSIVLGYIIYNYAIEKGWISLSSFQQEDWTSFHFVDWIWLATVIFCGCIISLVIGMRLAKRFIVPINFLVEAAKKISHGDLSARAYDNRIHSAEMSELLYNFNDMAQKLEVSVKNAQVWNAAIAHELRTPITILQGRLQGIIDGVFKPDEVLFKSLLNQVEGLSHLVEDLRTLSLVENQQLRLNYELFDLKAVVEKVLKAFEDRLDQAKLVPELDLTSTPVYCDRRRIEQVLIALIDNAIRYSNAGKLKISSEVVADNWILKIEDEGPGIATEFRDDLFKPFFRLEESRNKEFGGTGLGLAVVHAIIVALKGTIKYSNQGSKSVFTIKISMGHEEMG ->ARGMiner~~~SHV-36~~~AAL82592.1~~~beta_lactam unknown +>ARGMiner~~~SHV-36~~~AAL82592.1~~~beta_lactam~~~unknown MRYVRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-380~~~AHL30280.1~~~beta_lactam unknown +>ARGMiner~~~OXA-380~~~AHL30280.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEIFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSLKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-92~~~ABC61637.1~~~beta_lactam unknown +>ARGMiner~~~OXA-92~~~ABC61637.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGEKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGSVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~vatF~~~AAF63432~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~vatF~~~AAF63432~~~macrolide-lincosamide-streptogramin~~~unknown MEDKPILGPDPQCKHPMVGFSQVCFIKNTTQNPNIIIGDYTYYDDPQDSENFERNVLYHYPFIGDKLIIGKFCALAHGVKFIMNGANHKMSGLSTYPFNIFGNGWERVAPSRDELPYKGDTHVGNDVWIGYDVLIMPGVTIGNGAIISSRSVVTRDVPAYSVVGGNPATLIKNRFSAEVIGKLQTIAWWDWPIDAISRNLHLIVAGDIEALARAASEIDHT ->ARGMiner~~~SHV-97~~~ABN49114.1~~~beta_lactam unknown +>ARGMiner~~~SHV-97~~~ABN49114.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGKRGARGIVALLGPNNKAERIVVIYLRDSPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~QnrB23~~~ACS71747.1~~~quinolone unknown +>ARGMiner~~~QnrB23~~~ACS71747.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFYCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~norM~~~ZP_02194849~~~multidrug unknown +>ARGMiner~~~norM~~~ZP_02194849~~~multidrug~~~unknown MHRYKEEASSLIKLATPVLIASVAQTGMGFVDTVMAGGVSATDMAAVSVAASIWLPSILFGVGLLMALVPVVAQLNGSGRRVKIPFEIQQGIVLALLISIPIIGVLFQTQFILELMDVEAVMTEKTIGYIHAVIFAVPAFLLFQTLRSFTDGMSLTKPAMFIGFVGLMLNIPLNWIFVYGKFGAPALGGVGCGVATTIVYWIMFGLLLLYVTTSARLKSINLFGEFHKPQIKAQIRLFKLGFPVAAALFFEVTLFAVVALLVSPLGPIIVAAHQVAINFSSLVFMLPMSVGAAVSIRVGHRLGEENVDGARVASRVGVIVGLVLAMITAILTVLFREQIALLYTNSPEVVELAMVLLLFAAIYQCTDAVQVIAAGALRGYKDMRAIFNRTFIAYWLLGLPIGYVLGRTDWVVEPMGAQGFWLGFIIGLSSAAFLLGIRLRWMHRQAPEVQLNFSQH ->ARGMiner~~~FosB~~~ZP_04174269~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04174269~~~fosfomycin~~~unknown MLRGINHLCFSVSDLEKSIVFYEKILEGELLVKGRKLAYFNICGVWVALNEEVHIPRNEIHQSYTHISFTVEQEDFNCLLKRLEENEVHILKGRERDVRDCESIYFQDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~AcrB~~~ZP_02346647~~~multidrug unknown +>ARGMiner~~~AcrB~~~ZP_02346647~~~multidrug~~~unknown MANFFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRLKDPEEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLSPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGFFGWFNTKFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMAVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIDQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAPGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVISRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~MdfA~~~AAC44147~~~multidrug unknown +>ARGMiner~~~MdfA~~~AAC44147~~~multidrug~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGGAGAADSLVCRCWRGSPQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~TEM-48~~~CAA71323.1~~~beta_lactam unknown +>ARGMiner~~~TEM-48~~~CAA71323.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASKRGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~FosB~~~ZP_04200496~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04200496~~~fosfomycin~~~unknown MIQSINHICFSVANLEKAIDFYQTILQAKLLVKGRKVAYFDLNGLWIALNVEESIPRNEIQYSYTHIAFTVTNNEFDSLKEILIQNHVNILPGRERDDRDKRSIYFTDLDGHKFEFHTGTLQDRLQYYKEDKKHMTFY ->ARGMiner~~~vanXF~~~AAF36804.1~~~glycopeptide unknown +>ARGMiner~~~vanXF~~~AAF36804.1~~~glycopeptide~~~unknown MEKDFVFLDEILHGVRWDSKYATWDNFTGKPVDGYEVNRIAGTYALAVALLEVKKQAAALGYGLLLWDGYRPQRAVNCFLHWSAQPEDGRTKERYYPNIDRIEMVTKGYVASKSSHSRGSAIDLTLYRLDTGALVPMGSGFDFMDERSHHTSKGISSNEAQNRQLLCSIMEYSGFESYVYEWWHYVLRNEPYPSSYFDFPIGGNHLDPFSNFCGTVPLDALSP ->ARGMiner~~~CMY-83~~~AFU25638.1~~~beta_lactam unknown +>ARGMiner~~~CMY-83~~~AFU25638.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANNRPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTHYWPELTGKQWQGISLLHLATYTAGGLPLQVPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVNPSGMSYEEAMTKRVLRPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMTRWVQANMDASQVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPVKADSIISGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~BacA~~~ZP_02993449~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_02993449~~~bacitracin~~~unknown MLLILKAIIIGIVEGITEFLPVSSTGHMIIAGSLIGFDGTVYRKAYTDMFSVVIQLGAILAVVVLYWDKIMSTLKNFLPSDRVPVKKCGLKFWINIGIASIPAAAIGIPFNDKIEEKLFYPWPVTIALIVGAIWMIYAENRYRNNSKTTSIDDINAKQAIIIGLFQCLALWPGMSRSASTIIGAWIVGLSTVAAAEFSFFLAIPAMIGASGMSLIKHNVFSTCSSIELIALAAGFIVSFIVALVVIDKFIAFLKKKPMKVFAIYRIALGIILIILLYSNIIKWH ->ARGMiner~~~CfxA2~~~AAD23513.1~~~beta_lactam unknown +>ARGMiner~~~CfxA2~~~AAD23513.1~~~beta_lactam~~~unknown MEKNRKKQIVVLSIALVCIFILVFSLFHKSATKDSANPPLTNVLTDSISQIVSACPGEIGVAVIVNNRDTVKVNNKSVYPMMSVFKVHQALALCNDFDNKGISLDTLVNINRDKLDPKTWSPMLKDYSGPVISLTVRDLLRYTLTQSDNNASNLMFKDMVNVAQTDSFIATLIPRSSFQIAYTEEEMSADHNKAYSNYTSPLGAAMLMNRLFTEGLIDDEKQSFIKNTLKECKTGVDRIAAPLLDKEGVVIAHKTGSGYVNENGVLAAHNDVAYICLPNNISYTLAVFVKDFKGNESQASQYVAHISAVVYSLLMQTSVKS ->ARGMiner~~~BcI~~~ZP_04278944~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04278944~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQETYKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPVEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~CTX-M-14~~~AAT45018~~~beta_lactam unknown +>ARGMiner~~~CTX-M-14~~~AAT45018~~~beta_lactam~~~unknown MVTKKVQRMMFAAAACIPLLLSSAPLYAQTSAVQQKLATLEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIRPTDLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~bacA~~~YP_001573343~~~bacitracin unknown +>ARGMiner~~~bacA~~~YP_001573343~~~bacitracin~~~unknown MSDMHSLLIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQREGESKGQLTLIHILLGMIPAMVLGLVFHDTIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATVLDLYKSWSFLSAADIPMFAVGFVTAFVVALIAIKTFLQLIKRISFIPFAIYRFVVAAAVYVVFF ->ARGMiner~~~tet32~~~ZP_03989103~~~tetracycline unknown +>ARGMiner~~~tet32~~~ZP_03989103~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYTSGAIAEQGNVDKGTTRTDTMILERQRGITIQTAVTSFCWNDYKINIVDTPGHMDFLTEAYRSLSVLDGAVLVISAKDGVQAQTRILFHALQKMDIPTIIFINKIDQNGIDLRRVYQSIKDKLTSDMIVMQEVSLSPKITMTDISDLDKWDMIISGSDELLERYVAEDSLDIQELQYEKCKRTRCCSLFPVYHGSAKDNLGTEKLIETITETFITETDDIQSELCGYVFKVEYTERKKRLSYLRLYHGTLHLRDTLLLSKKEKIKITEMCIPSNGEIVPVDHACPGEIVILADDTLKLNDILGNEKLLPHKTRIDNPMPLLRTTVEPQKPEQREALLNALTEIADTDPLLHFDIDTVTHEIILSFLGKVQLEVICSLLEEKYHVGVAMKEPSVIYLERPQKKASYTIHIEVPPNPFWASIGLTVTPLPVGSGTQYKSEVSLGYLNQSFQNAVMEGVRYGMEQGLYGWGVTDCQICFDYGVYYSPVSTPADFRFLAPVVLEQALKKAGTQLLEPYLSFTLFAPQEYLSRAYNDAPKYCAIIESTRLEKDEVIFKGEIPARCIGEYRNDLNFYTNGRSVCITELKGYQETSGEPVFQPRRPNSRLDKIRHMFQKIM ->ARGMiner~~~SHV-107~~~CAQ03507.1~~~beta_lactam unknown +>ARGMiner~~~SHV-107~~~CAQ03507.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKAGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~SHV-18~~~AAF64386.1~~~beta_lactam unknown +>ARGMiner~~~SHV-18~~~AAF64386.1~~~beta_lactam~~~unknown MRYFRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGSVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAAKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~FEZ-1~~~CAB96921.1~~~beta_lactam unknown +>ARGMiner~~~FEZ-1~~~CAB96921.1~~~beta_lactam~~~unknown MKKVLSLTALMMVLNHSSFAYPMPNPFPPFRIAGNLYYVGTDDLASYLIVTPRGNILINSDLEANVPMIKASIKKLGFKFSDTKILLISHAHFDHAAGSELIKQQTKAKYMVMDEDVSVILSGGKSDFHYANDSSTYFTQSTVDKVLHDGERVELGGTVLTAHLTPGHTRGCTTWTMKLKDHGKQYQAVIIGSIGVNPGYKLVDNITYPKIAEDYKHSIKVLESMRCDIFLGSHAGMFDLKNKYVLLQKGQNNPFVDPTGCKNYIEQKANDFYTELKKQETA ->ARGMiner~~~FosX~~~YP_002349827~~~fosfomycin unknown +>ARGMiner~~~FosX~~~YP_002349827~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLKEIFNAEEIYSSGDKIFSLSKEKFFLIAGLWICIMEGESLQERTYNHIAFQIQSEEVDEYIERIKALGVEMKPERPRVEGEGHSIYFYDFDNHLFELHAGTLEERLKRYHE ->ARGMiner~~~OpcM~~~ZP_03583211~~~multidrug unknown +>ARGMiner~~~OpcM~~~ZP_03583211~~~multidrug~~~unknown MLEDNKMDNMHNTNGLMRIAKVAAASTLLATLLAACAVGPDYKRPDVTTPAAFKEAPTLAPGEQAGTWKPAEPADGAHRGEWWKVFGDPVLDALEEQALAANQNLKAAAARVEEARAATRTARSQWFPQVGVGFGPTREGLSSASQFQPQGTGPTNATLWRAQGTVSYEADLFGRVSRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYRRTVELREEALKLVQRRFNEGDISELDVSRAKNELASAQADAVGVARRRAASEHALAILLGKAPADFAFKETPLVPVAVKIPPGLPSALLERRPDVAAAERAMAAANARIGLAKSAYFPKLDITGSFGYEASTLGNLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQNAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGEAPTAVGGAAAKAEIAGR ->ARGMiner~~~acrB~~~ZP_03835677~~~multidrug unknown +>ARGMiner~~~acrB~~~ZP_03835677~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAIAITAMYPGADAETVQNTVTQVIEQNMNGIDHLMYMSSNGDSTGTATITLTFESGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKASSSFLMVVGVINTNGTMNQDDISDYVAANMKDTISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDIINALKTQNAQVAAGQLGGTPPVKGQQLNASIVAQTRLTNTEEFGKILLKVNQDGSQVRLRDVAKIELGGESYDVVAKFNGQPASGLGIKLATGANALDTANAIRAELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLAEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAIFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFDKSTHHYTDSVGGILRSTGRYLALYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMAQLPAGATQERTQKVLDEVTNYYLTKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGEENKVEAITGRAMGAFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQAGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPEDIGNWYVRGGDGQMVPFSAFSTSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEQLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHSVDHH ->ARGMiner~~~Bcr~~~YP_002147194~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_002147194~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSAQMTLSTYILGFALGQLIYGPMADSLGRKPVILGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAIAAILASVMIFALIKETLPVERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVPPQDFGYYFALNIVFLFVMTIINSRFVRRVGALNMFRAGLWIQFAMAVWMVFSALMGIGFWALVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFTSAWPMIWSIAICAACSILFYLYASRPKKR ->ARGMiner~~~MdtO~~~YP_691421~~~multidrug unknown +>ARGMiner~~~MdtO~~~YP_691421~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQIVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPNRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAVAARECNLENICQTLLQLGQMNPNTPPTPAAKPPSMVADAFTNPDYIRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAMIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVVLERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~CTX-M-136~~~AGG08693.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-136~~~AGG08693.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~evgS~~~AAC75429.1~~~multidrug unknown +>ARGMiner~~~evgS~~~AAC75429.1~~~multidrug~~~unknown MKFLPYIFLLCCGLWSTISFADEDYIEYRGISSNNRVTLDPLRLSNKELRWLASKKNLVIAVHKSQTATLLHTDSQQRVRGINADYLNLLKRALNIKLTLREYADHQKAMDALAEGEVDIVLSHLVTSPPLNNDIAATKPLIITFPALVTTLHDSMRPLTSPKPVNIARVANYPPDEVIHQSFPKATIISFTNLYQALASVSAGHNDYFIGSNIITSSMISRYFTHSLNVVKYYNSPRQYNFFLTRKESVILNEVLNRFVDALTNEVRYEVSQNWLDTGNLAFLNKPLELTEHEKQWIKQHPNLKVLENPYSPPYSMTDENGSVRGVMGDILNIITLQTGLNFSPITVSHNIHAGTQLSPGGWDIIPGAIYSEDRENNVLFAEAFITTPYVFVMQKAPDSEQTLKKGMKVAIPYYYELHSQLKEMYPEVEWIQVDNASAAFHKVKEGELDALVATQLNSRYMIDHYYPNELYHFLIPGVPNASLSFAFPRGEPELKDIINKALNAIPPSEVLRLTEKWIKMPNVTIDTWDLYSEQFYIVTTLSVLLVGSSLLWGFYLLRSVRRRKVIQGDLENQISFRKALSDSLPNPTYVVNWQGNVISHNSAFEHYFTADYYKNAMLPLENSDSPFKDVFSNAHEVTAETKENRTIYTQVFEIDNGIEKRCINHWHTLCNLPASDNAVYICGWQDITETRDLINALEVEKNKAIKATVAKSQFLATMSHEIRTPISSIMGFLELLSGSGLSKEQRVEAISLAYATGQSLLGLIGEILDVDKIESGNYQLQPQWVDIPTLVQNTCHSFGAIAASKSIALSCSSTFPEHYLVKIDPQAFKQVLSNLLSNALKFTTEGAVKITTSLGHIDDNHAVIKMTIMDSGSGLSQEEQQQLFKRYSQTSAGRQQTGSGLGLMICKELIKNMQGDLSLESHPGIGTTFTITIPVEISQQVATVEAKAEQPITLPEKLSILIADDHPTNRLLLKRQLNLLGYDVDEATDGVQALHKVSMQHYDLLITDVNMPNMDGFELTRKLREQNSSLPIWGLTANAQANEREKGLSCGMNLCLFKPLTLDVLKTHLSQLHQVAHIAPQYRHLDIEALKNNTANDLQLMQEILMTFQHETHKDLPAAFQALEAGDNRTFHQCIHRIHGAANILNLQKLINISHQLEITPVSDDSKPEILQLLNSVKEHIAELDQEIAVFCQKND ->ARGMiner~~~MdtO~~~NP_756937~~~multidrug unknown +>ARGMiner~~~MdtO~~~NP_756937~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIASLFLIYKWSYGEPLIRLIIAGPILMSCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEAVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHSITEGQCWQSDWRISESEAMTARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLHIRIGLHAAFNACEEMCQRVVLERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGVATALSHSPQITLEETSASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~MdfA~~~YP_002239509~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_002239509~~~multidrug~~~unknown MQNYSLSGRRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVQEFQVGNEWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLTGVVWFIVTCLATLLAQTIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEMMFVLFAVLAAISFVGLQRAMPETATRLGEKLSVKELGRDYRLVLKNLRFVAGALATGFVSLPLLAWIAQSPVIIISGEQATSYEYGMLQVPIFGALIAGNLVLARLTSRRTVRSLIIMGGWPIMFGLILSAAATVVSSHAYLWMTAGLSFYAFGIGLANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIELSKHAYELGGNGLFSLFNLLGGVLWLGLMIYFLKDKSVGNSQQA ->ARGMiner~~~MCR-1~~~AKF16168~~~polymyxin unknown +>ARGMiner~~~MCR-1~~~AKF16168~~~polymyxin~~~unknown MMQHTSVWYRRSVSPFVLVASVAVFLTATANLTFFDKISQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLMRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASAPKDTIYHAKDAVQATKPDMRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVTSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNAICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFIAQSIQWLQTHSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~TEM-78~~~AAF05612.1~~~beta_lactam unknown +>ARGMiner~~~TEM-78~~~AAF05612.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMVSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRREPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERDRQIAEIGASLIKHW ->ARGMiner~~~CTX-M-14~~~ABY66120~~~beta_lactam unknown +>ARGMiner~~~CTX-M-14~~~ABY66120~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFSQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~DHA-12~~~CDL68900.1~~~beta_lactam unknown +>ARGMiner~~~DHA-12~~~CDL68900.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADVEAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMSLNDPAAKYRPELTQPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLHFYQQWQPSWKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTTRILSPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIVNGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~acrB~~~CAC35725~~~multidrug unknown +>ARGMiner~~~acrB~~~CAC35725~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLSIMKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFQSGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPTKLNNFQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDIIAKFNGQPASGLGIKLATGANALDTANAIRAELAKMEPYFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAVFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGGHGEHKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLSMAQLPAGASQERTQKVLDEMTDYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGSENKVEAITGRAMARFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGMVAQHPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPEDIGNWYVRGSDGQMVPFSAFSTSHWEYGSPRLERYNGLPSMEILGQAAPGRSTGEAMAMMEQLASKLPSGVGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFTKKTEDIEHNHPVEHH ->ARGMiner~~~vanSF~~~AAR84673.1~~~glycopeptide unknown +>ARGMiner~~~vanSF~~~AAR84673.1~~~glycopeptide~~~unknown MGKILRGFRSKMIILLGLSMLLSSAITYLLFKVLQLYYYTSVDYGDTLAYFRKIIQNIGDFNVFLLLFILLSILFFFLLTKPYSAYFNEISKGIHYLAQGDFKHRVQILSNDEFSDIAQSINLASEKLEQAIERGDFSESSKEQLVVNLAHDLRTPLTSVLGYLDLILKDDNLTENQIRHYLTIAFTKSQRLERLIDELFEITRMNYGMLPIKKKQIDLSELLIQLKEELYPVFEKNDLIARMNITSPLSIMGDGELLARVFENLLINANRYGYEGQYVDINGFIDSEEVVIQVINYGDAIPPDELPHIFDMLFTGDKARTHQENSTGLGLFIAENIVEQHNGTITAESDLIRTIFEVRLPMADS ->ARGMiner~~~ErmB~~~AAF86219.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmB~~~AAF86219.1~~~macrolide-lincosamide-streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNTRVTLIHQDILQFQFPNKQRYKIVGSIPYNLSTQIIKKVVFESRASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPKVNSVLIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTITYEQVLSIFNSYLLFNGRKLIL ->ARGMiner~~~AmrB~~~CAR51973~~~multidrug unknown +>ARGMiner~~~AmrB~~~CAR51973~~~multidrug~~~unknown MARFFIDRPVFAWVIAIFIMLGGLFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTALIEREMNGAPGLLYTSATSSAGAASLYLTFKQGVNADLAAVEVQNRLKTVDARLPEPVRRDGIQVEKAADNIQLVVSLTSDDGRMTDVQLGEYASANVVQALRRVEGVGKVQFWGAEYAMRIWPDPVKMAGHGLTASDIASAVRAHNARVTIGDIGRSAVPASAPIAATVFADAPLKTPADFGAIALRARPDGSALFLRDVARVEFGGNDYNYPSYVNGKVATGMGIKLAPGSNAVSTEKRVRATMDELSAYFPPGVKYQIPYETSSFVRVSMNKVVTTLIEAGVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGVMYAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEGLGPYDATVKAMRQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFALSLAVSIGFSAFLALSLTPALCATLLKPVSGDHHEKGGFFGWFNRFVARATQRYATRVGTMLKKPVRWLVVYGALTAAAALMLTQLPTAFLPDEDQGNFMVMVIRPQGTPLAETMQSVREVESAIRRDEPTAYTYALGGFNLYGEGPNGGMIFVTLKNWKERKAERDHVQSIVARINERFAGTPNTTVFAMNSPALPDLGSSSGFDFRLQNRGGLDYATFSAAREQLLAVGGKDRALTDLMFAGTQDAPQLKLDIDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVMVQADGLHRLDPDDVKKLRVRNARGEMVPLAAFTTLHWTLGPPQLTRYNGYPSFTINGSAAAGHSSGEAMSAIERIAAKLPAGIGYAWSGQSFEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVLGVTLRMMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLVDAALEAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQMAIGTGVLGGVITATVLAVFLVPLFFVIVGRLFDVGPRRRGGAQPTTMEGSQP ->ARGMiner~~~GES-5~~~AAR97270.1~~~beta_lactam unknown +>ARGMiner~~~GES-5~~~AAR97270.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~BacA~~~YP_040163~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_040163~~~bacitracin~~~unknown MFIIELIKGIILGIVEGLTEFAPVSSTGHMILVDDMWLKSSEFLGSQSAFTFKIVIQLGSVFAAAWVFRERFLEILHIGKHKHVEGDNNQQRRSKPRRLNLLHVLVGMVPAGILGLLFDDFIEEHLFSVPTVMIGLFVGAIYMIIADKYSAKVKNPQTVDQISYFQAFVIGISQAVAMWPGFSRSGSTISTGVLMKLNHKAASDFTFIMAVPIMLAASGLSLLKHYQDIQIADIPFYILGFLAAFTVGLIAIKTFLHLINKIKLIPFAIYRIVLVIFIAILYFGFGIGKGI ->ARGMiner~~~tetW~~~ACA23185.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~ACA23185.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISREKLAREEQQRVQDASLFPVYHGSAKNGLGIQPLMDAVTGLFQPIGEQGGAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALGGREKLKITEMRIPSKGEIVRTDTAYQGEIVILPSDSVRLNDVLGDQTRLPRKRWREDPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPSVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLSLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFILYAPQEYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGRSVCLTELKGYQAAVGQPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~rif~~~AAS49820~~~rifampin unknown +>ARGMiner~~~rif~~~AAS49820~~~rifampin~~~unknown MNNTIINSLIGGDDSIKRSNVFAVDSQIPTLYMPQYISLSGVMTNDGPDNQAIASFEIRDQYITALNHLVLSLELPEVKGMGRFGYVPYVGYKCINYVSVSSCNGVIWEIEGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYSQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGIVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTIFAHISHSINIIDTSIPVSLWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~OXA-331~~~AGW16413.1~~~beta_lactam unknown +>ARGMiner~~~OXA-331~~~AGW16413.1~~~beta_lactam~~~unknown MYKKALIVATSILFLSACSSNTVKQNQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTAYVPASTFKMLNALIGLEHHKATITEVFKWNGQKRLFPDWEKDMTLSDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDDFWLVGPLKITPQQETQFAYQLAHKTLPFSKNVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~tet43~~~ACS83748.1~~~tetracycline unknown +>ARGMiner~~~tet43~~~ACS83748.1~~~tetracycline~~~unknown MPPSHHMLRPIEQCSILWNDVRYSNSVRLKEAGMTATTQASAPAARTYLSLRAAWIPLFALCLAFFVEMVDNTLLTIALPTIGRDLGASVTSLQWVTGAYSLTFGGLLLTAGSLADRFGRRRVLQIGLLAFGLISLTVIAVATAGQLIAVRAALGLAAAAMAPITNSLVFRLFEGEDLRRRAMTLMIVVGMSGFILGPLLGGTVLAHASWQWLLLINAPIALIALIGVRLGVPADDAEGLTKDRLDVKGSALSIAAIGLACYTLTSGVEHGWMSAVTWACGIGAAAALMGFVWHERRTDHPMLDLDVFRNRTVRGASIAQVGTSIAMASLMFGLILHFQGAYGWSPMRAGLANLPLILTMILATPVSEGLAKRFGHRIAMLIGAGLLAGSLAGLAWGVGHGYLVIAVFMVTFTLGLRTVMTIAAVGLVGAMPENRTSLGAALNDTAQEVGTSLGMAVIGTLIAVLXTTTLPNGDXSLDLATSYFAGERIAYLFLAVVVGVIAGWGALTLSNSKEMEDVH ->ARGMiner~~~acrB~~~YP_001879172~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_001879172~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAIVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~KPC-22~~~AIX87991.1~~~beta_lactam unknown +>ARGMiner~~~KPC-22~~~AIX87991.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPGSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQLVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~rif~~~AAM13572~~~rifampin unknown +>ARGMiner~~~rif~~~AAM13572~~~rifampin~~~unknown MNNTIINSLIGGDDSIKRSNVFAVDSQIPTLYMPQYISLSGVMTNDGPDNQAIASFEIRDQYITALNHLVMSLELPEVKGIGRFGYVPYVGYKCINHVSISSCNGVIWEIEGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYAQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGIVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTVFAHISHTINIIDTSIPVSLWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~TEM-131~~~AAR10958.1~~~beta_lactam unknown +>ARGMiner~~~TEM-131~~~AAR10958.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGTGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~vanF~~~AAF36803.1~~~glycopeptide unknown +>ARGMiner~~~vanF~~~AAF36803.1~~~glycopeptide~~~unknown MNRLKIAILFGGCSEEHDVSVKSAKEIANNIDTEKYEPIYIGITRSGVWKMCEKPCMDWDNENCRSAVLSPDKKMHGLLVMRNKGYQIQRIDAVFSVLHGKSGEDGAIQGLFELSSIPYVGCDVQSSAVCMDKSLTYIVAQNAGFGTPEFLILNHGDIPDSNTLTYPVFVKPARSGSSFGVNKVNNEDELDAAIETARQYDSKVLIEQAVPGLEVGCAVLGNGTDLIVGEVDQISLSHGIFRIHQEDQPEKGSENAVVLVPANLSAEKRIKIQETAKAIYKALGCKGLSRVDMFLQENGRIILNEVNTLPGFTAYSRYPRMMAAAGMTLSGLIDHCITLALKG ->ARGMiner~~~AAC(6')-Iaj~~~BAM46120.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iaj~~~BAM46120.1~~~aminoglycoside~~~unknown MEYSIINIVEQNNYQIDAARILTNTFLDIGNKTWPTIQSAIDEVEECIDLPNICIGLIHNNQLIGWVGLRPMYDKTWELHPLVVRTDYQSKGIGSVLLAEVEKRAREVGIIGIILGTDDEYNKTSLSEITIDENNIFDAIQNIKNIHNHPYEFYQKNGYMIVGIIPNANGLRKPDIWMWKSLLN ->ARGMiner~~~pbp2~~~YP_216904~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~YP_216904~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLPPIVDLSPDDIADFRHALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTPAQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQSGQAP ->ARGMiner~~~mdtG~~~YP_002397219~~~multidrug unknown +>ARGMiner~~~mdtG~~~YP_002397219~~~multidrug~~~unknown MSPCENDPPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~GES-11~~~ACS44714.1~~~beta_lactam unknown +>ARGMiner~~~GES-11~~~ACS44714.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGARNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~BacA~~~ZP_03541894~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03541894~~~bacitracin~~~unknown MDTLLLVKAAIMGIVEGLTEFLPISSTGHLILAGSLMGFVGGKAKVFEIAIQTGAIFAVMLVYWQKIRDTLVELPSSRQAQKFALNVLIGFLPAVVLALLFGKYVQEHLFTPVIVATTFILGGFVILWAENRPAAATRVQSVDDMTALDALKVGLVQCFALVPGTSRSGSTIIGGMLMGLSRKAATDFSFFLAMPTLIGAGVYSLYKERALLSMADVPLFAVGLIFSFISAWLCVRWLLRFISTNSFVPFAWYRIVFGIIVLVTAYTGIVDWHH ->ARGMiner~~~MexF~~~NP_792892~~~multidrug unknown +>ARGMiner~~~MexF~~~NP_792892~~~multidrug~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRASFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVSEEEFENVVVRAGPDGEITRLKDVARIELGSSQYALRSLLNNQPAVAMPIFQRPGSNAIDISNDVRARMAELKKGFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVVLFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVEATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKAHDAPKDRFSRFLDKILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFDERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIITKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAAPGFSSGQAQTAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVIIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVGRQEARKAAKAQKLQNLPAEMH ->ARGMiner~~~KsgA~~~YP_002039320~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~YP_002039320~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDRFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDKLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTMNFGELSAQLGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCQVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPYPVKDIRVLSRITTEAFNQRRKTIRNSLDNLFSVETLTEMGIDPAMRAENISVAQYCQMANYLSENAPLKES ->ARGMiner~~~RbpA~~~ADV91011.1~~~rifampin unknown +>ARGMiner~~~RbpA~~~ADV91011.1~~~rifampin~~~unknown MADRVLRGSRLGAVSYETDRNHDLAPRQVARYRTDNGEEFDVPFADDAEIPGTWLCRNGLEGTLIEGDVPEPKKVKPPRTHWDMLLERRSVEELEELLKERLDLIKAKRRGTGS ->ARGMiner~~~catB6~~~CAA11473.1~~~chloramphenicol unknown +>ARGMiner~~~catB6~~~CAA11473.1~~~chloramphenicol~~~unknown MENYFDSPFKGKLLSEQVTNRNIKVGRYSYYSGYYHGHSFDDCARYLLPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRHDWVTSFPFFYMQEEPAFSSSTDAFQKAGDTIVGNDVWIGSEAMIMPGIKIGDGAVIGSRSLVTRDVEPYTIIGGNPAKQIKKRFSDEEISLLMEMEWWNWPLDKIKTAMPLLCSSDIFGLHRHWRGIAV ->ARGMiner~~~CTX-M-104~~~ADY02555.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-104~~~ADY02555.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAENRRDVLASAARIIAEGL ->ARGMiner~~~tet31~~~CAC80727.1~~~tetracycline unknown +>ARGMiner~~~tet31~~~CAC80727.1~~~tetracycline~~~unknown MIGKLIMMNRYITIALLITFLDATGMGLIMPVLPTLLEEFSVKESIATHYGFILAIYALMQVIFAPILGQLSDKFGRKPVLILSLIGAVCDYTLLSFSSALWMLYLGRMIAGISAATGAVAASMVADHTKKAERTKWFGKLGAAFGAGLIAGPAIGGFIGQYSAHFPFIIAAILNAIALIMVIILFPKEQSRPKEIEQDQSKIHEKTTINAPLIHILKPVLLLLMLFFTVQLIGQIPASTWVLFTEYRFEWNTFNIGLSLAGLGLMHIIFQAFVAGYIASRWKNETVFILGFILDASAFLLLAFISQVWLVIPTLILLAGGGIALPALQGLISIKTADEHQGKIQGIMVSLTNITGIIGPPIFAFSFAKTVTNWDGTLWLIGAVLYSILLGLYFLYQKIRAYKQLKSQTA ->ARGMiner~~~CTX-M-8~~~AAF04388.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-8~~~AAF04388.1~~~beta_lactam~~~unknown MMRHRVKRMMLMTTACISLLLGSAPLYAQANDVQQKLAALEKSSGGRLGVALIDTADNAQTLYRADERFAMCSTSKVMAAAAVLKQSETQKKVLSQKVEIKSSDLINYNPITEKHVNGTMTLAELSAAALQYSDNTAMNKLIAHLGGPDKVTAFARAIGDNTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLRNLTLGSALGETQRAQLVTWLKGNTTGAASIQAGLPTSWVVGDKTGSGDYGTTNDIAVIWPEGRAPLILVTYFTQPEQKAESRRDVLAAAAKIVTDGY ->ARGMiner~~~Erm(42)~~~CBY77552.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~Erm(42)~~~CBY77552.1~~~macrolide-lincosamide-streptogramin~~~unknown MNKNTKIKNKNFNIKDSQNFLHNTKLVEDLLFKSNITKEDFVVEIGPGKGIITKALSKICKAVNAIEFDSVLADKLSHEFKSSNVSIIEADFLKYNLPDHNYKVFSNIPFNITASILNKLLDSENPPLDTFLIMQYEPFLKYAGAPSYKESYKSLLYKPFFKTNILHSFSKFDFKPAPNANIILGQFSYKDFTDINLEDRHAWKDFLAFVFLEKGVTFKEKTKRIFSYKQQKIILKESRINDDSNISNWSYEFWLKMFKLYNSNMVSKDKKVLVNNSYKRMLEHESSLEKIHRNRKQNNRK ->ARGMiner~~~OXA-333~~~AGW16415.1~~~beta_lactam unknown +>ARGMiner~~~OXA-333~~~AGW16415.1~~~beta_lactam~~~unknown MKNLQLGLIVLITTFGSACTTISPSVETAKNQHQQSAQQQIQQAFNQLQTTGVIVIKDKHGLHSYGNDLSRAQTPYVPASTFKILNALIGLEHGKATSTEVFKWDGQKRSFPTWEKDMTLGQAIQASAVPVYQELARRIGLDLMQKEVQRIGYGNQQIGTVVDNFWLVGPLQITPVQEVLFVEKLANIQLAFKPDVQHTVQDMLLIEQKANYELYAKSGWGMDLEPQVGWWTGWVETATGEKVYFALNMHMKTGISASVREQLVKQSLTALGII ->ARGMiner~~~SHV-71~~~CAJ47126.2~~~beta_lactam unknown +>ARGMiner~~~SHV-71~~~CAJ47126.2~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKYLADGMTVGELCAAAITMSDNSAANLLLATVGGPVGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BcII~~~ZP_04097561~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04097561~~~beta_lactam~~~unknown MKERVEEMKNTLLKLGVCVSLLGITPFVSTISSVQAERKVEHKVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLILNTSKGLVLVDSSWDDKLTKELIEMAEKKFKNSVTDVIITHAHADRIGGIKTLKERGIKTHSTTLTAELAKKNGYEEPLGDLQAITKLKFGYMKVETFYPGKGHTEDNIVVWLPQYNMLVGGCLVKSASAKDLGNVTDAYVNEWSTSIENVLKRYENINFVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~OXA-129~~~CAP69660.1~~~beta_lactam unknown +>ARGMiner~~~OXA-129~~~CAP69660.1~~~beta_lactam~~~unknown MKTIAAYLVLVFFAGTALSESISENLAWNKEFSSESVHGVFVLCKSSSNSCTTNNATRASTAYIPASTFKIPNALIGLETGAIKDARQVFKWDGKPRAMKQWEKDLTLRGAIQVSAVPVFQQIARDIGKKRMQKYLNLFSYGNANIGGGIDKFWLEGQLRISAVNQVKFLESLYLNNLPASKANQLIVKEAIVTEATPEYIVHSKTGYSGVGTESNPGVAWWVGWVEKGTEVYFFAFNMDIDNESKLPSRKSIPTKIMASEGIIIGG ->ARGMiner~~~AcrB~~~YP_001477358~~~multidrug unknown +>ARGMiner~~~AcrB~~~YP_001477358~~~multidrug~~~unknown MAKFFIDRPIFAWVIAIIVMLAGVLSIMKLPIAQYPTIAPPAVSISANYPGADAKTVQDTVTQIIEQNMNGIDNLMYMSSTSDSSGSVTITLTFNSGTDPDIAQVQVQNKLSLATPLLPQEVQQQGLKVEKSSSSFLMVAGFISDDPSMTQDDIADYVASNIKDPISRSSGVGEVQLFGAQYAMRVWLDPNKLNNFQLTTTDVTSAITEQNNQIAAGQLGGMPPVPGQQLNASIIAQTRLTSPEEFGKILLKVNADGSQVRLSDVAHIERGAESYAVTARYNGKPAAGLGIKLATGANALNTAQGVKDALTKMQPFFPQGMKVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATKKSMEQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVAKGDHGVKTGFFGWFNRMFEKSTHHYTDSVANILRSTGRYLVIYLLIVVGMALLFLRLPSSFLPDEDQGILLTMVQLPAGATEARTSKVLEEVTDYFMTKEKDNVVSVFTVAGFGFNGNGQNNGLSFVSLKDWSERKGEENKVPAIAGRAMGAFSQIKDGLVFPFNLPAIIELGTATGFDFQLIDQGGLGHEKLTEARNQLLGMAAKHPDMLVGMRPNGLEDTPQFKLIIDQEKAKALGVSITTINSTLSTALGGSYVNDFIDRGRVKKVYVQADAPFRMLPEDINKWFVRGTSGQMVPFSAFSSAKWEYGSPRLERYNGLPSMEILGQATPGKSTGEAMNLMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISILVVFLCLAALYESWSIPFSVMLVLPLGVIGALLAATMRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSKKSEDVEHSHPVDHH ->ARGMiner~~~OXA-42~~~CAD32564.1~~~beta_lactam unknown +>ARGMiner~~~OXA-42~~~CAD32564.1~~~beta_lactam~~~unknown MKFRHALSSAFVLLGCIAASAHAKTICTAIADAGTGKLLVQDGDCGRRASPASTFKIAISLMGYDAGFLRNEHDPVLPYRDSYIAWGGEAWKQPTDPTRWLKYSVVWYSQQVAHHLGAQRFAQYAKAFGYGNADVSGDPGQNNGLDRAWIGSSLQISPLEQLEFLGKMLNRKLPVSPTAVDMTERIVESTTLADGTVVHGKTGVSYPLLADGTRDWARGSGWFVGWIVRGNQTLVFARLTQDERKQPVSAGIRTREAFLRDLPRLLAAR ->ARGMiner~~~mexC~~~AAB41956.1~~~multidrug unknown +>ARGMiner~~~mexC~~~AAB41956.1~~~multidrug~~~unknown MADLRAIGRIGALAMAIALAGCGPAEERQEAAEMVLPVEVLTVQAEPLALSSELPGRIEPVRVAEVRARVAGIVVRKRFEEGADVKAGDLLFQIDPAPLKAAVSRAEGELARNRAVLFEAQARVRRYEPLVKIQAVSQQDFDTATADLRSAEAATRSAQADLETARLNLGYASVTAPISGRIGRALVTEGALVGQGEATLMARIQQLDPIYADFTQTAAEALRLRDALKKGTLAAGDSQALTLRVEGTPYERQGALQFADVAVDRGTGQIALRGKFANPDGVLLPGMYVRVRTPQGIDNQAILVPQRAVHRSSDGSAQVMVVGADERAESRSVGTGVMQGSRWQITEGLEPGDRVIVGGLAAVQPGVKIVPKPDGAQAQAQSPAPQQ ->ARGMiner~~~oprM~~~NP_249118.1~~~multidrug unknown +>ARGMiner~~~oprM~~~NP_249118.1~~~multidrug~~~unknown MKRSFLSLAVAAVVLSGCSLIPDYQRPEAPVAAAYPQGQAYGQNTGAAAVPAADIGWREFFRDPQLQQLIGVALENNRDLRVAALNVEAFRAQYRIQRADLFPRIGVDGSGTRQRLPGDLSTTGSPAISSQYGVTLGTTAWELDLFGRLRSLRDQALEQYLATEQAQRSAQTTLVASVATAYLTLKADQAQLQLTKDTLGTYQKSFDLTQRSYDVGVASALDLRQAQTAVEGARATLAQYTRLVAQDQNALVLLLGSGIPANLPQGLGLDQTLLTEVPAGLPSDLLQRRPDILEAEHQLMAANASIGAARAAFFPSISLTANAGTMSRQLSGLFDAGSGSWLFQPSINLPIFTAGSLRASLDYAKIQKDINVAQYEKAIQTAFQEVADGLAARGTFTEQLQAQRDLVKASDEYYQLADKRYRTGVDNYLTLLDAQRSLFTAQQQLITDRLNQLTSEVNLYKALGGGWNQQTVTQQQTAKKEDPQA ->ARGMiner~~~OpcM~~~YP_002100652~~~multidrug unknown +>ARGMiner~~~OpcM~~~YP_002100652~~~multidrug~~~unknown MLADALAASRSPLNTSVPDAPTPAAFKEAPTLRARASRPARGKRAEPADDAHRGEWWKVFGDPVLDSLEEQALAANQNLKAAAARVEEARAATRTARSQWFPQVGVGFGPTREGLSSASQFQPQGTGPTNATLWRAQGTVSYEADLFGRVSRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDADQDLYRRTVELREQALKLVQRRFNEGDISELDVSRAKNELASAQADAVGVARRRAASEHALAILLGKAPAEFAFKETPIVPVTVKIPPGLPSALLERRPDVSAAERAMAAANARIGLAKSAYFPKLDITGSFGYEASTLGNLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLANLRLLDDQIRAQNAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVATVNLIRALGGGWGDTPTAVGGAASGKENVAAR ->ARGMiner~~~TEM-209~~~AGW25367.1~~~beta_lactam unknown +>ARGMiner~~~TEM-209~~~AGW25367.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLDARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~AAC(6')-Ib'~~~AAT74613.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib'~~~AAT74613.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~OXA-100~~~CAJ77817.2~~~beta_lactam unknown +>ARGMiner~~~OXA-100~~~CAJ77817.2~~~beta_lactam~~~unknown MNIKALLLITSTIFISACSPYIVTANPNHSTSKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEIFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CeoA~~~YP_776583~~~multidrug unknown +>ARGMiner~~~CeoA~~~YP_776583~~~multidrug~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVSANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARNGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHQALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLSAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDAPSAPLASTAKPAAPAKADS ->ARGMiner~~~CARB-23~~~AHJ02283.1~~~beta_lactam unknown +>ARGMiner~~~CARB-23~~~AHJ02283.1~~~beta_lactam~~~unknown MVRVFTRYSLLNIAKVRIKTKERRTPRMKKLFLLVGLMVCSTVSYASKLNLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~arnA~~~ZP_04005119~~~polymyxin unknown +>ARGMiner~~~arnA~~~ZP_04005119~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMAKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKSASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~TEM-216~~~AHJ78622.1~~~beta_lactam unknown +>ARGMiner~~~TEM-216~~~AHJ78622.1~~~beta_lactam~~~unknown MSIQHFRVSLIPFFAAFCLPVFAHPETLVKVKDAEDQLGAPVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-15~~~AAB05874.1~~~beta_lactam unknown +>ARGMiner~~~OXA-15~~~AAB05874.1~~~beta_lactam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNAGPSTSNGDYWIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~CTX-M-78~~~CAQ42481.2~~~beta_lactam unknown +>ARGMiner~~~CTX-M-78~~~CAQ42481.2~~~beta_lactam~~~unknown MMRKSVRRAILMTTACVSLLLASVPLYAQANDIQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAAAAVLKQSETQKDLLSQRVEIKSSDLINYNPIAEKHVNGTMTLGELSAAALQYSDNTAMNKLIAHLGGPGKVTAFARVIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLRNLTLGNALGDTQRAQLVTWLKGNTTGAASIQAGLPTSWVVGDKTGSGDYGTTNDIAVIWPEGRAPLVLVTYFTQPEPKAESRRDVLAAAARIVTDGY ->ARGMiner~~~ANT(4')-IIb~~~AAM76670.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(4')-IIb~~~AAM76670.1~~~aminoglycoside~~~unknown MQHTIARWVDRLREEYADAVAILLKGSYARGDAATWSDIDFDVLVSTQDVEDYRTWIEPVGDRLVHISAAVEWVTGWERDTVDPSSWSYGLPTQETTRLMWAINDETRRRMDRPYKTHPAAEPEVENTVEALGKIRNAIARGDDLGVYQSAQTVAKLVPTLLIPINPPVTVSHARQAIEAILAFPRVPVGFAADWLTCLGLVEERSARSTAAAAERMVRGVLEMLPTDPDLLGEDIARLMNAGLLEKYVQQ ->ARGMiner~~~CMY-53~~~CAE55180~~~beta_lactam unknown +>ARGMiner~~~CMY-53~~~CAE55180~~~beta_lactam~~~unknown MMKKSLCCVLLLTASFSTLAASKTEQQITDIVNRTITPLMKEQAIPGMAVAIIYQGKSYYFTWGKADIANNRPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLNDPVTRYWPELTGKQWQGISLLHLATYTAGGLPLQIPDEVTDKAALLHFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMGYEEAMINRILQPLKLKHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSIMDMARWVQVNMDASHVQEKTLQQGIELAQSRYWRIGEMYQGLGWEMLNWPVKADIVINGSDSKIALAALPAVEVNPPAPVVKASWVHKTGSTGGFGSYVAFIPEKNLGIVMLANKSYPNPARVDAAWRILEKLQ ->ARGMiner~~~dfrA1~~~ABB76658~~~trimethoprim unknown +>ARGMiner~~~dfrA1~~~ABB76658~~~trimethoprim~~~unknown MVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNEDVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~BcII~~~ZP_04324291~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04324291~~~beta_lactam~~~unknown MEKMKNTLLKLGVCVSLLGTIPFVSTISSVQAERTVEHKVIKNETGTISISQLNKNVWVHTELGYFSGEAVPSNGLVLITSKGLVLVDSSWDDKLTKELIEMVEKKFKKRVTDVIITHAHADRIGGMKTLKERGIKAHSTALTAELAKKNRYEEPLGDLQSVTNLKFGNMKVETFYPGKGHTEDNIVVWLPQYQILAGGCLVKSASSKDLGNVADAYVNEWSTSIENVLKRYGNINLVVPGHGEVGDRGLLLHTLDLLK ->ARGMiner~~~TEM-6~~~CAA41038.1~~~beta_lactam unknown +>ARGMiner~~~TEM-6~~~CAA41038.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~SHV-100~~~CAQ03505.1~~~beta_lactam unknown +>ARGMiner~~~SHV-100~~~CAQ03505.1~~~beta_lactam~~~unknown MRFIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIESESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~SHV-40~~~AAN04882.1~~~beta_lactam unknown +>ARGMiner~~~SHV-40~~~AAN04882.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGGRGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~Erm(36)~~~AAL68827.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~Erm(36)~~~AAL68827.1~~~macrolide-lincosamide-streptogramin~~~unknown MPTYRGGRHEHGQNFLTDHTTIDRLSRLVGDSTGPIVEIGPGQGRLTRELQKLGRSLTAVEIDSRLADRLASASQFREQKHVTVVNADFLHWPLPTTPYVVVGNVPFHLTTAILRRLLHDGAWTQVVLLVQWEVARRRAGIGGSSMMTAQWWPWIDFSLHGRVPRSAFKPAPSVDGGLLEMTRRPDPLLSPDARESYRQFVHDVFTSRGRGIGEILANVSSSLGKRGALQLLKSEGIRSSSLPKDLSAEQWARLFTSASPTKSAKTGRNAHPAHSARRQGR ->ARGMiner~~~NorA~~~YP_002633439~~~multidrug unknown +>ARGMiner~~~NorA~~~YP_002633439~~~multidrug~~~unknown MSKQLITLYFNIFLVFLGIGLVVPVLPVYLKDLGLNGSDLGILVAAFALAQMVISPFGGNLADKLGKKLIICIGLVLFSVSEFIFAMSSSYTLLIISRIIGGFSAGMVMPGVTGMIADISPAKDKAKNFGYMSAIINSGFILGPGLGGFMAEFSHRLPFYFAGTLGIAAFICSIFFIHGAKRQTTDGFTQIEPQELAKINIKAFITPVIITFVLAFGLSAFETLFPLYTADKAHYTPKDISISITGGGILGAVFQIFLFDKFMKYFKELTFIQFALFYSALVLFFLIIAHSYWSIMLISFIVFIGFDMIRPAVTNYFSNIAGDRQGLAGGLNSTFTSMGNFIGPLVAGVLYDFDFEFPLYMSIAVMLLGIFIIFVEKTIRSKKQTQEG ->ARGMiner~~~OXA-29~~~CAC35728.1~~~beta_lactam unknown +>ARGMiner~~~OXA-29~~~CAC35728.1~~~beta_lactam~~~unknown MKKLSVLLWLTLFYCGTIWAQSTCFLVQENQTVLKHEGKDCNKRFAPESTFKIALSLMGFDSGILKDTLNPEWPYKKEYELYLNVWKYPHNPRTWIRDSCVWYSQVLTQQLGMTRFKNYVDAFHYGNQDISGDKGQNNGLTHSWLSSSLAISPSEQIQFLQKIVNKKLSVNPKAFTMTKDILYIQELAGGWKLYGKTGNGRQLTKDKSQKLSLQHGWFIGWIEKDGRVITFTKHIADSKKHVTFASFRAKNETLNQLFYLINELEK ->ARGMiner~~~BacA~~~ZP_04294082~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04294082~~~bacitracin~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFEDDRAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGILGVLFHSTIKEVLFGPGPVVISLVVGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSSADITLFATGFITAFVVALLAIVSFLKLLARVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~DHA-22~~~AIT76109.1~~~beta_lactam unknown +>ARGMiner~~~DHA-22~~~AIT76109.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSAIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDLAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~TEM-52~~~CAA73933.1~~~beta_lactam unknown +>ARGMiner~~~TEM-52~~~CAA73933.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~ACT-2~~~CAJ28994.1~~~beta_lactam unknown +>ARGMiner~~~ACT-2~~~CAJ28994.1~~~beta_lactam~~~unknown MMMTKSLCCALLLSTSCSVLATPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYEGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMVNMKPDSLQDNSLRKGLTLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILSAL ->ARGMiner~~~OXA-94~~~ABF47917.1~~~beta_lactam unknown +>ARGMiner~~~OXA-94~~~ABF47917.1~~~beta_lactam~~~unknown MNIQALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPHGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-97~~~ABO33299.1~~~beta_lactam unknown +>ARGMiner~~~OXA-97~~~ABO33299.1~~~beta_lactam~~~unknown MKLLKILSLVCLSISIGACAEHSMSRAKTSTIPQVNNSIIDQNVQALFNEISGDAVFVTYDGQNIKKYGTHLDRAKTAYIPASTFKIANALIGLENHKATSTEIFKWDGKPRFFKAWDKDFTLGEAMQASTVPVYQELARRIGPSLMQSELQRIGYGNMQIGTEVDQFWLKGPLTITPIQEVKFVYDLAQGQLPFKPEVQQQVKEMLYVERRGENRLYAKSGWGMAVDPQVGWYVGFVEKADGQVVAFALNMQMKAGDDIALRKQLSLDVLDKLGVFHYL ->ARGMiner~~~acrB~~~YP_001438874~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_001438874~~~multidrug~~~unknown MAKFFIDRPIFAWVIAIIIMLAGGLSIMKLPVAQYPSIAPPAVTINATYPGADAKTVQDTVTQVIEQNMNGIDGLMYMSSTSDSSGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVLGLINTDGSMKQEDIADYAGANIKDPISRTTGVGDVQLFGSQYAMRIWLDPNKLNNFQLTPVDVISAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSRVLLRDVAKVELGGENYDIIARYNGQPAAGLGIKLATGANALDTAEAVRKTIAGLEPFFPSGLKVVYPYDTTPFVKISIFEVVKTLVEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIALVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMVLSVIVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYLLIVVAMAFLFIRLPSSFLPDEDQGVFLTMAQLPAGATQERTQKVLDEVTDYYLTQEKDNVNSVFTVNGFGFSGRGQNTGLAFVSLKNWDERPGAENKVPAITGRAMGRFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQGNLGHDKLTQARNQLLGEAAQHPDLLSQVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLASAWGGSYVNDFIDRGRVKKVYVMSQAQYRMLPGDINNWYVRGTNGQMVPFSAFSTSHWEYGSPRLERYNGLPSMQIQGQAVQGKSTGEAMAMMEQLASKLPTGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATILAIFFVPVFFVVVRRRFSRKSEDIEHSHPVEHH ->ARGMiner~~~MdtL~~~ZP_03031860~~~multidrug unknown +>ARGMiner~~~MdtL~~~ZP_03031860~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTASFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~BacA~~~Q5L3H7~~~bacitracin unknown +>ARGMiner~~~BacA~~~Q5L3H7~~~bacitracin~~~unknown MHWMELWKAIILGMVEGLTEFAPVSSTGHMIMVDDLWLKSTEFLGKYAANTFKVVIQLGSILAAVVVFKDRFLDLLGVRGRHPGGHPRLNLIHVIIGLLPAGVLGVLFEDYIDEHLFSTKTVLIGLVLGALLMIAADKFAKKAARTQTVDQITYKQAFFVGLMQCLSLWPGFSRSGSTISGGVLVGMSHRAAADFTFIMAVPIMAGASGLSLLKNWQYVTAADIPFFIAGFFSAFVFALLAIRFFLELINRIRLVPFAVYRIVLAVVIYFLYF ->ARGMiner~~~VIM-39~~~AGS82586.1~~~beta_lactam unknown +>ARGMiner~~~VIM-39~~~AGS82586.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPAVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVLELSSTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~TolC~~~ZP_02345654~~~multidrug unknown +>ARGMiner~~~TolC~~~ZP_02345654~~~multidrug~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQGAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~TEM-127~~~AAR89358.1~~~beta_lactam unknown +>ARGMiner~~~TEM-127~~~AAR89358.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDNVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MacB~~~BAH62608~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~BAH62608~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~AdeC~~~CAJ77843~~~multidrug unknown +>ARGMiner~~~AdeC~~~CAJ77843~~~multidrug~~~unknown MENTMSKSAIVSRGLILSTLSVTLVACVNMQAPQPAITSHIPQNFSQNHSGKTIAEKSYKEFISDPKLVQVIEISLNNNRDLRTATLNIERVQQQYQITKNSQLPTIGVTGNAVRQVSPSINPNNPVSTFQVGLGMTAYELDFWGRVQNLKDAALNNYLATQSAKEAVQISLISNITQVWLNYAFAQANLNLAEQTLKAQVDAYNLNKKRFDVGIDSEVPLKQAQISVETARNDVATYKTQIQQAKNLLDLLAGHPVPQNLLPNHAIQNITFEKNFAAGLPSDLLNHRPDLKAAEYELRAAGANIGAAKARMFPTISLTGSTGYASSELKDLFKTGNFAWSIGPNIDLPIFDWGTRKTNIKIAETDQKIALAKYEKAIQSAFREVNDALATHAHIGERLDAQRRLVSATAATYKLSMARYRAGVDSYFTVLDAQRSAYAAQQGLLALEQMELNNQIELYKVLGGGISKV ->ARGMiner~~~PmrF~~~AAC75314.1~~~polymyxin unknown +>ARGMiner~~~PmrF~~~AAC75314.1~~~polymyxin~~~unknown MFEIHPVKKVSVVIPVYNEQESLPELIRRTTTACESLGKEYEILLIDDGSSDNSAHMLVEASQAENSHIVSILLNRNYGQHSAIMAGFSHVTGDLIITLDADLQNPPEEIPRLVAKADEGYDVVGTVRQNRQDSWFRKTASKMINRLIQRTTGKAMGDYGCMLRAYRRHIVDAMLHCHERSTFIPILANIFARRAIEIPVHHAEREFGESKYSFMRLINLMYDLVTCLTTTPLRMLSLLGSIIAIGGFSIAVLLVILRLTFGPQWAAEGVFMLFAVLFTFIGAQFIGMGLLGEYIGRIYTDVRARPRYFVQQVIRPSSKENE ->ARGMiner~~~QnrA7~~~ACV83303.2~~~quinolone unknown +>ARGMiner~~~QnrA7~~~ACV83303.2~~~quinolone~~~unknown MDIIDKVFQQEDFSRQDLSDSRFRRCRFYQCDFSHCQLRDASFEDCSFIESGAVEGCHFSYADLRDASFKACRLSLANFSGANCFGIEFRECDLKGANFSRARFYNQISHKMYFCSAYISGCNLAYANLSGQCLEKCELFENNWSNANLSGASLMGSDLSHGTFSRDCWQQVNLRGCDLTFADLDGLDPRRVNLEGVKICAWQQEQLLEPLGVIVLPD ->ARGMiner~~~GIM-1~~~CAF05908.1~~~beta_lactam unknown +>ARGMiner~~~GIM-1~~~CAF05908.1~~~beta_lactam~~~unknown MKNVLVFLILLVALPALAQGHKPLEVIKIEDGVYLHTSFKNIEGYGLVDSNGLVVLDNNQAYIIDTPWSEEDTKLLLSWATDRGYQVMASISTHSHEDRTAGIKLLNSKSIPTYTSELTKKLLAREGKPVPTHYFKDDEFTLGNGLIELYYPGAGHTEDNIVAWLPKSKILFGGCLVRSHEWEGLGYVGDASISSWADSIKNIVSKKYPIQMVVPGHGKVGSSDILDHTIDLAESASNKLMQPTAEASAD ->ARGMiner~~~pbp2~~~YP_002146111~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~YP_002146111~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDIADFRHALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLSGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTPAQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQSGQAP ->ARGMiner~~~mdtG~~~YP_002848913~~~multidrug unknown +>ARGMiner~~~mdtG~~~YP_002848913~~~multidrug~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFILTLFFIRERFQPVSKKEMLHIREVVASLKNPKLVLSLFITTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPKLGRLGDRIGPEKILLVALIVSVLLLIPMSFVHTPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNALYSWNSLRRRRSTEVVG ->ARGMiner~~~catIII~~~1QCA~~~chloramphenicol unknown +>ARGMiner~~~catIII~~~1QCA~~~chloramphenicol~~~unknown MNYTKFDVKNWVRREHFEFYRHRLPCGFSLTSKIDITTLKKSLDDSAYKFYPVMIYLIAQAVNQFDELRMAIKDDELIVWDSVDPCFTVFHQETETFSALSCPYSSDIDQFMVNYLSVMERYKSDTKLFPQGVTPENHLFISALPWVNFDSFNLNVANFTDFFAPVITMAKYQQEGDRLLLPLSVQVHHAVCDGFHVARFINRLQELCNSKLK ->ARGMiner~~~APH(6)-Id~~~CAJ77026~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~CAJ77026~~~aminoglycoside~~~unknown MGLMFMPPVFPAHWHVSQPVLIADTFSSLVWKVFLPDGTPAIVKGLKPIEDIADELRGADYLVWRSGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRERFAALFQRARDDQNAGRQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKHVRQTSY ->ARGMiner~~~APH(3')-Va~~~AAA26699.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Va~~~AAA26699.1~~~aminoglycoside~~~unknown MDDSTLRRKYPHHEWHAVNEGDSGAFVYQLTGGPEPQPELYAKIAPRAPENSAFDLSGEADRLEWLHRHGIPVPRVVERGADDTAAWLVTEAVPGVAAAEEWPEHQRFAVVEAMAELARALHELPVEDCPSDRRLDAAVAEARRNVAEGLVDLDDLQEERAGWTGDQLLAELDRTRPEKEDLVVCHGDLCPNNVLLDPGTCRVTGVIDVGRLGVADRHADIALAARELEIDEDPWFGPAYAERFLERYGAHRVDKEKLAFYQLLDEFF ->ARGMiner~~~mefA~~~YP_008997285.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~mefA~~~YP_008997285.1~~~macrolide-lincosamide-streptogramin~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNSVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGIVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEYFNGTPVHISITEISFAFGMLAGGLLLGRLGSFEKRVLLITSSFFIMGASLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADRIGVNHWFLLSGILIIGIAIVCPMITEVRKLDLK ->ARGMiner~~~adeB~~~ZP_04661876~~~multidrug unknown +>ARGMiner~~~adeB~~~ZP_04661876~~~multidrug~~~unknown MMSQFFIRRPVFAWVIAIFIILFGLLSIPKLPIARFPSVAPPQVTISAVYPGATPKTINDSVVTLIERELSGVKNLLYYSATTDTSGMAQISATFKPGTDVDMAQVDVQNKIKAVEARLPQIVRQQGLQVEATSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNAAIRENNVEIAPGRLGDLPAEKGQLITVPLSAQGQLNSVEQFKNISLKSKTNGSVIRLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKVNLPEGMQFSIPYDTAPFVKISIEKVIHTLIEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFSVMLLAGFAINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLPPKEATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGIIYKQFTLTMSVSILFSALLALILTPALCATILKPIDEHHQKKGFFAWFDRTFDKLTKKYEVILFKVIKHTIPMMVLFIIITAATFAGMKFWPTAFMPEEDQGWFLTTFQLPSDASTERTKSVVKEFEASIQDNPDVKSNTSILGWGFGGSGQNVAVAFTTLKDFKERKSSASEMTNSINGTLAHSKEGSSMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDQLMAMAAKNKKFYMVWNEGLPQGDNISLKIDRDKLNALGVKFADVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMKLQDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGDAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWSIPLSVMLVVPLGIFGAIIAIMTKGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLVEATVAAAKLRLRPILMTSLAFTCGVVPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFVLGAAEKLFSSKQKVKS ->ARGMiner~~~TEM-214~~~AJO16044.1~~~beta_lactam unknown +>ARGMiner~~~TEM-214~~~AJO16044.1~~~beta_lactam~~~unknown MSIQHFRVALFPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~SHV-5~~~P37323~~~beta_lactam unknown +>ARGMiner~~~SHV-5~~~P37323~~~beta_lactam~~~unknown SPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQLQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~TEM-104~~~AAM61952.1~~~beta_lactam unknown +>ARGMiner~~~TEM-104~~~AAM61952.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIVEIGASLIKHW ->ARGMiner~~~OXA-164~~~ADK34116.1~~~beta_lactam unknown +>ARGMiner~~~OXA-164~~~ADK34116.1~~~beta_lactam~~~unknown MKLLKILSLVCLSISIGACAEHSMSRAKTSTIPQVNNSIIDQNVQALFNEISADAVFVTYDGQNIKKYGTHLDRAKTAYIPASTFKIANALIGLENHKATSTEIFKWDGKPRFLKAWDKDFTLGEAMQASTVPVYQELARRIGPSLMQSELQRIGYGNMQIGTEVDQFWLKGPLTITPIQEVKFVYDLAQGQLPFKPEVQQQVKEMLYVERRGENRLYAKSGWGMAVDPQVGWYVGFVEKADGQVVAFALNMQMKAGDDIALRKQLSLDVLDKLGVFHYL ->ARGMiner~~~MdtK~~~YP_002238177~~~multidrug unknown +>ARGMiner~~~MdtK~~~YP_002238177~~~multidrug~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRDRIAQQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARSMRDIRCAERFSRPDVAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMREQIALLYNDNPEVVLLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMVVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~BacA~~~YP_001348697~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001348697~~~bacitracin~~~unknown MEWWTAFQAFILGVVEGLTEFLPISSTGHQIIVADLIGFGGERAKAFNIIIQLAAILAVVWEFRGKIFQVVRDLPSQHQAQRFTVNLLIAFFPAVILGVLFADLIHEWLFNPITVALALVVGGVIMLWAERRQHVIRAEHVDDMTWKDALKIGCAQCLAMVPGTSRSGATIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRELFRPEDLPVFAVGFVTSFVFAMVAVRALLKFIGNHSYAAFAWYRIAFGLLILATWQFHLIDWSTAGDL ->ARGMiner~~~Ant3Ia~~~ABF67771~~~aminoglycoside unknown +>ARGMiner~~~Ant3Ia~~~ABF67771~~~aminoglycoside~~~unknown MRSRNWSRTLTERSGGNGAVAVFMACYDCFFVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTKLNIMREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~vanXYG~~~ABA71732.1~~~glycopeptide unknown +>ARGMiner~~~vanXYG~~~ABA71732.1~~~glycopeptide~~~unknown MMKTIELEKEEIYCGNLLLVNKNYPLRDNNVKGLVPADIRFPNILMKRDVANVLQLIFEKISAGNSIVPVSGYRSLEEQTAIYDGSLKDNGEDFTRKYVALPNHSEHQTGLAIDLGLNKKDIDFIRPDFPYDGICDEFRRAAPDYGFTQRYARDKEEITGISHEPWHFRYVGYPHSKIMQENGFSLEEYTQFIKAYLEDNKYLFEQAHRAEIEIYYVPAKDDKTLIKIPENCVYQISGNNIDGFVVTIWRKTDD ->ARGMiner~~~CeoA~~~YP_001583349~~~multidrug unknown +>ARGMiner~~~CeoA~~~YP_001583349~~~multidrug~~~unknown MAILRTSRSRIATAAVATLAVVGLGTFGAMRVNANAPEKAAAPLTEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREAAANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAPPLTTLVSVSPIYASFDADEQTYLQYINGARNGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGTLVPGLYARVKVGGSAPHAALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQPGMQHGNQRVIVSGLAAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDAPSAPIASTAKPAAPAKADS ->ARGMiner~~~SHV-53~~~AAT01223.1~~~beta_lactam unknown +>ARGMiner~~~SHV-53~~~AAT01223.1~~~beta_lactam~~~unknown IISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLISQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNN ->ARGMiner~~~BacA~~~ZP_01802436~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_01802436~~~bacitracin~~~unknown MSLDVIFILKSVIIAIVEGFTEFIPVSSTGHMILVGNLIDFKGQFAEMFEVVIQLGAILAVVVLYWKKIKDSVIEFFKFIFTGGKEGKIGFRFGMNVIIGCIPFAIIGVLFYDNIKSLFNLQSVIIGFIVGGILLLVVETLFRKKNHSTDNIDKITPIQALKVGTLQVLSAWPGMSRSASTIMGGWIAGLNSPTAAEFSFFLAVPAMVASSGKDLFEFDYSIMTPTLWIALVVGFIVAFIVSIIVMEKFVNFLKKKPMRVFAVYRIIMGVVLAVLAFTNIISV ->ARGMiner~~~AAC(6')-Ir~~~AAD03490.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ir~~~AAD03490.1~~~aminoglycoside~~~unknown MKIMPVSEPFLADWLQLRILLWPDHEDAHLLEMRQLLEQPHTLQLLSYNDQQQAVAMLEASIRYEYVNGQQSSPVAFLEGIYVLPEYRRLGVASTLVQQVEHWAKQFACTEFASDAALDNTISHAMHRALGFQETECVVYFKKNIS ->ARGMiner~~~OKP-B-3~~~CAJ19611.1~~~beta_lactam unknown +>ARGMiner~~~OKP-B-3~~~CAJ19611.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMVERNQQIAGIGAALIEHWQR ->ARGMiner~~~tet~~~CAJ88549~~~tetracycline unknown +>ARGMiner~~~tet~~~CAJ88549~~~tetracycline~~~unknown MHIPNTLNIGILAHVDAGKTSLTERLLFDHGAIDRLGSVDAGDTRTDDGGIERRRGITIRSAVAAFTVGDTQVNLIDTPGHSDFVAEVERALEVLDGAVLLLSAVEGVQARTRVLMRTLRRLRLPTLVFVNKIDRAGARTEELLADVRRLLTPHVAPLTEVTGAGTGHARVTRRTPDGRTAEALAEVDPGILAALVDGPEPTAGELAAALAARTADGSFHPLHHGSALGGQGVAELVESLLRLVPPASPPAAGPAAPRGTVFALRPASGGERTAYLRLHAGEVRPRQRLTFLRRESDGRTTEVTGRVTRLDVIGRPGTLTAGNIAALTVPGGLRVGDRLGARTDRAPQFAPPTLETLVRARHPGQAGPLRAALLDLADQDPLLHARPAASGATALLLYGEVQMEVLAATLSEDFGIEADFAPGRARFLERPAGTGEAAEEMPWLDRTRYWATVGLRVEPGPRGSGGVFAHETELGALPRAFHQAVEETVHATLLTGLTGAPVTDYRVTLTRSGFSSPVSTAADFRGLTPIVLRRALGRAGTRLHEPLHAFEAEVPPDALAPVTARLASLGAEFTGTTGGGSGWLITGELPARRVREAELGLPGLTRGEAVWSSRPSGDRPLDPPR ->ARGMiner~~~BacA~~~YP_349555~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_349555~~~bacitracin~~~unknown MDLLTLFKVLILGAVEGLTEFLPISSTGHQIIVADLLEFGGERAMAFNIIIQLGAILAVVWEFRPKIFEIVKGLPTQSNAQRFTRNLLIAFFPAVILGVLFADTIHEYLFNPITVAVALVVGGIVMLWAEQRDHVVSVDHVDDMKWADALKIGCVQCLAMIPGTSRSGSTIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRELFQSSDLPVFALGFVVAFIFAMIAVRGLLKFIANHSYATFAWYRIAFGLLILATWQFGWVNWTAAAAA ->ARGMiner~~~VIM-27~~~ADX78234.1~~~beta_lactam unknown +>ARGMiner~~~VIM-27~~~ADX78234.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHISTQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSSTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~BacA~~~ZP_03949893~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03949893~~~bacitracin~~~unknown MYFAFISERNGDMLFANLWKAIILGIIEGITEWLPISSTGHLILVDEFIKLDLSKDFMEMFNVVIQLGAIMAVVILYFHKLNPFSPKKNGEEKKDTWILWSKVLVACLPAAVIGLKFDDYLDAHFYNFLTVSIMLIVYGIAFIIIEKRNKNVTPKCTNLKDFTYKAALIVGAFQVLALIPGTSRSGATILGAILIGASRFVATEFSFFLGIPVMFGASFLKIFKFLAKGNTFGSEEIIILLTGSIVAFVVSIIAIKFLLNYLKKNDFTVFGWYRVILGAILIGYWLFS ->ARGMiner~~~OKP-B-8~~~CAJ19616.1~~~beta_lactam unknown +>ARGMiner~~~OKP-B-8~~~CAJ19616.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNTAGNLLLKIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~MacB~~~ZP_02831928~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~ZP_02831928~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVEALARE ->ARGMiner~~~AmrB~~~AAC27754~~~multidrug unknown +>ARGMiner~~~AmrB~~~AAC27754~~~multidrug~~~unknown MARFFIDRPVFAWVISLFIMLGGIFAIRALPVAQYPDIAPPVVSLYATYPGASAQVVEESVTAVIEREMNGVPGLLYTSATSSAGQASLSLTFKQGVSADLAAVDVQNRLKIVEARLPEPVRRDGISIEKAADNAQIIVSLTSEDGRISGVELGEYASANVLQALRRVEGVGKVQFWGAEYAMRIWPDPVKMAALGLTASDIASAVRAHNARVTIGDVGRSAVPDSAPIAATVLADAPLTTPDAFGAIALRARADGSTLYLRDVARIEFGGNDYNYPSFVNGKTATGMGIKLAPGSNAVATEKRVRATMEELAKFFPPGVKYQIPYETASFVRVSMSKVVTTLVEAGVLVFAVMFLFMQNFRATLIPTLVVPVALLGTFGAMLAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEKLPPYEATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFAFALAVSIGFSAFLALSLTPALCATLLKPVADDHHEKDGFFGWFNRFVARSTHRYTRRVGRVLERPLRWLVVYGALTAAAALLITKLPAAFLPDEDQGNFMVMVIRPQGTPLAETMQSVRRVEEYVRTHSPSAYTFALGGYNLYGEGPNGGMIFVTMKDWKERKRARDQVQAIIAEINAHFAGTPNTMVFAINMPALPDLGLTGGFDFRLQDRGGLGYGAFVAAREKLLAEGRKDPVLTDLMFAGTQDAPQLKLDIDRAKASALGVSMEEINATLAVMFGSDYIGDFMHGSQVRRVIVQADGRHRLDAADVTKLRVRNAKGEMVPLAAFATLHWTMGPPQLTRYNGFPSFTINGAASAGHSSGEAMAAIERIASTLPAGTGYAWSGQSYEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVAGVTLRGMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLADAALEAARLRLRPIVMTSLAFGVGVLPLAFATGAASGAQIAIGTGVLGGVISATLFAIFLVPLFFVCVGRVFDVVPRRPGGAQAALEAK ->ARGMiner~~~MdfA~~~CAR59960~~~multidrug unknown +>ARGMiner~~~MdfA~~~CAR59960~~~multidrug~~~unknown MQNRLQSGGRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLATLLAKNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFILFAALAAIAFFGLQCAMPETATRRGETLSFKALGRDYRLVIKNRRFVAGALALGFVSLPLLAWIAQSPIIIISGEQLSSYEYGLLQVPVFGALIAGNLVLARLTSRRTVRSLIVMGGWPIVAGLIIAAAATVVSSHAYLWMTAGLSVYAFGIGLANAGLVRLTLFSSDMSKGTVSAAMGMLQMLIFTVGIEVSKHAWLSGGNGLFSLFNLANGILWLLLMLVFLKDKRTGNLQTV ->ARGMiner~~~FosB~~~YP_002366749~~~fosfomycin unknown +>ARGMiner~~~FosB~~~YP_002366749~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHISRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~FOX-5~~~AAG12974.1~~~beta_lactam unknown +>ARGMiner~~~FOX-5~~~AAG12974.1~~~beta_lactam~~~unknown MQQRRAFALLTLGSLLLAPCTYASGEAPLTAAVDGIIQPMLKAYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFELDDKVSQHAPWLKGSALDGVTMAELATYSAGGLPLQFPDEVDSNDKMRTYYRSWSPVYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMVNYAYGYSKEDKPVRVTPGVLAAEAYGIKTGSADLLKFAEANMGYQGDAAVKSAIALTHTGFYSVGDMTQGLGWESYAYPVTEQTLLAGNAPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~MdtN~~~ZP_03002705~~~multidrug unknown +>ARGMiner~~~MdtN~~~ZP_03002705~~~multidrug~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEAPLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~AcrB~~~ZP_04627600~~~multidrug unknown +>ARGMiner~~~AcrB~~~ZP_04627600~~~multidrug~~~unknown MAKFFIDRPIFAWVIAIILMLAGALAIMKLPVAQYPTIAPPAITISANYPGADATTVQNTVTQVIEQNMNGIDNLLYMSSSSDSSGNVQLTLTFNSGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVAGFISEDGTMQQEDIADYVGSNVKDPISRTAGVGDVQLFGSQYAMRIWMDPHKLNNYNLTPVDVINAIKVQNNQVAAGQLGGTPPVPGQELNSSIIAQTRLTNAEEFSQILLKVNTDGSQVRLKDVAIVQLGAESYNIIARYNGKPAAGIGIKLATGANALDTSAAVKAELAKLQPFFPAGLKVVYPYDTTPFVKISINEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMQEEGLPPKEATKKSMEQIQGALVGIAMVLSAVFIPMAFFGGATGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPIAKGEHGPKTGFFGWFNRMFEKSTHHYTDSVANILRSTGRYLVIYLVIVIGMGVLFLRLPTSFLPEEDQGVFLTMVQMPAGATQERTQKVLNQVTDYYLDKEKDVVNSVFTVNGFGFSGQGQNTGLAFVSLKNWDERPGEQNKVPAIVARASAAFSQIKDGLVFAFNLPAIVELGTATGFDFQLIDQGNVGHQKLTEARNELLGMAAQHPDMLVGMRPNGLEDTPQFKVEVDQEKAQALGVAISDINTTLGSAMGGSYVNDFIDRGRVKKVYVQADAPFRMLPGDIDKWYVRNSAGQMVSFATFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMDLMQELAGKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVESTLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSRKSEDIEHAHAVEHKAK ->ARGMiner~~~TEM-187~~~ADM61585.1~~~beta_lactam unknown +>ARGMiner~~~TEM-187~~~ADM61585.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPVAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKH ->ARGMiner~~~OXA-34~~~AAK15582.1~~~beta_lactam unknown +>ARGMiner~~~OXA-34~~~AAK15582.1~~~beta_lactam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNADPSTSNGDYCIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAIL ->ARGMiner~~~CeoA~~~ZP_02904981~~~multidrug unknown +>ARGMiner~~~CeoA~~~ZP_02904981~~~multidrug~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVSANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVAGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARSGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHQALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLSAGDRVVVNGTQRVRPGAQVKAHMVPMTGGDAPSAPLASTAKPAAPAKADS ->ARGMiner~~~EmrD~~~ZP_03215830~~~multidrug unknown +>ARGMiner~~~EmrD~~~ZP_03215830~~~multidrug~~~unknown MLLMLVLLVAVGQMAQTIYIPAIADMAQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLFAMTTHSLTVLIAASAMQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTLWNWRACYAFLLVLCAGVTFSMARWMPETRPAGAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSALMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMLPQTGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~MIR-3~~~AAU95779.1~~~beta_lactam unknown +>ARGMiner~~~MIR-3~~~AAU95779.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVASAAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWLIANMKPDSLHAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~CepS~~~YP_857635~~~beta_lactam unknown +>ARGMiner~~~CepS~~~YP_857635~~~beta_lactam~~~unknown MDNPLNLYDLVGDFTQTSPCFMLGTRWPVRLAAPEHTEILAMKQTRALPLLALGTLLLAPLSLAAPVDPLKAVVDDAIRPVLKQHRIPGMAVAVLKGGQAHYFNYGLADVATGAKVNEQTLFEIGSVSKTYTATLGAYAVVKGGFKLDDQVSGHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSSDTMRAYYRHWTPPYQAGTQRQYSNPSIGLFGHLAASSLQQPFSTLMEQTLLPALGLEHTYLQVPEAAMARYAFGYSKEDKPIRVNPGMLADEAYGIKTGSADLLAFVKANISGVDDKALQQAIALTHTGFYRIGEMSQGLGWESYAYPVSEQTLLAGNSPAVSLKANPVTKFETPAAPGAMRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPIEARVSAAHAILSQLAP ->ARGMiner~~~MOX-7~~~ACS44785.1~~~beta_lactam unknown +>ARGMiner~~~MOX-7~~~ACS44785.1~~~beta_lactam~~~unknown MQQRQSILWGALATLMWAGLVHAGDKAATDPLRPVVDASIRPLLKEHRIPGMAVAVLKDGKAHYFNYGVADRERAVGVSEQTLFEIGSVSKTLTATLGAYAVVQGSFELDDKASLFAPWLKGSVFDNITMGELATYSAGGLPLQFPEEVDSLEKMQAYYRQWTPAYSPGSHRQYANPSIGLFGYLAASSMKQPFDRLMEQTMLPGLGLYHTYLTVPEQAMGHYAYGYSKEDKPIRVTPGMLADEAYGIKTSSADLLRFVKANIGGVDNAAMQQAIDLTHQGQYAVGEMTQGLGWERYAYPVSEQTLLAGNSPAMIYNAIPAVPAPAAAGHPVLFNKTGSTNGFGAYVAFVPAKGIGIVMLANRNSPIEARIKAAHAILTQLAR ->ARGMiner~~~BacA~~~ZP_03916453~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03916453~~~bacitracin~~~unknown MIINLIKVLILSLVEGITEFLPVSSTGHLILVNQFVKLEPEGFSNAFNVIIQLGAILSVVVIYFEKLNPWAKSKQELPKKYKDLNAQSKAYYLATHPDKTTINLWLKVIVGVLPAMVLGLLFDDLIDAYLFNPITVAAMLLLWGIIIIFIEKKNKTIKYDSLKDVPYTTIIMIGLFQCLAMVPGTSRSAATIIGAMILGLSRPAAAEFSFFLAIPTMLGATLLKLIKNLGDFTGTEWTLILLGMILSFIVAFIVIKQFLAYVKKHDFIPFGIYRIILAVIVFVYFLLINR ->ARGMiner~~~BacA~~~YP_001416709~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001416709~~~bacitracin~~~unknown MTRRQGPQRLRSWTHDCTCEGDGTGCAILTAFRMTSLMTLGAMLEALVLGLVEGLTEFIPVSSTAHILLLGHFLRFESAGHTFEVLIQLGAVLAILTVYFQRFLRVAQQLPSNPGARRFVIGILVAFLPAAVIGALAHGFIKSVLFETPALICVTLILGGIVLLFIDKVVPEPRYNNAMGLPLLLALKIGFFQCLAMIPGMSRSGSTIVGAMLMGVDKRAAAEFSFFLALPTMAGAFAYDLFKNRNNLSFDDGLLIVIGFIAAFCAAVLVVRTLLDFVAKHGYAPFGWWRIIVGVAGLIGLAVVG ->ARGMiner~~~CARB-5~~~AAF61417.1~~~beta_lactam unknown +>ARGMiner~~~CARB-5~~~AAF61417.1~~~beta_lactam~~~unknown MNVRKHKASFFSVVITFLCLTLSLNANATDSVLEAVTNAETELGARIGLAVHDLETGKRWEHKSNERFPLSSTFKTLACANVLQRVDLGKERIDRVVRFSESNLVTYSPVTEKHVGKKGMSLAELCQATLSTSDNSAANFILQAIGGPKALTKFLRSIGDDTTRLDRWETELNEAVPGDKRDTTTPIAMVTTLEKLLIDETLSIKSRQQLESWLKGNEVGDALFRKGVPSDWIVADRTGAGGYGSRAITAVMWPPNRKPIVAALYITETDASFEERNAVIAKIGEQIAKTVLMENSRN ->ARGMiner~~~FosB~~~ZP_04217288~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04217288~~~fosfomycin~~~unknown MLIHGINHLCFSVSDLEESIQFYETILEGKLLVKGRKLAYFDVCGVWIALNEEVDIARNEIHQSYTHLAFSVQQEDFQQLLKRLEENKVHILQGRERDVRDCQSIYFIDPDGHKFEFHSGTLQDRLKYYKEAKPHMKFY ->ARGMiner~~~OXA-386~~~AHL30273.1~~~beta_lactam unknown +>ARGMiner~~~OXA-386~~~AHL30273.1~~~beta_lactam~~~unknown MKIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-371~~~BAO09635.1~~~beta_lactam unknown +>ARGMiner~~~OXA-371~~~BAO09635.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGEKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVKPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~MIR-15~~~AIT76104.1~~~beta_lactam unknown +>ARGMiner~~~MIR-15~~~AIT76104.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVASAAFAAPMSEKQLAEVVERTVTPLINAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWVIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~cat~~~AAL08441.1~~~chloramphenicol unknown +>ARGMiner~~~cat~~~AAL08441.1~~~chloramphenicol~~~unknown MEKKITGYTTVDISQWHRKEHFEAFQSVAQCTYNQTVQLDITAFLKTVKKNKHKFYPAFIHILARLMNAHPEFRMAMKDGELVIWDSVHPCYTVFHEQTETFSSLWSEYHDDFRQFLHIYSXDVACYGENLAYFPKXFXENMXFVSANPWVSFTSFDLNVANMDNFFAPVFTMGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRMLNELQQYCDEWQGGA ->ARGMiner~~~BacA~~~ZP_04070977~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04070977~~~bacitracin~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFDDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGILGVLFHSTIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDVLSSADITLFVTGFVTAFVVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~floR~~~AAG16656.1~~~multidrug unknown +>ARGMiner~~~floR~~~AAG16656.1~~~multidrug~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSVLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~vanYB~~~AAB05624.1~~~glycopeptide unknown +>ARGMiner~~~vanYB~~~AAB05624.1~~~glycopeptide~~~unknown MEKSNYHSNVNHHKRHMKQSGEKRAFLWAFIISFTVCTLFLGWRLVSVLEATQLPPIPATHTGSGTGVAENPEENTLATAKEQGDEQEWSLILVNRQNPIPAQYDVELEQLSNGERIDIRISPYLQDLFDAARADGVYPIVASGYRTTEKQQEIMDEKVAEYKAKGYTSAQAKAEAETWVAVPGTSEHQLGLAVDINADGIHSTGNEVYRWLDENSYRFGFIRRYPPDKTEITGVSNEPWHYRYVGIEAATKIYHQGLCLEEYLNTEK ->ARGMiner~~~OpcM~~~YP_002095805~~~multidrug unknown +>ARGMiner~~~OpcM~~~YP_002095805~~~multidrug~~~unknown MLEDQKMNNLHNTNGLMRFAKVAAARHPARDAARRVRGRPPTTSVRTRRRPPRSEEAPTLAAGEQAGTWKTAEPADGEHRGEWWKVFGDPVLDSLETQALAANQNLKAAAARVEEARAATRSARSQWFPQVGAGFGPTREGLSSASQFQPQGTGPTNATLWRAQGTVSYEADLFGRVGRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYRRTVELREQALKLVQRRFNEGDISELDVSRAKNELASAQADAVGVARRRAASEHALAILLGKAPADFAFKETPIVPVAVKIPPGLPSALLERRPDVSAAERAMAAANARIGLAKSAYFPKLDITGSFGYEASTLGNLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQEAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGNAPAPTAVGDAASGKADVAAR ->ARGMiner~~~smeF~~~CAG34266~~~multidrug unknown +>ARGMiner~~~smeF~~~CAG34266~~~multidrug~~~unknown MARRYHRDPQGVAMKFSSLAVSLAGVLALAGCSTLAPKNTAVAPAIPAQWPAEAAQGQVADVAAVGWRDFFIDARLQQLIEQSLQNNRDLRVAVLNVERARGQYRVQRADRVPGVAVTGQMQRQGTDAGVTEQFTAGVGVAEFELDLFGRVRNLSEAALQQYFAVAANRRNAQLSLVAETATAWLTYGADAQRLKIADATLKTYEDSLRLAEARHERGGSSALELTQTRTLVETARTDAARLRGQLAQDRNALALLAGGQLDPALLPDGIEPQLLALAPPPAGLPSDVLLQRPDIMAAEHQLLAANANIGAARAAFFPSISLTGSIGSGSSELSSLFDSGTRVWSFLPKITLPIFQGGKLRANLAVANADRDIALAQYEKSIQVGFRETADALALNVSLDEQVSSQQRLLEAAEQANRLSQARYDAGLDSFVTLLDARRTAYNAQQTQLQAQLAQQANRITLYKVLGGGWHERS ->ARGMiner~~~BacA~~~A7FE74~~~bacitracin unknown +>ARGMiner~~~BacA~~~A7FE74~~~bacitracin~~~unknown MTDMYSLFVAFILGVVEGLTEFLPVSSTGHMIIVGELLGFTGDKAKTFEVIIQLGSILAVVVVFWRRLFGLIGIHFGAVPHEGKTNGHLTLGHILLAMIPAVILGLAFHDVIKALFDPKSVMYALVAGGVLLLAAEWLKPKNPKAVGLDDITYRQAFAIGCFQCLALWPGFSRSGATISGGMLVGVNRYAASEFSFILAVPMMIGASGLDLYKSLHFLTLGDLPMFAVGFITAFIVALIAIKTFLSLIKRISFVPFAIYRFIVAAVVYWVFM ->ARGMiner~~~dfrA15~~~AHB39758.1~~~trimethoprim unknown +>ARGMiner~~~dfrA15~~~AHB39758.1~~~trimethoprim~~~unknown MKLSLMAAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSSDENVLVFPSIDEALNHLKTITDHVIVSGGGEIYKSLIDKVDTLHISTIDIEPEGDVYFPEIPSSFRPVFSQDFVSNINYSYQIWQKG ->ARGMiner~~~BacA~~~YP_560128~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_560128~~~bacitracin~~~unknown MDWLLACKALILGVVEGLTEFLPVSSTGHLIVAGSLLNFTDEHAKTFDVVIQLGAILAVCWEYRRRIGSVVSGLPSRPDARRFTLNVIIATIPAIVLGLLFEKTIKAALFSPVPVAFALVAGGVVILWAESRQRTRGETVARVQNVDDLGALDALKVGLAQCFALIPGMSRSGSTIIGGMLFGLDRRVATEFSFFLAIPIIFGATAYELHKDWHLLSVDALGTFALGFVAAFVSAFACVRWLLRYIAAHDFTAFAWYRIGFGLLILLVGYSGALNWTE ->ARGMiner~~~OXA-109~~~ABV31689.1~~~beta_lactam unknown +>ARGMiner~~~OXA-109~~~ABV31689.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIQVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~AcrA~~~YP_001438873~~~multidrug unknown +>ARGMiner~~~AcrA~~~YP_001438873~~~multidrug~~~unknown MNKNRGFTPLAVVLMLSGSFALTGCDGEQAQQQAPQAPEVGVVTLKSEPLQITTELPGRTLAYRVAEVRPQVSGIILKRNFEEGSEIKAGVSLYQIDPAPYQASYESAKGDLAKAQASANIAQVTLSRYQKLLGTQYISKQDYDNAQAEAQQANAAVVAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQTTALAVVQQLDPIYVDVTQSSNDFLRLKQELASGQLKQENGKAKVTLSTADGLKYPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGVNPNAILVPQQGVTRTPRGDATVMVVGEGDKVEVRPVQVGQAMGDKWVVTDGVKAGDRVIITGLQKVRPGAQVKAQEVTDNQQQQKQSGAAGQTQSQQPQS ->ARGMiner~~~Bcr~~~YP_002413233~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_002413233~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALANTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAVAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR ->ARGMiner~~~EmrD~~~CAD03195~~~multidrug unknown +>ARGMiner~~~EmrD~~~CAD03195~~~multidrug~~~unknown MKRQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMAQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLIAMTTHSLTVLIAASAMQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTLWNWRACYAFLLVLCAGVTFSMARWMPETRPAGAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSALMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMLPQTGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~LEN-23~~~CAP12351.2~~~beta_lactam unknown +>ARGMiner~~~LEN-23~~~CAP12351.2~~~beta_lactam~~~unknown MRYVRLCVISLLATLPLAVYAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVLYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~GES-8~~~AAK18183.1~~~beta_lactam unknown +>ARGMiner~~~GES-8~~~AAK18183.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQLAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~lnuB~~~AGI42804.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~lnuB~~~AGI42804.1~~~macrolide-lincosamide-streptogramin~~~unknown MLKQKELIANVKNLTESDERITACMMYGSFTKGEGDQYSDIEFYIFLKDSITSNFDSSNWLFDVAPYLMLYKNEYGTEVVIFDNLIRGEFHFLSEKDMNIIPSFKDSGYIPDTKAMLIYDETGQLENYLSEISGARPNRLTEENANFLLCNFSNLWLMGINVLKRGEYARSLELLSQLQKNTLQLIRMAEKNADNWLNMSKNLEKEISLENYKKFAKTTARLDKVELFEAYKNSLLLVMDLQSHLIEQYNLKVTHDILERLLNYISE ->ARGMiner~~~TEM-217~~~CDN33426.1~~~beta_lactam unknown +>ARGMiner~~~TEM-217~~~CDN33426.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVMYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-178~~~CAA65888.1~~~beta_lactam unknown +>ARGMiner~~~TEM-178~~~CAA65888.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGSTSGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGSQELTAFLHNMGDHVTRLDRWEPELNEAIPNDEADTTMPAAMATTLRKLLTGELLTLASRQQLIDWMADKVAGPLLRSALPAGWFIADKSGARERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~VIM-1~~~CAJ65586~~~beta_lactam unknown +>ARGMiner~~~VIM-1~~~CAJ65586~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIQHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSSTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~MdtH~~~Q57QJ1~~~multidrug unknown +>ARGMiner~~~MdtH~~~Q57QJ1~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLVFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALTQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~tetH~~~CAA75663.1~~~tetracycline unknown +>ARGMiner~~~tetH~~~CAA75663.1~~~tetracycline~~~unknown MNKSIIIILLITVLDAIGIGLIMPVLPTLLNEFVSENSLATHYGVLLALYATMQVIFAPILGRLSDKYGRKPILLFSLLGAALDYLLMAFSTTLWMLYIGRIIAGITGATGAVCASAMSDVTPAKNRTRYFGFLGGAFGVGLIIGPMLGGLLGDISAHMPFIFAAISHSILLILSLLFFRETQKREALVANRTPENQTASNTVTVFFKKSLYFWLATYFIIQLIGQIPATIWVLFTQYRFDWNTTSIGMSLAVLGVLHIFFQAIVAGKLAQKWGEKTTIMISMSIDMMGCLLLAWIGHVWVILPALICLAAGGMGQPALQGYLSKSVDDNAQGKLQGTLVSLTNITGIIGPLLFAFIYSYSVAYWDGLLWLMGAILYAMLLITAYFHQRKTTPKAVISTP ->ARGMiner~~~APH(2'')-IVa~~~AAC14693.1~~~aminoglycoside unknown +>ARGMiner~~~APH(2'')-IVa~~~AAC14693.1~~~aminoglycoside~~~unknown MRTYTFDQVEKAIEQLYPDFTINTIEISGEGNDCIAYEINRDFIFKFPKHSRGSTNLFNEVNILKRIHNKLPLPIPEVVFTGMPSETYQMSFAGFTKIKGVPLTPLLLNNLPKQSQNQAAKDLARFLSELHSINISGFKSNLVLDFREKINEDNKKIKKLLSRELKGPQMKKVDDFYRDILENEIYFKYYPCLIHNDFSSDHILFDTEKNTICGIIDFGDAAISDPDNDFISLMEDDEEYGMEFVSKILNHYKHKDIPTVLEKYRMKEKYWSFEKIIYGKEYGYMDWYEEGLNEIRSIKIK ->ARGMiner~~~OXA-241~~~AFO55201.1~~~beta_lactam unknown +>ARGMiner~~~OXA-241~~~AFO55201.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVIANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLAHASTEYVPASTFKMLNALIGLEHHKTTTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~DHA-17~~~AIT76103.1~~~beta_lactam unknown +>ARGMiner~~~DHA-17~~~AIT76103.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAVVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~APH(9)-Ib~~~AAB66655.1~~~aminoglycoside unknown +>ARGMiner~~~APH(9)-Ib~~~AAB66655.1~~~aminoglycoside~~~unknown MEDLPENLDQESLFQGLREFGISTTSASYAPLGFGDYHWHITGDDGQRWFATVSDLEHKEHCGHGAPAALRGLRRAMDTAVHLREQGGLPFVVAPRTTSDGASLVPLDSRYALTVFPHVSARPGEFGQKLTERERDQVLVLLAELHGQAPPKCTPTTDMVPTGLDGVHTALAEPSGTWTGGPFSEPARELLAEHEATLRGRMAEFGELVARVRGRGAPLVVTHGEPHPGNLILGEDGYVLVDWDTVGLAIPERDLSLISDDPAALARYTELTGHTPDPAALALYRLRWSLLDVAEFVEWFRGEHQRTSDTEAAWQSFAETLDHLNSEVPS ->ARGMiner~~~OXA-93~~~ABF47916.1~~~beta_lactam unknown +>ARGMiner~~~OXA-93~~~ABF47916.1~~~beta_lactam~~~unknown MNIKALLLITSTIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALISLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CeoA~~~YP_001061519~~~multidrug unknown +>ARGMiner~~~CeoA~~~YP_001061519~~~multidrug~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKSAAPLPEVDVATVLSKMITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIARRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRAAQGNARA ->ARGMiner~~~MdfA~~~ZP_03003660~~~multidrug unknown +>ARGMiner~~~MdfA~~~ZP_03003660~~~multidrug~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~BL2a_1~~~NP_833174~~~beta_lactam unknown +>ARGMiner~~~BL2a_1~~~NP_833174~~~beta_lactam~~~unknown MKERVDNMKKNTLLKVGLCVGLLGTIQFVSTISSVQASQKVEKTVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLVLNTAKGLVLVDSSWDNKLTKELIEMVEKKFQKRRTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKNSGYEEPLGDLQIITSLKFGNTKVETFYPGKGHTEDNIVVWLPQYQILAGGCLVKSAEAKDLGNVADAYVNEWSTSIENVLKRYGNINSVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~TEM-176~~~ADB90239.1~~~beta_lactam unknown +>ARGMiner~~~TEM-176~~~ADB90239.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSVLPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~IMI-4~~~AHE78014.1~~~beta_lactam unknown +>ARGMiner~~~IMI-4~~~AHE78014.1~~~beta_lactam~~~unknown MSLNVKPSRIAILFSSCLVSISFFSQANTKGIDEIKDLETDFNGRIGVYALDTGSGKSFSYKANERFPLCSSFKGFLAAAVLKGSQDNQLNLNQIVNYNTRSLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDKDFRLDRWELDLNTAIPGDERDTSTPAAVAKSLKTLALGNILNEREKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKYEKEAKHEDKVIAEASRIAIDNLK ->ARGMiner~~~OXA-65~~~AAW81337.1~~~beta_lactam unknown +>ARGMiner~~~OXA-65~~~AAW81337.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~RosA~~~ZP_04638972~~~fosmidomycin unknown +>ARGMiner~~~RosA~~~ZP_04638972~~~fosmidomycin~~~unknown MTDRSDTALPPAANASVKRTSFSILGAISVSHLLNDMIQSLILAIYPLLQAEFSLSFAQIGLITLTYQLTASMLQPLIGLYTDKHPQPYSLPIGMGFTLSGILLLAVATTFPVVLLAAALVGTGSSVFHPESSRVARMASGGRHGMAQSVFQVGGNFGSALGPLLAAILIAPYGKGNVGWFSLAALLAIVVLLQVSKWYQQQQRATHGKAIKISSAKMLPKKTVIKTLAILMVLIFSKYFYLTSISSYYTFYLMHKFGVSVQNAQIHLFVFLFAVAAGTIIGGPLGDRIGRKYVIWGSILGVAPFTLILPYASLYWMGILTVIIGVILASAFSAILVYAQELIPGKVGMVSGLFFGFAFGMGGLGAAVLGYVADLTSIELVYQICAFLPLLGIFTALLPNIEDK ->ARGMiner~~~rif~~~ABD97469~~~rifampin unknown +>ARGMiner~~~rif~~~ABD97469~~~rifampin~~~unknown MNNTIINSLIGGDDSIKRSNVFAVDSQIPTLYMPQYISLSGVMTNDGPDNQAIASFEIRDQYITALNHLVLSLELPEVKGIGRFGYVPYVGYKCINHVSVSSCNGVIWEIEGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYAQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGIVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTVFAHISHTINIIDTSIPVSLWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~APH(3')-Ia~~~XP_001893601~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~XP_001893601~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNMDADLYGYKWARDNVGQSGATIYRLYGKPDAPELFLKHGKGSVANDVTDEMVRLNWLTEFMPLPTIKHFIRTPDDAWLLTTAIPGKTAFQVLEEYPDSGENIVDALAVFLRRLHSIPVCNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKTAFSKIWY ->ARGMiner~~~CTX-M-68~~~ABV81082.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-68~~~ABV81082.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLHAQTVDVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDDTFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~str~~~1405331A~~~aminoglycoside unknown +>ARGMiner~~~str~~~1405331A~~~aminoglycoside~~~unknown LEGSRTNENIKKDKFQDYDFAFFVSDIEYFTHEESWLSLFGELLFIQKPEDMELFPPDLDTGYSYIMYFKDGIKMDITLINLKDLNRYFSDSDGLVKILVDKDNLVTQEIVPDDSNYWLKKPTEREFYDCCNEFWSVSTYVAKGVFRREILFALDHFNNILRPELLRMISWYIGFNRGFDFSLGKNYKFINKYLTDKEFNMLLATFEMNGYRKTYQSFKLCCELFKYYSNKVSCLGNYNYPNYEKNIENFIRNNYEN ->ARGMiner~~~APH(3')-Ib~~~AAA26412.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ib~~~AAA26412.1~~~aminoglycoside~~~unknown MNDIDREEPCAAAAVPESMAAHVMGYKWARDKVGQSGCAVYRLHSKSGGSDLFLKHGKDAFADDVTDEMVRLRWLAGHISVPSVVSFVRTPNQAWLLTTAIHGKTAYQVLKSDFGARLVVVDALAAFMRRLHAIPVSECSVQQWTTHAGLPERGSIEAGVVDVDDFDKEREGWTAEQVWEAMHRLLPLAPDPVVTHGDFSLDNLLIVEGKVVGCIDVGRAGIADRYQDLAVLWNCLEEFEPSLQERLVAQYGIADPDRRKLQFHLLLDELF ->ARGMiner~~~lsaA~~~ZP_03949543~~~multidrug unknown +>ARGMiner~~~lsaA~~~ZP_03949543~~~multidrug~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIEPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~BL1_sm~~~YP_001437965~~~beta_lactam unknown +>ARGMiner~~~BL1_sm~~~YP_001437965~~~beta_lactam~~~unknown MKSKVSALLMMIVLAGHAQAAPVAPPDAVVKPLMARYQIPGMAVAVSVNGETHFWHYGVASKATRKPVDENTLFEIGSLSKTFTATLASKAQQDGKLDFSAPASQYLPALKGSAFDHVTLLNLATHTAGTPLFVPDAVKNTTQLMDWYRAWQPEKPVGTERVYSNLGIGLLGMITAKALDKPFSEAMEQGLLRDFGMTHTFINVPVAAMDDYAQGYNKDDKPVRVTPGPLDAESYGLKSGSADLLRYLQIQLGEQEVAPGWRQAINATHNGYYRSGEFTQGLMWEYYPWPSPLSRLVEGNSSQRIMKGLAATAIVPPQPAPQAAWYNKTGSTNGFSTYAVFIPEKRIALIMLANKWFPNDDRIKAAYAIIQELDK ->ARGMiner~~~RosB~~~YP_001401994~~~polymyxin unknown +>ARGMiner~~~RosB~~~YP_001401994~~~polymyxin~~~unknown MHHSTPLITTIVGGLVLAFLFGTLAHRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKSIAIPGAIAQIAVATLLGMGLSHFLGWDLITGLVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFAGVMGNETTNLNQLFTELAITIGKVIAFITLMIVVGRRLVPWILAKTASTGSRELFTLAVLVLALGIAYGAVELFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILVNEPLAVIASLAIIIFGKSAIAFALVRLFGHSKRTALTISVSLAQIGEFAFILAGLGISLDLLSGHGRNLVLASAILSIMLNPLLFTLLDRYLAKTETMEDLILEEAVEEEKQIPVDLCNHVLLVGYGRVGSLLGAKLHAEGIPLVVIENSRPRVEALREQGINAVFGNAANADVMALGRLDCARWLLLTIPNGYEAGEIVASARIKRPDLEIIARAHYDDEVVYISDRGANQVVMGEREIANSMLNMLKIETLTEEDKMPVCPI ->ARGMiner~~~OXA-1~~~ABB99435~~~beta_lactam unknown +>ARGMiner~~~OXA-1~~~ABB99435~~~beta_lactam~~~unknown MKNTIHINFAIFLIIANIIYSSASAPTDISTVASPLFEGTEGCFLLYDASTNAEIAQFNKAKCATQMAPDSTFKIALSLMAFDAEIIDQKTIFKWDKTPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVKNSAIENTIENMYLQDLDNSTKLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYVFVSALTGNLGSNLTSSIKAKKNAITILNTLNL ->ARGMiner~~~AAC(6')-32~~~ABR10839.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-32~~~ABR10839.1~~~aminoglycoside~~~unknown MSPSKTPVTLRLMTERDLPMLHAWLNRPHIVEWWGGEEERPTLHEVVKHYLPRVLAEEAVTPYIAMLGDEPIGYAQSYVALGSGDGWWEDETDPGVRGIDQFLSNHTQLNQGLGTKLVQALVELLFSDPTVTKIQTDPAPNNHRAIRCYEKAGFVQQNVITTPDGPAVYMVQTRQAFERVRSAA ->ARGMiner~~~MacB~~~AAC73966~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~AAC73966~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~aadA3~~~AAC14728.1~~~aminoglycoside unknown +>ARGMiner~~~aadA3~~~AAC14728.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIHYVKGEITKVVGK ->ARGMiner~~~SHV-127~~~ACV32637.1~~~beta_lactam unknown +>ARGMiner~~~SHV-127~~~ACV32637.1~~~beta_lactam~~~unknown MRFIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNNAERMVVIYLRDTPASMAERNQ ->ARGMiner~~~MIR-9~~~AIT76113.1~~~beta_lactam unknown +>ARGMiner~~~MIR-9~~~AIT76113.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVASSAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQSIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWVIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~tet34~~~ZP_01813310~~~tetracycline unknown +>ARGMiner~~~tet34~~~ZP_01813310~~~tetracycline~~~unknown MSNKFVITWDNMQTYCRQLAEKQMPAEQWKGIWAVSRGGLVPGAILARELGIRHVDTICISSYDHDHQRDMTVVKAPEGDGEGFLIVEDLVDSGDTARKLREMYPKAKLIAVCAKPSGAHLLDEYIVDIAQDTWIEQPWDTSLSYVEPVNRKSK ->ARGMiner~~~KsgA~~~YP_002401190~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~YP_002401190~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTFNFGELAEKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPHPVKDVRVLSRITTEAFNQRRKTIRNSLGNLFSAEVLTGMGIDPAMRAENISVAQYCQMANYLAENAPLQES ->ARGMiner~~~mexS~~~ADT64081.1~~~multidrug unknown +>ARGMiner~~~mexS~~~ADT64081.1~~~multidrug~~~unknown MSRVIRFHQFGPPEVLKCEELPTPAPAAGEVLVRVQAIGVSWKDVLWRQNLAPEQAALPSGLGFELAGEVLAVGAGVGDLPLGSRVASFPAHTPDHYPAYGDVVLMPRAALAVYPEVLTPVEASVYYTGLLVAYFGLVDLAGLKAGQTVLITEAARMYGPVSIQLAKALGARVIASTKSAEEREFLREQGADKVVVTDEQDLVLEVERFTEGKGVNVILDELGGPQMTLLGDVSATRGKLVLYGCNGGNESAFPACAAFKKHLQFYRHCLMDFTGHPEMGLERNDESVSKALAHIEQLTRDRLLKPVVDRVFEFDQVVEAHRYMETCPKRGRVVIHVAD ->ARGMiner~~~OpcM~~~ZP_02889082~~~multidrug unknown +>ARGMiner~~~OpcM~~~ZP_02889082~~~multidrug~~~unknown MDNMYNSNGLMRFAKVAAASTLLATLLAACAVGPDYKRPDVSTPAAFKEAPTLAAGEQAGTWKTAEPADGEHRGEWWKVFGDPVLDSLESQALAANQNLKAAAARVEEARAATRTARSQWFPQVGAGFGPTRQGLSSASQLQPQGTGPTNATLWRAQGTVSYEADLFGRVSRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYRRTVELRDQALKLVQRRFNEGDISELDVSRAKNELATAQADAVGVARRRAASEHALAILLGKAPADFAFKETPIVPVAVKVPAGLPSALLERRPDVSAAERAMAAANARIGLAKSAYFPKLDITGAFGYEASTLGNLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQDAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGAAPAPAAVGDAATGKADVAAR ->ARGMiner~~~OXA-354~~~AGW83452.1~~~beta_lactam unknown +>ARGMiner~~~OXA-354~~~AGW83452.1~~~beta_lactam~~~unknown MYKKALIVATSILFLSACSSNSVKQHQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTDYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDDFWLVGPLKITPQQETQFAYQLAHKMLPFSKDVQEQVQSMVFIEEKNGRKIYAKSGWGWDIEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~SHV-79~~~CAJ47134.2~~~beta_lactam unknown +>ARGMiner~~~SHV-79~~~CAJ47134.2~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEVLPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-52~~~ABB17185.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-52~~~ABB17185.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTESTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~cat86~~~AAA22289.1~~~chloramphenicol unknown +>ARGMiner~~~cat86~~~AAA22289.1~~~chloramphenicol~~~unknown MFKQIDENYLRKEHFHHYMTLTRCSYSLVINLDITKLHAILKEKKLKVYPVQIYLLARAVQKIPEFRMDQVNDELGYWEILHPSYTILNKETKTFSSIWTPFDENFAQFYKSCVADIETFSKSSNLFPKPHMPENMFNISSLPWIDFTSFNLNVSTDEAYLLPIFTIGKFKVEEGKIILPVAIQVHHAVCDGYHAGQYVEYLRWLIEHCDEWLNDSLHIT ->ARGMiner~~~CTX-M-76~~~CAQ42486.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-76~~~CAQ42486.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQTNSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWGVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDVLAAAAKIVTHGF ->ARGMiner~~~OpcM~~~ZP_02904979~~~multidrug unknown +>ARGMiner~~~OpcM~~~ZP_02904979~~~multidrug~~~unknown MDNMYNSNGLMRFAKVAAASTLLATLLAACAVGPDYKRPDVSTPAAFKEAPTLAAGEQAGTWKTAEPADGEHRGEWWKVFGDPVLDSLESQALAANQNLKAAAARVEEARAATRTARSQWFPQVGAGFGPTRQGLSSASQLQPQGTGPTNATLWRAQGTVSYEADLFGRVSRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYRRTVELRDQALKLVQRRFNEGDISELDVSRAKNELATAQADAVGVARRRAASEHALAILLGKAPADFAFKETPIVPVAVKVPAGLPSALLERRPDVSAAERAMAAANARIGLAKSAYFPKLDITGAFGYEASTLGNLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQDAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGPAPTAVGDAAAVKVEVAAR ->ARGMiner~~~OXA-375~~~AHL30275.1~~~beta_lactam unknown +>ARGMiner~~~OXA-375~~~AHL30275.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLVRASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFREWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~MdfA~~~BAG76424~~~multidrug unknown +>ARGMiner~~~MdfA~~~BAG76424~~~multidrug~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLAILLAQNIQQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~OprA~~~ZP_03452771~~~multidrug unknown +>ARGMiner~~~OprA~~~ZP_03452771~~~multidrug~~~unknown MKAMMKPRARRRGARAARRPNGPRAWPLAVAAALVAGCTLAPRYERPAAPVPAHYWSTATGAPREAGPAAAGGPRAMPDARRGDAGRDARDARLDDWRAYFTDPALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFSGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQTVYGADAERLRLAQRRYDSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDECA ->ARGMiner~~~OXA-314~~~AGU69252.1~~~beta_lactam unknown +>ARGMiner~~~OXA-314~~~AGU69252.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CMY-99~~~AGU59995.1~~~beta_lactam unknown +>ARGMiner~~~CMY-99~~~AGU59995.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYSNSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYARGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPATAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~acrB~~~CAC41009~~~multidrug unknown +>ARGMiner~~~acrB~~~CAC41009~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLSILKLPVAQYPTIAPPAISISAMYPGADPQTVQNTVTQVIEQNMNGIDHLMYMSSNGDSTGTVNITLTFESGTDPDIAHVQVQNKLALATPLLPQEVQQQGISVEKASSSFLMVVGVINTNGTMNQDDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISALKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTNTEEFGNILLKVNQDGSQVRLRDVAKIELGGESYDVVAKFNGQPASGLGIKLATGANALDTANAIRAELAKMEPFFPSGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGSHGATTGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLVLYLIIVVGMAWLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTNYYLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSQRPGEENKVEAITARAMGYFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGMVAQHPDVLTGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYIMSEAKYRMLPEDIGKWYVRGSDGQMVPFSAFSTSRWEYGSPRLERYNGLPSLEILGQAAPGKSTGEAMALMEELAGKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATILAIFFVPVFFVVVRRRFSKKSEDIEHSHQVEHH ->ARGMiner~~~OCH-3~~~CAC17623.1~~~beta_lactam unknown +>ARGMiner~~~OCH-3~~~CAC17623.1~~~beta_lactam~~~unknown MRKSTTLLIGFLTTAAIIPNNGALAASKANDGDLRRIVDETVRPLMAEQKIPGMAVAITIDGKSHFFGYGVASKESGQKVTEDTIFEIGSVSKTFTAMLGGYGLATGAFTLSDPATKWAPELADSSFDKITMLDLGTYTPGGLPLQFPDAVSDDSSMLAYFKKWKPDYPAGTQRRYSNPSIGLFGYLAARSMDKPFDVLMEQKLLPAFGLKNTFINVPESQMKNYAYGYSKANKPIRVSGGALDAQAYGIKTTALDLARFVELNIDSSSLEPDFQKAVAATHTGYYRVDANNQGLGWEFYNYPTALKTLLEGNSSDMALKSHKIEKFDTPSQPSADVWLNKTGSTNGFGAYAAFIPAKKIGIVLLANRNYPIDERVKAAYRILQALDNKQ ->ARGMiner~~~OXA-82~~~ABV71248.1~~~beta_lactam unknown +>ARGMiner~~~OXA-82~~~ABV71248.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~IMP-41~~~BAM62795.1~~~beta_lactam unknown +>ARGMiner~~~IMP-41~~~BAM62795.1~~~beta_lactam~~~unknown MKKLFVLCIFLFCSITAAGASLPDLKIEKLEEGVYVHTSFEEVNGWGVFSKHGLVVLVNTDAYLIDTPFTAKDTEKLVNWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASVLTNELLKKDGKVQAKNSFSGVSYWLVKNKIEVFYPGPGHTQDNVVVWLPKNKILFGGCFVKPYGLGNLDDANVEAWPHSAEKLISKYGNAKLVVPSHSDIGDASLLKLTWEQAVKGLNESKKSNTVH ->ARGMiner~~~tet34~~~ZP_02197344~~~tetracycline unknown +>ARGMiner~~~tet34~~~ZP_02197344~~~tetracycline~~~unknown MSKKFIITWDAMQSYCRELAEKQMPAEQWKGIWAVSRGGLVPGAILARELGIRYVDTICISSYDHDHQRDMTVLKAPEGDGEGYLIIEDLVDSGDTARQLREMYPKAKLIAVCAKPSGKELLDDYVVDIAQDTWIEQPWDMSIQYAEPVNRKQK ->ARGMiner~~~TEM-83~~~AAL29435.1~~~beta_lactam unknown +>ARGMiner~~~TEM-83~~~AAL29435.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRCEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDEQNRQIAEIGASLIKHW ->ARGMiner~~~CeoA~~~ZP_02357856~~~multidrug unknown +>ARGMiner~~~CeoA~~~ZP_02357856~~~multidrug~~~unknown MRTSRSRIAAATLAVVVIAGLGAFGAIRVNASAPDKSAVPLPEVDVANVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREAFANLKAADAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNQDGALVPGLYARVKVGGSAPHPALLIDDAAVNTDQDKKFVFVVDQQGRVSYREVQLGSQHGNQRVIVGGLSAGDRIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPQTTQGNARA ->ARGMiner~~~NDM-6~~~AEX08599.1~~~beta_lactam unknown +>ARGMiner~~~NDM-6~~~AEX08599.1~~~beta_lactam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGMVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASVRAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~OXA-258~~~CCE73593.2~~~beta_lactam unknown +>ARGMiner~~~OXA-258~~~CCE73593.2~~~beta_lactam~~~unknown MTVRLVSRALGAVLFASALTLPARADVLCTLVADAADGRILFQQGTRQDCTQRYTPASTFKLPIALMGADAGILQGPHQPVWNYQPAYPDWGGEAWRQPTDPARWIKYSVVWYSQLTARALGQERFQRYTSAFGYGNADVSGEPGKHNGTDGAWIISSLRISPFEQVDFLRKFVNRQLPVKAAAYDLAENLFEVGEADGWRLYGKTGTGSPGSHGVYTPANAYGWFVGWARKDDRQLVFARLLQDEGATQPNAGLRARDGLMRDWAAMVAAPRK ->ARGMiner~~~tet33~~~YP_001096238~~~tetracycline unknown +>ARGMiner~~~tet33~~~YP_001096238~~~tetracycline~~~unknown MHFIIDNFIVDKVSFLTSARGSLATVLITASLDAAGMGLVMPILPALLHEAGVTADAVPLNVGVLIALYAVMQFIFAPVLGTLSDRFGRRRVLLVSLAGATVDYLVLATTSALSVFYIARAVAGITGATNAVTATVIADITPPHQRAKRFGLLSACYGGGMIAGPAMGGLFGAISPHLPFLLAALLSASNLALTFILLRETRPDSPARSASLAQHRGRPGLSAVPGITFLLVAFGLVQFIGQAPGATWVLFTEHRLDWSPVEVGISLSVFGIVQVLVQALLTGRIVEWIGEAKTVIIGCVTDALGLVGLAIVTDAFSMAPILAALGIGGIGLPALQTLLSQRVDEQHQGRLQGVLARINSITSIFGPVAFTTIFALTYINADGFLWLCAAALYVPCVILIMRGTAASPKFGSWASGDSM ->ARGMiner~~~IMP-10~~~BAB72069.1~~~beta_lactam unknown +>ARGMiner~~~IMP-10~~~BAB72069.1~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVFPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~arlS~~~YP_499945.1~~~multidrug unknown +>ARGMiner~~~arlS~~~YP_499945.1~~~multidrug~~~unknown MTKRKLRNNWIIVTTMITFVTIFLFCLIIIFFLKDTLHNSELDDAERSSSDINNLFHSKPVKDISALDLNASLGNFQEIIIYDEHNNKLFETSNDNTVRVEPGYEHRYFDRVIKKRYKGIEYLIIKEPITTQDFKGYSLLIHSLENYDNIVKSLYIIALAFGVIATIITATISYVFSTQITKPLVSLSNKMIEIRRDGFQNKLQLNTNYEEIDNLANTFNEMMSQIEESFNQQRQFVEDASHELRTPLQIIQGHLNLIQRWGKKDPAVLEESLNISIEEMNRIIKLVEELLELTKGDVNDISSEAQTVHINDEIRSRIHSLKQLHPDYQFDTDLTSKNLEIKMKPHQFEQLFLIFIDNAIKYDVKNKKIKVKTRLKNKQKIIEITDHGIGIPEEDQDFIFDRFYRVDKSRSRSQGGNGLGLSIAQKIIQLNGGSIKIKSEINKGTTFKIIF ->ARGMiner~~~TEM-150~~~CAJ66089.1~~~beta_lactam unknown +>ARGMiner~~~TEM-150~~~CAJ66089.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPDTLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tet34~~~YP_002666586~~~tetracycline unknown +>ARGMiner~~~tet34~~~YP_002666586~~~tetracycline~~~unknown MAKKFIITWDQMHTFCRELAEKQMPAEQWKGIWAVSRGGLVPGAILARELGIRHVDTICISSYDHDHQRDMTVVKAPEGDGEGFLIVEDLVDSGDTARKLREMYPKAKLIAVCAKPAGVELLDDYVVDIAQDTWIEQPWDMSIQYVEPINRKQK ->ARGMiner~~~OKP-A-10~~~CAJ19608.1~~~beta_lactam unknown +>ARGMiner~~~OKP-A-10~~~CAJ19608.1~~~beta_lactam~~~unknown MRCVRLCLIPLIAALPLAVFASPPPLEQITLSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHALSDRSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVMIYLRDTPATMAERNQQIAKIGAALIEHWQR ->ARGMiner~~~MdtK~~~A1ABK4~~~multidrug unknown +>ARGMiner~~~MdtK~~~A1ABK4~~~multidrug~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMQNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRAAR ->ARGMiner~~~MdtK~~~B6IBA3~~~multidrug unknown +>ARGMiner~~~MdtK~~~B6IBA3~~~multidrug~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVKPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~CMY-59~~~BAJ17544.1~~~beta_lactam unknown +>ARGMiner~~~CMY-59~~~BAJ17544.1~~~beta_lactam~~~unknown GPGHLFAFNYGTDFMMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHASPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~ANT(4')-Ia~~~AAO83986.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(4')-Ia~~~AAO83986.1~~~aminoglycoside~~~unknown MNMNGPASMAQKERLQTCQEIAKRLHEVYGNDVLAIGVYGSVSRGTDGPFSDIEMFCVLRDSAETVDKSYEWSAGPWKAEVNVCSASILLKDAATVEDRWPLTHGPYFSPLRLYDPEGFFQRLRLAAESPTKEDFRQAIHEILVGEMYEYVGKLRNVNRNGPSTYLPSLALRFAHYGAMLIGLHNQTLFSTGAMVLPEALKLPHRPKGFDHVAELAMSGDLAQPAKIVSACEDFWKGLVAWAAEHDYVIHSKRIPF ->ARGMiner~~~AAC(6')-Iih~~~CAE50926.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iih~~~CAE50926.1~~~aminoglycoside~~~unknown MIISEFDRDNLVLRDQLADLLRLTWPDEYGEQPMKEVERLLEDERIAVSAIEGDELIGFVGAIPQYGQTGWELHPLVVESMYRKQQVGTRLVSYLEKEIASQGGIVVYLGTDDVEGQTSLAIEEDLFEDTFDKLETIQNRKDHPYEFYEKLGYQIVGVIPDANGWNKPDIWMAKRIARKHGSE ->ARGMiner~~~OCH-3~~~YP_001371127~~~beta_lactam unknown +>ARGMiner~~~OCH-3~~~YP_001371127~~~beta_lactam~~~unknown MRKSTTLLIGFLTTAAIIPNNGALAASKANDGDLRRIVDETVRPLMAEQKIPGMAVAITIDGKSHFFGYGVASKESGQKVTEDTIFEIGSVSKTFTAMLGGYGLATGAFTLSDPATKWAPELADSSFDKITMLDLGTYTPGGLPLQFPDAVSDDSSMLAYFKKWKPDYPAGTQRRYSNPSIGLFGYLAARSMDKPFDVLMEQKLLPAFGLKNTFINVPESQMKNYAYGYSKANKPIRVSGGALDAQAYGIKTTALDLARFVELNIDSSSLEPDFQKAVAATHTGYYRVDANNQGLGWEFYNYPTALKTLLEGNSSDMALKSHKIEKFDTPSQPSADVWLNKTGSTNGFGAYAAFIPAKKIGIVLLANRNYPIDERVKAAYRILQALDKKQ ->ARGMiner~~~cat~~~YP_002150494~~~chloramphenicol unknown +>ARGMiner~~~cat~~~YP_002150494~~~chloramphenicol~~~unknown MDTKRVGYTVVDLSQWGRKEHFEAFQSFAQCTFSQTVQLDITSLLKTVKQNGYKFYPTFIYIISLLVNKHAEFRMAMKDGELVIWDSVNPGYTIFHEQTETFSSLWSYYHKDINHFLKTYSEDIAQYGDDLAYFPKEFIENMFFVSANPWVSFTSFNLNVANINNFFAPVFTIGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRLLNEIQQYCDEGCK ->ARGMiner~~~OXA-68~~~AAW81339.1~~~beta_lactam unknown +>ARGMiner~~~OXA-68~~~AAW81339.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQEVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~BL3_cit~~~AAP70377~~~beta_lactam unknown +>ARGMiner~~~BL3_cit~~~AAP70377~~~beta_lactam~~~unknown MKVFLAVSLFLISNLLFAEDPLPELEIKKIEEGVYLYTAYEKVEGWGLVGSNGLVVLDNKDAYLIDTPISAKDTEVLVKWIDAQGFTAKASISTHFHSDSTGGIAFLNSKSIPTYASKQTNKLLKNKGEAQATHSFTKNPFWLVNKKIEVFYPGAGHTSDNVVVWMPEQKILFGGCFVKPEGLGNLSHAVIKEWPASAEKLITRYGSATLVVPGHGKIGDASLLEKTKQRALEALAAKK ->ARGMiner~~~MdfA~~~YP_002411785~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_002411785~~~multidrug~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIITCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMAAGLSLYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLLLMVIFLKDKQMGNSHEG ->ARGMiner~~~AmrB~~~ABC38311~~~multidrug unknown +>ARGMiner~~~AmrB~~~ABC38311~~~multidrug~~~unknown MARFFIDRPVFAWVISLFIMLGGIFAIRALPVAQYPDIAPPVVSLYATYPGASAQVVEESVTAVIEREMNGVPGLLYTSATSSAGQASLSLTFKQGVSADLAAVDVQNRLKTVEARLPEPVRRDGISIEKAADNAQIIVSLTSEDGRLSGVELGEYASANVLQALRRVEGVGKVQFWGAEYAMRIWPDPVKMAALGLTASDIASAVRAHNARVTIGDVGRSAVPDSAPIAATVLADAPLTTPDAFGAIALRARADGSTLYLRDVARIEFGGNDYNYPSFVNGKTATGMGIKLAPGSNAVATEKRVRATMDELAKFFPPGVKYQIPYETASFVRVSMSKVVTTLVEAGVLVFAVMFLFMQNFRATLIPTLVVPVALLGTFGAMLAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEKLPPYEATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFAFALAVSIGFSAFLALSLTPALCATLLKPVADDHHEKDGFFGWFNRFVARSTHRYTQRVGRVLKRPLRWLVVYGALTAAAALLITKLPAAFLPDEDQGNFMVMVIRPQGTPLAETMQSVRRVEEYVRTHSPSAYTFALGGYNLYGEGPNGGMIFVTMKDWKERKRAQDQVQAIIAGINAHFAGTPNTMVFAINMPALPDLGLTGGFDFRLQDRGGLGYGAFVAAREKLLADGRKDPVLTDLMFAGTQDAPQLKLDIDRAKASALGVSMEEINATLAVMFGSDYIGDFMHGSQVRRVIVQADGQHRLDPGDVTKLRVRNAKGEMVPLAAFATLHWTMGPPQLTRYNGFPSFTINGAASAGHSSGEAMAAIERIASALPAGIGYAWSGQSYEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVAGVTLRGMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQGMSLADAALEAARLRLRPIVMTSLAFGVGVLPLAFATGAASGAQIAIGTGVLGGVISATLFAIFLVPLFFVCVGRVVDIVPRRRGGARAALEIK ->ARGMiner~~~CTX-M-58~~~ABM97538.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-58~~~ABM97538.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTETTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~SME-2~~~AAG29813.1~~~beta_lactam unknown +>ARGMiner~~~SME-2~~~AAG29813.1~~~beta_lactam~~~unknown MSNKVNFKTASFLFSVCLALSAFNAHANKSDAAAKQIKKLEEDFDGRIGVFAIDTGSGNTFGYRSDERFPLCSSFKGFLAAAVLERVQQKKLDINQKVKYESRDLEYHSPITTKYKGSGMTLGDMASAALQYSDNGATNIIMERFLGGPEGMTKFMRSIGDNEFRLDRWELELNTAIPGDKRDTSTPKAVANSLNKLALGNVLNAKEKAIYQNWLKGNTTGDARIRASVPADWVVGDKTGSCGAYGTANDYAVIWPKNRAPLIVSIYTTRKSKDDKHSDKTIAEASRIAIQAID ->ARGMiner~~~MexB~~~AAQ92181~~~multidrug unknown +>ARGMiner~~~MexB~~~AAQ92181~~~multidrug~~~unknown MSRFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKSVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRVWLDPAKLNNFSLTPVDVKAAIAAQNIQVSSGQLGGLPAAPGQQLNATIIGKTRLQTAEQFNKILLKVNKDGSQVRLSDVADVGLGGENYSINAQFNGAPASGLAVKLANGANALDTAKALRNTINTLKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRSFDRGVKSYERGVGNMLAHKAPYLLAYLIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAQRTQVVIDEMRSYLLDKESSAVASVFTVNGFNFAGRGQSSGLAFIMLKPWDQRDAENSVFKLAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKVLYQVRPNGLNDEPQYQLEIDDEKASALGITLSDINSTLSIALGSSYVNDFIDRGRVKKVYVQGQPGSRMSPEDIKKWYVRNSAGTMVPFSAFAKGQWIYGAPKLSRYNGVEAMEILGAPAPGYSTGEAMAEVEALAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALLATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSIGQRKTADQDDAIEPSKEAG ->ARGMiner~~~BacA~~~EEQ96502~~~bacitracin unknown +>ARGMiner~~~BacA~~~EEQ96502~~~bacitracin~~~unknown MRVFSRWPAIPFRLFNPSPCPLSQGTDFMDIFNLLEAAFLGLIEGLTEFIPVSSTGHLLLVGHFLGFESTGKTFEVLIQLGAILAILTVYSAKLLKILTDFPRDARTRRFVFGILVAFLPAAVIGALAHGFIKSVLFETPMLVCAMLIIGGFVLLWVDQLNLRPRYHDVMDYPLPMCLAIGFIQCLAMIPGVSRSGSTIVGSLLLGADKRSAAEFSFFLAMPTMAGAFAYDLYKSRDILSFNDGALIGVGFVMAFISGVFVVRYLLDYVSRHGFKLFAWWRLIVGALGMAALMIWG ->ARGMiner~~~acrA~~~CAR60299~~~multidrug unknown +>ARGMiner~~~acrA~~~CAR60299~~~multidrug~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGNKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~IMP-42~~~BAM62793.1~~~beta_lactam unknown +>ARGMiner~~~IMP-42~~~BAM62793.1~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNRWGVVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~IMP-7~~~AAK12087.1~~~beta_lactam unknown +>ARGMiner~~~IMP-7~~~AAK12087.1~~~beta_lactam~~~unknown MKKLSVFFMFLFCSIAASGEALPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNTDAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFSGASYWLVKKKIEIFYPGPGHTPDNVVVWLPEHRVLFGGCFVKPYGLGNLGDANLEAWPKSAKLLVSKYGKAKLVVPSHSEVGDASLLKRTLEQAVKGLNESKKLSKPSN ->ARGMiner~~~QnrB29~~~ADM52193.1~~~quinolone unknown +>ARGMiner~~~QnrB29~~~ADM52193.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMVDFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~BacA~~~YP_985461~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_985461~~~bacitracin~~~unknown MDTLLLLKAAIMGVVEGLTEFLPISSTGHLILAGSLLGFDDAKAKVFDIAIQTGAIFAVILVYWQRIRATLVALPTERQARRFALNVLIGFLPAVLLGLLLGKAIKAHLFTPVVVASTFILGGFVILWAERRQQAAVRIHAVDDMTPLDALKVGLVQCLAMVPGTSRSGATIIGGMLLGLSRKAATDYSFFLAIPTLIGAGVYSLYKERALLSAADIPLFAVGLVFSFISAWLCVRWLLRYISLHSFVPFAWYRIAFGLVVLATAWSGLVTWAE ->ARGMiner~~~ceoB~~~YP_001811890~~~multidrug unknown +>ARGMiner~~~ceoB~~~YP_001811890~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVIILLGGVIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDNRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRGLSAEDVVQSIREQNVQVAAGVIGASPSLPGTPLQLSVNARGRLQTEDEFGDIVVKTTPDGGVTHLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLQISDEVRKTMAELKQDMPAGIDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASLIPLIAVPVSIIGTFSLLLGFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIESGMNARQATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFKRFNKVFHRGAENYGRGVRGVLSRKTLMLGVYLVLVGATVLVSKVVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGSIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFSERHGKALSAGAIAGALNQQYGAIKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYAKLSDATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVPVTDVFNTMQVYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNDKGEMVPLSSLVTVTPTFGPEMVVRYNGYTAADINGGPAPGFSSGQAQAAVERIAHETLPRGVRFEWTDLTYQQILAGDSAMWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGRTPLEAAIEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGIAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAGKDSAGYGVSPSGASATDA ->ARGMiner~~~MexF~~~YP_607927~~~multidrug unknown +>ARGMiner~~~MexF~~~YP_607927~~~multidrug~~~unknown MNFSKFFITRPIFAAVLSLVLLIAGSISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTASDVVAAIREQNRQVAAGQLGAPPAPGSTSFQLSINTQGRLVNEEEFENIIIRAGANGEITRLKDIARVELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIEISDEVRAKMAELKKEFPEGMDYSIVYDPTVFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLMAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPLEATQKAMSEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKDHHAPKDRFSRFLEKLLGSWLFAPFNRFFDRASHGYVGGVRRVIRSSGIALFVYAGLMGLTYLGFSSTPTGFVPAQDKQYLVAFAQLPDAASLDRTEAVIKKMSEIALKQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAQAIAAALNAQFADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNLGYEALYKETQNIIAKSRSVPELAGLFTSYQVNVPQVDAAIDREKAKTHGVAITDIFDTLQVYLGSLYTNDFNRFGRTYQVNVQAEQQFRLDAEQIGQLKVRNNLGEMIPLATFLKVSDTSGPDRVMHYNGFITAEINGAAAPGYSSGQAEAAIEKLLKEELPNGMTFEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIVSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDEQAKGLDPLAAVLEACRLRLRPILMTSIAFIMGVVPLVFSSGAGSEMRHAMGVAVFSGMIGVTVFGLFLTPVFFFLIRRFVERRQARKAERSVALENHA ->ARGMiner~~~VIM-4~~~CAE46566~~~beta_lactam unknown +>ARGMiner~~~VIM-4~~~CAE46566~~~beta_lactam~~~unknown MRVGLTQALCRTHPYGVLMLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSRTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~AmrB~~~ZP_02360222~~~multidrug unknown +>ARGMiner~~~AmrB~~~ZP_02360222~~~multidrug~~~unknown MARFFIDRPVFAWVISLFIMLGGIFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTAVIEREMNGVPGLLYTSATSSAGQASLYLTFKQGVSADLAAVDVQNRLKTVEARLPEPVRRDGISVEKAADNIQLVVSLTSEDGRMSAVQLGEYASANVVQALRRVEGVGKVQFWGAEYAMRIWPDPVKLAALNLTASDIATAVRAHNARVTIGDIGRSAVPDSAPIAATVLADAPLSTPDAFGAIALRARADGSTLYLRDVAKIEFGGNDYNYPSFVNGKTATGMGIKLAAGSNAVATEKRVRATMDDLSKFFPPGVTYQIPYETSSFVRVSMQKVVTTLIEAGVLVFAVMFLFMQNFRATLIPTLVVPVALLGTFGAMLAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEKLPPYEATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFALALAVSIGFSAFLALSLTPALCATLLKPVADDHHEKRGFFGWFNRFVARATNRYTRRVGQVLKRPLRWLVVYGALTAGAALLLTKLPTAFLPDEDQGNFMVMVIRPQGTPLAETMQSVRRVEEYVRKKEPSAYTFALGGFNLYGEGPNGGMIFVTLKDWKERKRTHDQVQAIVARINEHFAGTPNTTVFAMNSPALPDLGSTGGFDFRLQDRGGLGYAALVAAREKLLAEGHANAVLTDLMFAGTQDAPQLKLDIDRAKASALGVSMDEINATLAVMFGSDYIGDFMHGAQVRRVIVQADGLHRLDPGDVTKLRVHNAKGEMVPLSAFATLHWTMGPPQLTRYNGFPSFTINGSAAAGHSSGEAMAAIERIASTLPAGVGYAWSGQSFEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVAGVTLRGMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLADAALEAARLRLRPIVMTSLAFGVGVLPLAFATGAASGAQVAIGTGVLGGVVSATLFAIFLVPLFFVCVGRVFDVGPRRRGGAHAALEIK ->ARGMiner~~~acrA~~~ZP_03359886~~~multidrug unknown +>ARGMiner~~~acrA~~~ZP_03359886~~~multidrug~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQSTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGDKWLVTDGLKAGDRVVVSGLQKVVLAHRLKCRKLPRITNSKPQAVINLLSPGLNLNRSR ->ARGMiner~~~PmrB~~~AEX49906.1~~~polymyxin unknown +>ARGMiner~~~PmrB~~~AEX49906.1~~~polymyxin~~~unknown MSRAAVPSVRRRLLVNLLVGFVLCWLSVAALTYHLSLKQVNRLFDDDMVDFGEAALRLLDLATEDQAGEDGSITEIIERSREAIQGLPLLRRESALGYALWRDGQPLLSSLNLPPEITAQGPGFSTVEAQGTHWRVLQLNIDGFQIWISENLIYRQHTMNLLLFYSLFPLLLALPLLGGLVWFGVARGLAPLREVQAEVQQRSARHLQPIAVEAVPLEIRGLIDELNLLLERLRTALEAERRLTSDAAHEIRTPLASLRTHAQVALRSEDPKAHARGLLQVSRSVERISTLMEQILLLARLDGDALLEQFHPVNLATLAEDVLSELARQAIDKDIELSLHQETVHVMGIDLWLKAMVGNLVGNALRYTPAGGQVEIRVENRAQHAVLRVRDNGPGVALEEQQAIFTRFYRSPATSSGEGSGLGLPIVKRIVELHFGSIGLGKGLEGKGLEVQVFLPKTQPDATRPPARGPDSGRSHI ->ARGMiner~~~BacA~~~ZP_03225116~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03225116~~~bacitracin~~~unknown MLNEWIIAIILGILEGLTEFLPVSSTGHLILAANLLEFHGETAKTFEVVIQLGAVLAVLILYWKRFVSFLSTEQFEGNQLNLVHIALAMLPAVVVGLLLHSFIKAYLFGPETVLVGLVVGGIFMIVAEKLKKAPKASNLDEITYRQAFGIGMFQCFALWPGFSRSGSTIAGGILLGVNHRSAAEFTFLVSVPIMMAATGLDIVKSLDTLVAEDFALFGVGFLTSFIVAMIAIKTFLHLLKKLSLSVFAYYRFVLAAVYFFIVL ->ARGMiner~~~ACT-25~~~AHL39338.1~~~beta_lactam unknown +>ARGMiner~~~ACT-25~~~AHL39338.1~~~beta_lactam~~~unknown MMKKSLCCALLLGLSCSALAAPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKSHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILKALQ ->ARGMiner~~~OXA-317~~~AGU69255.1~~~beta_lactam unknown +>ARGMiner~~~OXA-317~~~AGU69255.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQEEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~mfpA~~~CCP46182.1~~~quinolone unknown +>ARGMiner~~~mfpA~~~CCP46182.1~~~quinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~MacB~~~YP_403947~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_403947~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLHREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIDKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNIRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMLGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTSANDIPMDVGAGASYVLYHLFFRYPCKVLPAVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDVLARE ->ARGMiner~~~mexD~~~YP_002090520~~~multidrug unknown +>ARGMiner~~~mexD~~~YP_002090520~~~multidrug~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVDGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGVLGEHDDHVSGMVPGDRASWVDDAHRGGGRNVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~FosB~~~NP_978424~~~fosfomycin unknown +>ARGMiner~~~FosB~~~NP_978424~~~fosfomycin~~~unknown MLNGINHLCFSVSNLEDSIEFYEKVLEGELLVRGRKLAYFNICGVWVALNEEIHIPRNEIYQSYTHIAFSVEQKDFESLLQRLEENDVHILKGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMTFY ->ARGMiner~~~APH(3')-Ia~~~BAA08271~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~BAA08271~~~aminoglycoside~~~unknown MSHIQRETSCSKPRLNSNMDADLYGYKWARDNVGQSGATIYRLYGKPDAPELFLKHGKGSVANDVTDEMVRLNWLTEFMPLPTIKHFIRTPDDAWLLTTAIPGKTAFQVLEEYPDSGENIVDALAVFLRRLHSIPVCNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRLFQKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~DHA-15~~~AIT76106.1~~~beta_lactam unknown +>ARGMiner~~~DHA-15~~~AIT76106.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGYMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~CMY-76~~~AFK73437.1~~~beta_lactam unknown +>ARGMiner~~~CMY-76~~~AFK73437.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEEKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVQPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~BacA~~~ZP_04220669~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04220669~~~bacitracin~~~unknown MSDIITAFILGIVEGLAEFLPISSTGHLILVGHLLGFEGERAKTFEIVIQLGAILAIAILYHKRLVSLCNIKPLLRKEKKFNAFHVFLGVFPAVVAGLLLHDVIKTYLFQPYTVVIGLVAGAILMIFAEVKKQEATSYSLDDLTYRQALTIGLFQCLAVYPGFSRAGSTISGGLLAKVNYKTASEFSFLIALPVMVGATGLDLLKSWTHLSVDDIPMFAVGFITSFIVAMLAVVTFLKLLEKIGLKPFAYYRILLAILFTVFVLL ->ARGMiner~~~tetPB~~~NP_348076~~~tetracycline unknown +>ARGMiner~~~tetPB~~~NP_348076~~~tetracycline~~~unknown MKKIINIGIVAHVDAGKTTITENLLYYSGAIKSVGSVDSGNTQTDSMELERKRGITIKSSTISFNWNDVKINIIDTPGHVDFISEVERSLSVLDGAIIVISGVEGIQSQTRILFNTLKELNIPTIIFVNKLDRIGADFNKVFEEIKKNISNRVVRLQEAYDMGSKDVYIRNLSDICPINNDAVDVISDLDEVFLERYISGVEPNKEEVQEKLSLYAREGSLYPVFCGAAAIGLGIGDLLDGICSYLPFAGDECEGNLSGVVFKIERTSTNEKKVYVRLFGGRVSVRDRIQVPSKEIVEKVKKINSLENGRIIETQSIEAGDIGILYGLTDFQVGDVIGVSNNKIKNISIAKPTLKTTISAIDKEENQELFKALTLLAEEDPLLELEMNDIDEEIYVNLFGEVQMEILSSILDGLYGIKVEFSNIQTIYKEKPKGVGMSIMRMQEDLNPFWATVALKIEPKGRGEGLRYISNVSVGSLPKSFQNAIEEAVVKTSKQGLFGWEVTDAKVTLTCGEFFSPASTPSDFRNVTPMVFMEALYRAQTVLLEPLYEFELRIPQDVLSKAIWDLETMRAIFDSPIVIGDEFSIRGLIPVENSKEYKMKIASYTKGKGMFTTKFYGYKEVSAKFAKARPKTTYDPLNKKEYLLYKLNAIRD ->ARGMiner~~~BacA~~~ZP_03941859~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03941859~~~bacitracin~~~unknown MLLNLIDERFGFMLDIIKAVILGIVEGITEFLPISSTGHLILVNEFIKMRESTQFTDMFNVVIQLGAIMAVVVLYFHKLNPLSPKKDPFEKHATWILWFKVIVAVLPSVVLGLLFNDWMDAHLMNWEVVSAMLLIYGILFIVIENRNANRRPRFTDLNNLPYQTAFIIGMFQLLSLVPGTSRSGATILGAILIGTSRYVATEFSFFLAIPTMFGASLLKVYKYFDHGGTFNGTQSIVLATGIIVSFVVAYLAIRFLLNYIKNNNFKIFGWYRIVLSLIVIAYFGLIAR ->ARGMiner~~~OXA-139~~~CAQ51348.1~~~beta_lactam unknown +>ARGMiner~~~OXA-139~~~CAQ51348.1~~~beta_lactam~~~unknown MKKFILPIFSISILVSLSACSSIKTKSEDNFHISSQQHEKAIKSYFDEAQTQGVIIIKEGKNLSTYGNALARANKEYVPASTFKMLIALIGLENHKATTNEIFKWDGKKRTYPMWEKDMTLGEAMALSAVPVYQELARRTGLELMQKEVKRVNFGNTNIGTQVDNFWLVGPLKITPVQEVNFADDLAHNRLPFKLETQEEVKKMLLIKEVNGSKIYAKSGWGMGVTPQVGWLTGWVEQANGKKIPFSLNLEMKEGMSGSIRNEITYKSLENLGII ->ARGMiner~~~OXA-121~~~AGU69248.1~~~beta_lactam unknown +>ARGMiner~~~OXA-121~~~AGU69248.1~~~beta_lactam~~~unknown MNIKALLLITNAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKTTTTEVFKWDGQKRLCPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~mdtG~~~B7NAS9~~~multidrug unknown +>ARGMiner~~~mdtG~~~B7NAS9~~~multidrug~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKKPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~CTX-M-132~~~AFQ94051.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-132~~~AFQ94051.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~OXY-3-1~~~AAN28732.1~~~beta_lactam unknown +>ARGMiner~~~OXY-3-1~~~AAN28732.1~~~beta_lactam~~~unknown MIKTSWRKSALIAAALPLLLCSSSLWANAIQQKLADLEKSTGGRLGVALIDTTDNSQILYRGDERFAMCSTGKVMAAAAVLKQSESNKDVVNKRLEIKASDLVVWSPVTEKHLQSGMTLAELSAAALQYSDNTAMNKMIGYLGGPEKVTAFARSIGDVTFRLDRTEPALNTAIPGDERDTTTPLAMAESLHKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPASWVVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPLQDAKSRKDVLAAAAKIVTEGL ->ARGMiner~~~QnrA5~~~AAZ04784.1~~~quinolone unknown +>ARGMiner~~~QnrA5~~~AAZ04784.1~~~quinolone~~~unknown MDIIDKVFQQEDFSRQDLSDSRFRRCRFYQCDFSHCQLRDASFEDCSFIESGAVEGCHFSYADLRDASFKACRLSLANFSGANCFGIEFRECDLKGANFSRARFYNQISHKMYFCSAYISGCNLAYANLSGQCLEKCELFENNWSNANLSGASLMGSDLSCGTFSRDCWQQVNLRGCDLTFADLDGLDPRRVNLEGVKICAWQQEQLLEPLGVIVLPD ->ARGMiner~~~OXA-71~~~AAW81342.1~~~beta_lactam unknown +>ARGMiner~~~OXA-71~~~AAW81342.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKTTTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~SHV-43~~~AAL40899.1~~~beta_lactam unknown +>ARGMiner~~~SHV-43~~~AAL40899.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHFADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLSAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~vanXB~~~AAB05628.1~~~glycopeptide unknown +>ARGMiner~~~vanXB~~~AAB05628.1~~~glycopeptide~~~unknown MENGFLFLDEMLHGVRWDAKYATWDNFTGKPVDGYEVNRIIGTKAVALALREAQIHAARLGYGLLLWDGYRPKSAVDCFLRWAAQPEDNLTKEKYYPNIERAELITKGYVASQSSHSRGSTIDLTLYHLDTGELVSMGSNFDFMDERSHHTAKGIGNAEAQNRRCLRKIMESSGFQSYRFEWWHYKLIDEPYPDTYFNFAVS ->ARGMiner~~~CMY-111~~~AHW47897.1~~~beta_lactam unknown +>ARGMiner~~~CMY-111~~~AHW47897.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDVEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~BacA~~~ZP_03984017~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03984017~~~bacitracin~~~unknown MYFAFISERNGDMLFANLWKAIILGIIEGITEWLPISSTGHLILVDEFIKLDLSKDFMEMFNVVIQLGAIMAVVILYFHKLNPFSPKKNGEEKKDTWILWSKVLVACLPAAVIGLKFDDYLDAHFYNFLTVSIMLIVYGIAFIIIEKRNKNVAPKCTNLKDFTYKAALIVGAFQVLALIPGTSRSGATILGAILIGASRFVATEFSFFLGIPVMFGASFLKIFKFLAKGNTFGSEEIIILITGSIVAFVVSIIAIKFLLNYLKKNDFTVFGWYRVILGAILIGYWLFS ->ARGMiner~~~arr-5~~~ABV26707.1~~~rifampin unknown +>ARGMiner~~~arr-5~~~ABV26707.1~~~rifampin~~~unknown MTVDWIPISHDNYHQVRGPFYHGTKAELAIGDLISTGFISHFERDRALKHVYFSALMEPAIWGAELAVALSGSDGPGHIYIIEPTGPFEDDPNLTNKRFPGNPTQSYRTCHPLKIVGILREWERHSPEALKTMLDSLADLKRRGLAIIEE ->ARGMiner~~~TLE~~~AAA19882.1~~~beta_lactam unknown +>ARGMiner~~~TLE~~~AAA19882.1~~~beta_lactam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGGAAPAFAAPIDDQLAELERRDNVLIGLYAANLQSGRRITHRLDEMFAMCSTFKGYAAARVLQMAEHGEISLDNRVFVDADALVPNSPVTEARAGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTAAALAVGYRAILAGDALSPPQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPDGQRLLLVMMTRSQAHDPKAENLRPLIGELTALVLPSLL ->ARGMiner~~~SHV-19~~~AAF34333.1~~~beta_lactam unknown +>ARGMiner~~~SHV-19~~~AAF34333.1~~~beta_lactam~~~unknown MLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEAFPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERN ->ARGMiner~~~OXA-28~~~AAF72942.1~~~beta_lactam unknown +>ARGMiner~~~OXA-28~~~AAF72942.1~~~beta_lactam~~~unknown MKTFAAYVITACLSSTALASSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNNLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLSLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFGLEGQLRISAVNQVEFLESLFLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKGTEVYFFAFNMDIDNENKLPLRKSIPTKIMASEGIIGG ->ARGMiner~~~TEM-186~~~AET99222.1~~~beta_lactam unknown +>ARGMiner~~~TEM-186~~~AET99222.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNNERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BacA~~~ZP_03564086~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03564086~~~bacitracin~~~unknown MFIIELIKGIILGVVEGLTEFAPVSSTGHMILVDDIWLKSSEFLGSQSAFTFKIVIQLGSVFAAAWVFRERFLEILHIGKHKHVEGDNDQQRRSKPRRLNLLHVLVGMVPAGILGLLFDDFIEEHLFSVPTVMIGLFVGAIYMIIADKYSAKVKNPQTVDQISYFQAFVIGISQAVAMWPGFSRSGSTISTGVLMKLNHKAASDFTFIMAVPIMLAASGLSLLKHYQDIQIADIPFYILGFLAAFTVGLIAIKTFLHLINKIKLIPFAIYRIVLVIFIAILYFGFGIGKGI ->ARGMiner~~~TEM-91~~~BAB16308.1~~~beta_lactam unknown +>ARGMiner~~~TEM-91~~~BAB16308.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDCWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~PDC-7~~~ACQ82812.1~~~beta_lactam unknown +>ARGMiner~~~PDC-7~~~ACQ82812.1~~~beta_lactam~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~VIM-10~~~AAS13761.1~~~beta_lactam unknown +>ARGMiner~~~VIM-10~~~AAS13761.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQYVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~CTX-M-91~~~ACX34099.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-91~~~ACX34099.1~~~beta_lactam~~~unknown MMRKSVRRAMLMTTACVSLLLASVPLCAQANDVQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAVAAVLKQSETQKGLLSQRVEIKPSDLINYNPIAEKHVNGTMTFGELSAAALQYSDNTAMNKLIAHLGGPDKVTAFARTIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQSLRNLTLGNALGDTQRAQLVMWLKGNTTGAASIQAGLPTSWVVGDKTGSGDYGTTNDIAVIWPEGRAPLVLVTYFTQSEPKAESRRDVLAAAARIVTDGY ->ARGMiner~~~BacA~~~YP_746446~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_746446~~~bacitracin~~~unknown MDWLILIKAFLLGIVEGLTEFLPISSTGHLILAGDLLDFNDDKAQVFTVAIQLGAILSVCWEYRARLINVARGWGTRRANRFVLNLCVAFLPAAILGLLFIKTIKYYLFHPLPVAIALVTGGVLILWAERREHRIEVENVDDMNWKHALKIGCAQCLALIPGTSRSGATIIGGLLSGLSRKAAAEFSFFLAIPIMFAATFYDVYKHREFLHSDDLGMFVVGSIAAFISALIAIRGFIRYVSHHDFTLFAWYRIGFGLIVLLTAHFGLINWSAG ->ARGMiner~~~vanRM~~~ACL82957.1~~~glycopeptide unknown +>ARGMiner~~~vanRM~~~ACL82957.1~~~glycopeptide~~~unknown MRRISILIAEDEEEIADLLAIHLEKEGYDVIKVHDGQEALHVIQAQSIDLIILDIMMPKMDGYEVTRQVRAQYNMPIIFLSAKTSDFDKVHGLVIGGDDYITKPFTPIELVARVNAQLRRSMKLNHPQADDKKSILEFGEIVISPDQRTVFLYGENIGLTPKEFDILYLLASHPKKVYSVENIFQQVWNDAYFGGGNTVMVHIRTLRKKLGEDKRKNKLIKTVWGVGYTFNG ->ARGMiner~~~TEM-109~~~AAT46413.1~~~beta_lactam unknown +>ARGMiner~~~TEM-109~~~AAT46413.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-216~~~CCA94649.1~~~beta_lactam unknown +>ARGMiner~~~OXA-216~~~CCA94649.1~~~beta_lactam~~~unknown MNIKALLLITSAISISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAIKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~TEM-68~~~CAB92324.1~~~beta_lactam unknown +>ARGMiner~~~TEM-68~~~CAB92324.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASKRGSRGIIAALGPDGKPSRIVVIYMTGSQATMDELNRQIAEIGASLIKHW ->ARGMiner~~~MepA~~~ZP_04679156~~~multidrug unknown +>ARGMiner~~~MepA~~~ZP_04679156~~~multidrug~~~unknown MKDEQLYFFEKAPIFKAMMHFSLPMMIGTLLSVIYGILNVYFIGFLDDSHMISAISLTLPIFAALMGFGNLFGVGGGTYISRLLGAKDYSKSKYVSSFSIYGGLLFGIIIALITIPFTDQIAQILGAHGETIHFTSEYLRIMFLSAPFVILFFILEQFARAVGAPFVSMAGMLASVVLNMILDPILIFGFDLNVVGAALGTAISNLAAAIFFIIYFVKKIDSLSLNIKFAKPKKDMMAEIFKIGIPAFLMVVLMGVTGLVLNLFLAHYGNYAIASYGIQFRLVQFPELIIMGLSEGVVPLIAYNFVANQSRMKDTIKAVIISIFAIFAVSMVIVFIFGHQIIQLFSTDPHIVNLATFMLQVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGTIIIPVLFVMNSLFGLTGVVWSLLIAETLCALAAMLIVYLLRNKLTVDKEALLEE ->ARGMiner~~~SHV-34~~~AAK64187.1~~~beta_lactam unknown +>ARGMiner~~~SHV-34~~~AAK64187.1~~~beta_lactam~~~unknown MRYFRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGSVGMIEMDLASGRTLTAWRADGRFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~TEM-81~~~AAL29433.1~~~beta_lactam unknown +>ARGMiner~~~TEM-81~~~AAL29433.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAVTMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BcII~~~ZP_04312848~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04312848~~~beta_lactam~~~unknown MKERVEKMRKNTLLKLGVCVSLLGTTQFVSTISSVKAEHKLEHKVIKNETGTISISHLNKNVWIHTELGYFNGEAVPSNGLVLTTSKGLVLVDSSWDDKLTKELIEMVEKKFKKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKNGYEEPIGDLQTVTNLKFGNMKVETFYPGKGHTEDNIVVWLSQYNILAGGCLVKSASAKDLGNVADAYVNEWSTSIENVLTRYRNINAVVPGHGEVGDRGLLLHTLELLK ->ARGMiner~~~MexF~~~EEB58431~~~multidrug unknown +>ARGMiner~~~MexF~~~EEB58431~~~multidrug~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRASFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVSEEEFENVVVRAGPDGEITRLKDVARIELGSSQYALRSLLNNQPAVAMPIFQRPGSNAIDISNDVRARMAELKKGFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVVLFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVEATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKAHDAPKDRFSRFLDKILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFDERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIITKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAAPGFSSGQAQTAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVIIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVLSGMLGVTFFGLLLTPVFYVLIRNYVGRQEARKAAKAKKLQNLPAEMH ->ARGMiner~~~CTX-M-44~~~BAA07082.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-44~~~BAA07082.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAERRRDILAAAAKIVTHGF ->ARGMiner~~~ceoB~~~YP_001025938~~~multidrug unknown +>ARGMiner~~~ceoB~~~YP_001025938~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVIILLAGMIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPNDSYDMTYLRNYALINVKDRLSRIQGVGQVQLWGAGDYAMRVWLDPQKVAQRNLTADDVVRAIREQNVQVAAGVIGASPTLPGTPLQLSVNARGRLQNEDEFGDIVVKTAPDGGVTHLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLAISDEVRKTMAELKQDFPAGVDYRIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASIIPLIAVPVSIVGTFSLLLLFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIENGLTARAATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRGFNKVFHRGAENYGRGVRGVLSRKAVMLGLYLVLVGATLMVSKIVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGAIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFDQRHGKALSAGAIAGALNQKYAALKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYARLADATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVNVTDVFDTMQIYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNAAGEMVPLSSLVTVSPTFGPEMVVRYNAYTAADVNGGPAPGYSSGQAQAAVERIAAQTLPRGVKFEWTDLTYQQILAGDSAFWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGKTPFEAAVEASRLRLRPILMTSIAFIMGVVPLVLSTGAGAEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAQKDSAGYGVPAPDA ->ARGMiner~~~tet41~~~AAP93922.1~~~tetracycline unknown +>ARGMiner~~~tet41~~~AAP93922.1~~~tetracycline~~~unknown MKKPMLVILLTVLLDAVGIGLIMPILPALLRSLGGLDAGSVHYGALLAAYALMQFLFSPILGALSDRFGRRPVLLISLAGAAADYLLMAFAPTLAWLYLGRLLAGITGANMAVATAYVTDITPVGQRARRFGLVGAVFGVGFIVGPLLGGSLGEWHLHAPFLAAAMMNALNLVMAFFLLPESRKSRPRAAEKIRLNPFSSLRRLHGKPGLLPLAGIYLVMALVSQAPATLWILYGQDRFGWSMMVAGLSLAGYGACHALSQAFAIGPLVARLGERKALLIGLAADAVGLALLSVATRGWAPFALLPFFAAGGMALPALQALMAHKVDDDHQGELQGTLASMGSLIGVAGPLVATALYAATRDVWPGLVWALAAALYLVVPPLLARSRARDAAP ->ARGMiner~~~tolC~~~YP_002807373~~~multidrug unknown +>ARGMiner~~~tolC~~~YP_002807373~~~multidrug~~~unknown MIALNTASPQGMQMKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~smeA~~~AAD51344.1~~~multidrug unknown +>ARGMiner~~~smeA~~~AAD51344.1~~~multidrug~~~unknown MSLLRPLSRSPRPLLLPLLLALAACSADRTDAPAMPEVGVIIASAQPLALQQTLPGRAVPFEISEVRPQIGGLIRQRLFTEGQQVKAGQLLYQVDPAPYQAAFDTARGQLAQAEATVLSAQPKAERTRALVSMDAASKQDADDATSALKQAQANVIAARAALQAARINLDYTRVTAPIDGRIGTSSVTAGALVAAGQDTALTTIQRLDPVYLDVTQSSTQMLALRKRLDAGLVKAIDGKAQVKVLLEDGSTYAHEGTLEFVGSAVDPGTGNVKLRAVIPNPDGLLLPGMYLKAVLPMATDARALLVPQKAVVRNERGEPLLRLLDAKDHVVERRVSTGQVVGNQWQITSGLKAGERVIVSNGSAVSLGQQVKAVAPTTAQLAAMPAVDPNGNTDEKSH ->ARGMiner~~~BcII~~~ZP_04276394~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04276394~~~beta_lactam~~~unknown MKERVDNMKKNTLLKVGLCVSLLGTTQFVSTISSVQASQKVEQTVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLVLNTAKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVVITHAHADRIGGIKTLKERGIKAHSTALTAELAKNSGYEEPLGDLQTITSLKFGNTKVETFYPGKGHTEDNIVVWLPQYQILAGGCLVKSAEAKDLGNVADAYVNEWSTSIENVLKRYGNINSVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~ErmE~~~1112175A~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmE~~~1112175A~~~macrolide-lincosamide-streptogramin~~~unknown VSSSDEQPRPRRRNQDRQHPNQNRPVLGRTERDRNRRQFGQNFLRDRKTIARIAETAELRPDLPVLEAGPGEGLLTRELADRARQVTSYEIDPRLAKSLREKLSGHPNIEVVNADFLTAEPPPEPFAFVGAIPYGITSAIVDWCLEAPTIETATMVTQLEFARKRTGDYGRWSRLTVMTWPLFEWEFVEKVDSAIMRLRRRAEPLLEGAALERYESMVELCFTGVGGNIQASLLRKYPRRRVEAAFDHAGVGGGAVVAYVRPEQWLRLFERLDQKNEPRGGQPQRGRRTGGRDHGDRRTGGQDRGDRRTGGRDHRDRQASGHGDRRSSGRNRDDGRTGEREQGDQGGRRGPSGGGRTGGRPGRRGGPGQR ->ARGMiner~~~OXA-352~~~AGW83450.1~~~beta_lactam unknown +>ARGMiner~~~OXA-352~~~AGW83450.1~~~beta_lactam~~~unknown MYKKALIVATSILFLSACSSNTVKQHQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTDYIPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDDFWLVGPLKITPQQETQFAYQLAHKTLPFSKNVQEQVQSMVFIEEKNGRKIYAKSGWGWDIEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~IMI-7~~~AIS19858.1~~~beta_lactam unknown +>ARGMiner~~~IMI-7~~~AIS19858.1~~~beta_lactam~~~unknown MSLNVKPSRIAILFSSCLVSISFFSQANTKGIDEIKDLEKDFNGRIGVYALDTGSGKSFSYKANERFPLCSSFKGFLAAAVLKGSQDNQLNLNQIVNYNTRSLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDKDFRLDRWELDLNTAIPGDERDTSTPAAVAKSLKTLALGNILNEREKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKNEKEAKHEDKVIAEASRIAIDNLK ->ARGMiner~~~TEM-67~~~AAD33116.2~~~beta_lactam unknown +>ARGMiner~~~TEM-67~~~AAD33116.2~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCIPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSCGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tet39~~~AAW66497.1~~~tetracycline unknown +>ARGMiner~~~tet39~~~AAW66497.1~~~tetracycline~~~unknown MKKSLSVILITIFLDAVGIGLIMPILPELLRSLAGAEAGGVHYGALLAVYALMQFIFAPILGALSDRFGRRPVLIISIAGATADYLLMAAAPSLLWLYIGRIFAGITGANMAVATAYVSDITPAHERAKRFGLLGAVFGIGFIAGPVIGGVLGEWNLHAPFFAAAFMNGINLIMTAVLLKESKHSNKMTEKVQEQSILKKLSYLITQPNMAPLLGIFLIITLVSQVPATLWVIYGQDRYGWSIFIAGVSLASYGICHSIAQAFAIAPMVKRFGEKNTLLCGIACDAIGLLLLSIAVEEWVPFALLPLFALGGVAVPALQAMMSRGISDERQGELQGLLSSFNSLGAIIGPVLVTSLYFMTQASAPGMVWALAAILYVITLPLLLKYRLNKYSGVP ->ARGMiner~~~mexN~~~BAE06006.1~~~multidrug unknown +>ARGMiner~~~mexN~~~BAE06006.1~~~multidrug~~~unknown MTPRAGISGWCVRHPIATALLTLASLLLGLLAFLRLGVAPLPEADFPTIQINALLPGGSPETMASSVATPLEVQFSAIPGITEMTSSSALGTTTLTLQFSLDKSIDVAAQEVQAAINAAAGRLPVDMPNLPTWRKVNPADSPIMILRVNSEMMPLIELSDYAETILARQLSQVNGVGQIFVVGQQRPAIRIQAQPEKLAAYQLTLADLRQSLQSASVNLAKGALYGEGRVSTLAANDQLFNASDYDDLVVAYRQGAPVFLKDVARIVSAPEDDYVQAWPNGVPGVALVILRQPGANIVDTADAIQAALPRLREMLPATIEVDVLNDRTRTIRSSLHEVELTLLLTIGLVVLVMGLFLRQLSATLIVATVLAVSLSASFAAMYVLGFTLNNLTLVALIIAVGFIVDDAIVVVENIHRHLEAGASKVEAALKGAAEIGFTVISISFSLIAAFIPLLFMGGIVGRLFREFAVSVTVAILISVVASLTLAPMLASRFMPALRHAEAPRKGFAEWLTGGYERGLRWALGHQRLMLVGFAFTVLVAVAGYVGIPKGFFPLQDTAFVIGTSQAAEDISYDDMVAKHRQLAEIIASDPAVQSYNHAVGVTGGSQSLANGRFWIVLKDRGERDVSVGEFIDRLRPQLAKVPGIMLYLRAAQDINLSSGPSRTQYQYALRSSDSTQLALWAQRLTERLKQVPGLMDVSNDLQVGASVTALDIDRVAAARFGLSAEDVSQTLYDAFGQRQVGEYQTEVNQYKVVLELDARQRGRAESLDWFYLRSPLSGEMVPLSAIAKVAAPRSGPLQINHNGMFPAVNLSFNLAAGVSLGEAVQAVQRAQEEIGMPSTIIGVFQGAAQAFQSSLASQPLLILAALIAVYIILGVLYESFVHPLTILSTLPSAGIGAVFLLWAWGQDFSIMALIGIVLLIGIVKKNGILMVDFAIVAQREQGMSAEQAIYQACLTRFRPIMMTTLAALLGAIPLMIGFGTGSELRQPLGIAVVGGLLVSQVLTLFSTPVVYLALERLFHRRGTTTSDGGTAGATAT ->ARGMiner~~~CTX-M-98~~~ADO17948.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-98~~~ADO17948.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAVAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~aadA9~~~ABG49324.1~~~aminoglycoside unknown +>ARGMiner~~~aadA9~~~ABG49324.1~~~aminoglycoside~~~unknown MLWSSNDVTQQGSRPKTKLDMMSNSIHTGISRQLSQARDVIKRHLASTLKAIHLYGSAIDGGLKPYSDIDLLVTVDARLDEATRRSLMLDFLNISAPPCESSILRPLEVTVVACNEVVPWRYPARRELQFGEWLREDILEGVFEPAALDADLAILITKARQHSIALVGPVAQKVFMPVPEHDFLQVLSDTLKLWNTHEDWENEERNIVLTLARIWYSTETGGIVPKDVAAEWVLERLPAEHKPILVEARQAYLGLCKDSLALRADETSAFIGYAKSAVADLLEKRKSQTSHICDGAKNV ->ARGMiner~~~pbp2b~~~ZP_03720331~~~beta_lactam unknown +>ARGMiner~~~pbp2b~~~ZP_03720331~~~beta_lactam~~~unknown MLIKNEYKPQMLSGTTKTKKPLTSNGRIGLVLGAVALAFTGLLVRGVYLQTSQHEFLKNQGDQRFVRTLPLLASRGMITDRNGATLALSAPTESLYAMPSGMEEMPTAEQLEKLSAIADVPVEVLKNKLSKKDKGFIYLKRQLSYEKAEEIKALGIKGIAFQKELKRHYPMGNLFAHVIGFTNIDGKGQEGLELSREDSLRGEDGAKVVLRDNKGNIVDSLDSPRNSVPKNGQDMILSLDQRIQTLAYDELNKAVAYHKAKAGAVVVLDAQTGEILALVNSPAYDPNQPGQANSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDPTDTFNTLPYKIGPATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQKIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGIAGAVDGFDVGAKTGTARKLVNGRYVDNKHVGTFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVAGPVFKEVMSGSLNILGVSPTKPLSNTATVKVPS ->ARGMiner~~~PER-5~~~ACN22483.1~~~beta_lactam unknown +>ARGMiner~~~PER-5~~~ACN22483.1~~~beta_lactam~~~unknown MNVIIKAVVTASTLLMVSFSSFETSAQSPLLKGQIESIVIGKKATVGVAVWGPDDLEPLLINPFEKFPMQSVFKLHLAMLVLHQVDQGKLDLNQTVIVNRAKVLQNTWAPIMKAYQGDEFSVPVQQLLQYSVSHSDNVACDLLFELVGGPAALHDYIQSMGIKETAVVANEAQMHADDQVQYQNWTSMKGAAEILKKFEQKTQLSETSQALLWKWMVETTTGPERLKGLLPAGTVVAHKTGTSGIKAGKTAATNDLGIILLPDGRPLLVAVFVKDSAESSRTNEAIIAQVAQTAYQFELKKLSALSPN ->ARGMiner~~~RosB~~~YP_001477377~~~polymyxin unknown +>ARGMiner~~~RosB~~~YP_001477377~~~polymyxin~~~unknown MHNSTPLITTIVGGLVLAFLLGMLANRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKHIAIPGAVAQIAVATLLGIGLSKLLGWDLISGLVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFGNMLGNNNASSGQLLIELAVTIGKVIAFIALMIVVGRRLVPWILAKTASTGSRELFTLAVLALALGIAYGAVKLFDVSFALGAFFAGMVLNESELSHRAAHDTLPLRDAFAVLFFVSVGMLFDPMILINEPLAVLATLAIIVFGKSAAAFLLVKMFGHSKRTALTISASLAQIGEFAFILAGLGITLGMMSEHGRNLVLAGAILSIMLNPLLFTLLERYLAKTETIEDQILEEAVEDEKQIPVDMCNHALVVGYGRVGSLLGAKLAEAGIPLVVIENSRPRVEALREQGIKTVLGNAANPEVMDLARLDCARWLLLTIPNGYEAGEIVASARTKRPNIEIIARAHYDDEVSYISDRGANQVVMGEREIANSMLNILKLDTLTEEEKMGGCPI ->ARGMiner~~~OpcM~~~YP_001811889~~~multidrug unknown +>ARGMiner~~~OpcM~~~YP_001811889~~~multidrug~~~unknown MDNMYNSNGLMRFAKVAAASTLLATLLAACAVGPDYKRPDVSTPAAFKEAPTLAAGEQAGTWKTAEPADGEHRGEWWKVFGDPVLDSLEAQALAANQNLKAAAARVEEARAATRTARSQWFPQVGAGFGPTREGLSSASQFQPQGTGPTNATLWRAQGTVSYEADLFGRVSRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYRRTVELRDQALKLVQRRFNEGDISELDVSRAKNELATAQADAVGVARRRAASEHALAILLGKAPADFAFKETPIVPVAVKVPAGLPSALLERRPDVSAAERAMAAANARIGLAKSAYFPKLDITGAFGYEASTLGNLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQDAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGAAPAPAAVGDAATGKAEVAAR ->ARGMiner~~~FOX-7~~~AAM46773~~~beta_lactam unknown +>ARGMiner~~~FOX-7~~~AAM46773~~~beta_lactam~~~unknown MNANFIHHENNHMQQRRAFALLTLGSLLLAPCTYARGEAPLTAAVDGIIQPMLKEYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFELDDKVSQHAPWLKGSAFDGVTMAELATYSAGGLPLQFPDEVDSNDKMRTYYRHWSPVYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAIANYAYGYSKEDKPVRVTPGVLAAEAYGIKTGSADLLKFTEANMGYQGDAALKSAIALTHTGFYSVGDMTQGLGWESYAYPLTEQALLAGNSPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~MacB~~~YP_002850060~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_002850060~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELSHIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGMYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPPQKPSGGRDIAEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGILKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~nfxB~~~NP_253290.1~~~multidrug unknown +>ARGMiner~~~nfxB~~~NP_253290.1~~~multidrug~~~unknown MTLISHDERLIKALAVAIVDRPRATLKELAEAAGVSKATLHRFCGTRDNLVQMLEDHGETVLNQIIQACDLEHAEPLEALQRLIKEHLTHRELLVFLVFQYRPDFLDPHGEGARWQSYLEALDAFFLRGQQKGVFRIDITAAVFTELFITLVYGMVDAERRGRAASSNSAHTLEQMFLHGASNPARS ->ARGMiner~~~BacA~~~ZP_04202328~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04202328~~~bacitracin~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFDDERAKVFEVVIQLGSILAVVVVFWKRLWSLVGIGKVKEGPSLNLLHIIIGMIPAGVLGVLFHSAIKKVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAATGLDLIKSWDVLSSADVTLFATGFVTAFVVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~TEM-20~~~CAA76793.1~~~beta_lactam unknown +>ARGMiner~~~TEM-20~~~CAA76793.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-397~~~AIT76118.1~~~beta_lactam unknown +>ARGMiner~~~OXA-397~~~AIT76118.1~~~beta_lactam~~~unknown MKLLKILSLVCLSISIGACAEHSMSRAKTSTIPQVNNSIIDQNVQALFNEISADAVFVTYDGQNIKKYGTHLDRAKTAYIPASTFKIANALIGLENHKATSTEIFKWDGKPRFFKAWDKDFTLGEAMQASAVPVYQELARRIGPSLMQSELQRIGYGNMQIGTEVDQFWLKGPLTITPIQEVKFVYDLAQGQLPFKPEVQQQVKEMLYVERRGENRLYAKSGWGMAVDPQVGWYVGFVEKADGQVVAFALNMQMKAGDDIALRKQLSLDVLDKLGVFHYL ->ARGMiner~~~rosB~~~ZP_04623897~~~polymyxin unknown +>ARGMiner~~~rosB~~~ZP_04623897~~~polymyxin~~~unknown MGTLAHRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKAIAIPGAVAQIAVATLLGMGLSHLLGWDLMTGFVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFAGVMGNETTSLSQLFTELAITIGKVIAFITLMIVVGRRLVPWILAKTASTGSRELFTLAVLVLALGIAYGAVGLFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILLHEPLAVLASLAIIIFGKSAAAFILVRMFGHSKRTALTISVSLAQIGEFAFILAGLGISLGLMSEHGRNLVLAGAILSIMLNPLLFTLLDRYLAKNETMEDLILEEAVEEEKQIPVDLCNHALLVGYGRVGSLLGAKLHAEGIPLVVIENSRPRVEALREQGINAVLGNAASADIMSLARIDCARWLLLTIPNGYEAGEIVASARIKRPDLEIIARAHYDDEVVYISDRGANQVVMGEREIANSMLNMLKIETLTEEDKRPLCPI ->ARGMiner~~~Bmr~~~YP_302113~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~Bmr~~~YP_302113~~~multidrug~~~Multi-drug efflux pumps MNKQFIILYFNIFLVFLGIGLVVPVLPVYLKDLGLKGSDLGILVAVFALAQMIISPFGGTLADKLGKKLIICIGLGLFAISEFLFAASHTFSLLIVSRILGGFSAGMVMPGVTGMIADISVGRDKAKNFGYMSAIINSGFILGPGMGGFLAEFSHRLPFYVAGFSGCVALILSIALIKNPKNSTQDGFTQYQPELLSKINWKVFLTPIILTLVLAFGLSAFETLFPLYTADKAHYSPLDISFAITGGGIAGAIFQVFFFDKFMKHFKELTFITYALLYSAIILLALTFVHSYWSIMIISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFIGPLVAGTLYDINFEFPLYMSVLVMVLGMFVIFIEKMIRSRFSNI ->ARGMiner~~~rif~~~CAB54703~~~rifampin unknown +>ARGMiner~~~rif~~~CAB54703~~~rifampin~~~unknown MNNTIINSLIGGDDSIKRSNVFAVDSQIPTLYMQQYISLSGVMTNNGPDNQTIASFEIRDQYITALNHLVLSLELPEVKGMGRFGYVPYVGYKCINHVSVSSCNGVIWEIEGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYSQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGIVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTVFAHISHSINIIDTSIPVSLWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~TEM-7~~~AAO33760.1~~~beta_lactam unknown +>ARGMiner~~~TEM-7~~~AAO33760.1~~~beta_lactam~~~unknown FFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNR ->ARGMiner~~~IMP-14~~~AAT49068.1~~~beta_lactam unknown +>ARGMiner~~~IMP-14~~~AAT49068.1~~~beta_lactam~~~unknown MKKLFVLCVFFFCNIAVAEESLPDLKIEKLEEGVYVHTSFEEVKGWSVVTKHGLVVLVKNDAYLIDTPITAKDTEKLVNWFVERGYKIKGSISTHFHGDSTAGIEWLNSQSIPTYASELTNELLKKDNKVQAKHSFNGVSYSLIKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGYLGDANLEAWPKSAKILMSKYGKAKLVVSSHSDIGDVSLLKRTWEQAVKGLNESKKSSQPSD ->ARGMiner~~~QnrB33~~~AEL00451.1~~~quinolone unknown +>ARGMiner~~~QnrB33~~~AEL00451.1~~~quinolone~~~unknown MTLALVSEKIDRNRFTGEKVENSTFFNCDFSGADLSSTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGSDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVAGATFSGSDLSGGEFSAFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDSYQAALLMERLGIAVIG ->ARGMiner~~~acrA~~~YP_001571460~~~multidrug unknown +>ARGMiner~~~acrA~~~YP_001571460~~~multidrug~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQANAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPAALLVPQQGVTRTPRGDATVLVVGADNKVEIRQIVASQAIGDKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~CMY-37~~~BAF36388.1~~~beta_lactam unknown +>ARGMiner~~~CMY-37~~~BAF36388.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWSELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKTALLHFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAAIPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPIRVEAAWRILEKLQ ->ARGMiner~~~OXA-237~~~AFH36331.1~~~beta_lactam unknown +>ARGMiner~~~OXA-237~~~AFH36331.1~~~beta_lactam~~~unknown MKTLILLPLLSCLSLTACSLPVSNSSSQITSTQSIQTIAKLFDQAQSSGVLVIQRGPHLQVYGNDLSRAHTEYIPASTFKILNALIGLQHGKATTNEIFKWDGKKRSFAAWEKDMTLGQAMQASAVPVYQELARRIGLELMQQEVQRIRFGNQQIGQHIDNFWLVGPLKITPEQEVEFASALAQEQLAFDPQVQQQVKAMLLLQERQGYRLYAKSGWGMDVEPQVGWLTGWIETPQDEIVAFSLNMQMQSNMDPAIRLKILQQALAELALYPKAEG ->ARGMiner~~~BacA~~~YP_001749617~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001749617~~~bacitracin~~~unknown MDFWTAFQAIILGVVEGLTEFLPISSTGHQIIVADLIGFGGERAMAFNIIIQLAAILAVVWEFRRKILDVVFGLKSQPAARRFTANLLLAFMPAVVLGVLFADLIHEYLFNPITVATALVIGGVIMLWAERRTHSVAVDHVDDMRWSHALKIGFVQCLAMIPGTSRSGSTIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRDLFQPSDLPVFAIGFVTSFIFAMIAVRGLLKFIANHSYAAFAWYRIAFGLLILATWQFGWVDWSTAHG ->ARGMiner~~~tetV~~~AAB84282.1~~~tetracycline unknown +>ARGMiner~~~tetV~~~AAB84282.1~~~tetracycline~~~unknown MRSPRPVAGWRVLAPFRIREYRLLIAAVTLSIFAEGMWSVVMALQVIAIDNDPASLSLVATCLGVGLVAFVLVGGITADRINQRTIIIAVEVVNFVTVAVISALALLGVLKIWHMAVAAGILGIAAAFFFPAYSAILPRILPPEQLLAANGVEGVVRPVFQRSVGPAVAGMVIGATMPSIGAVVVAVLFALGLALLVATRPPAQPASEHHERPHVLRDLREGFAFVLKTPWLLWTVLFASMFVLVVLGPIEVLLPFIAQDRFADGARAYGFILAFFGIGSAMGALTVSSRRMPRRYLTTMMLMWGLGSIPLVIVGYTSSFPLMAAATFVIGVTDGAGMVIWGTLLQRRVPTEMLGRVSSLDFFVSLAFMPLSFAIVGPLSKVVSMEVIFATAGLVPVAIAAVAFTAARMHRDEVANPLL ->ARGMiner~~~MacB~~~ZP_02903696~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~ZP_02903696~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGVERKRRLARARELLQRLGLEERVDYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPATENMNVVAGTESIVKMSSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQRQPWVASATPAVSQNLRLRYSNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNSRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFALQLFLPGWEIGFSPLALLLAFLCSTVTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~GES-12~~~CBG22732.1~~~beta_lactam unknown +>ARGMiner~~~GES-12~~~CBG22732.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGACANGARNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~BJP-1~~~BAL75272.1~~~beta_lactam unknown +>ARGMiner~~~BJP-1~~~BAL75272.1~~~beta_lactam~~~unknown MKKLTAALCALAFFATGAQAQTVKDFIAAVTKKWTTPFEPFQLIDNIYYVGTDGIAVYVIKTSQGLILMDTALPQSTGMIKDNITKLGLKVADIKIILNTHAHFDHTGGFAEVKKETGAQLIAGERDKPLLEGGYYPGDEKNEDLAFPAVKVDRTVKEGDKVTLGETTLTAHATPGHSPGCTSWEMTVKDGGQDRQVLFFCSGTVALNRLVGQPTHAGIVDDYRATYAKVKAMKIDVLLGPHPEVYGMQAKRAAMKDGAPNPFVKPGELATYATSLSEDFDKQLAKQTAALEKK ->ARGMiner~~~DHA-3~~~AAR87489.1~~~beta_lactam unknown +>ARGMiner~~~DHA-3~~~AAR87489.1~~~beta_lactam~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPDSAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNIEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~QnrS~~~ZP_01063069~~~quinolone unknown +>ARGMiner~~~QnrS~~~ZP_01063069~~~quinolone~~~unknown MDTNNSTYHQHSFAQQDLSELTFTACTFIRCDFRRSNLRDATFINCKFIEQGDIEGCHFDIADLRDASFQNCQLAMANFSNANCYGIELRECDLKGANFTRANFANQVSNRMYFCSAYITGCNLSYANLEQACLEKCELFENRWIGTYLGGASLKESDLSRGVFSEDVWGQFSMQGANLCHAELEGLDPRKVDTTGIKIVAWQQDQLLETMGIVVMPD ->ARGMiner~~~BcI~~~ZP_04203285~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04203285~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSLTSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGIRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALK ->ARGMiner~~~ESP-1~~~AJP77085~~~beta_lactam unknown +>ARGMiner~~~ESP-1~~~AJP77085~~~beta_lactam~~~unknown MKKLTLILSLIIAFFGYAQTVTEPTNHSAEWSQPYEPFRIVGNLYYVGTYDLASYLIVTEKGNILINTGLADSYPQIKANIEKLGFKYKDTKILTLTQAHFDHMGAMADIKAETGAKLYVDEADAAELKSGGKSDYELGKYGVTFKPLTPDFLLKNNGKIKLGNTTLTLLHHPGHTKGSCSFIFETKDENRKYKVVIANMPSIIIDHKFSDVKTYPSIQKDYAYTLEAMKKLDFDVWVASHASQFDLHKKRKEGDAYNPKLFMDKENYFKRLKDLEDDYLEKVKEENKPE ->ARGMiner~~~AAC(3)-VIa~~~AAA16194.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-VIa~~~AAA16194.1~~~aminoglycoside~~~unknown MTDPRKNGDLHEPATAPATPWSKSELVRQLRDLGVRSGDMVMPHVSLRAVGPLADGPQTLVDALIEAVGPTGNILAFVSWRDSPYEQTLGHDAPPAAIAQSWPAFDPDHAPAYPGFGAINEFIRTYPGCRRTAHPDASMAAIGPDAAWLVAPHEMGAAYGPRSPIARFLAHAGKILSIGAGPDAVTALHYAEAVARIEGKRRVTYSMPLLREGKRVWVTTSDWDSNGILDEYAAPDGPDAVERIARDYLARTRVAQGPVGGAQSRLIDAADIVSFGIEWLEARHAAPAAAALKPKQRRD ->ARGMiner~~~BacA~~~YP_002552549~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002552549~~~bacitracin~~~unknown MDTLLLLKAAIMGVVEGLTEFLPISSTGHLILAGSLLGFDDAKAKVFDIAIQTGAIFAVILVYWQRIRATLVALPTERQARRFALNVLIGFLPAVLLGLLLGKAIKAHLFTPVVVASTFILGGFVILWAERRQQAAVRIHAVDDMTPLDALKVGLVQCLAMVPGTSRSGATIIGGMLLGLSRKAATDYSFFLAIPTLIGAGVYSLYKERALLSAADIPLFAVGLVFSFISAWLCVRWLLRYISSHSFVPFAWYRIAFGLVVLVTAWSGLVTWAE ->ARGMiner~~~VIM-15~~~ACB54702.1~~~beta_lactam unknown +>ARGMiner~~~VIM-15~~~ACB54702.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLFGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~FosB~~~NP_831795.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~NP_831795.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEVHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~rosB~~~AAC60780.1~~~polymyxin unknown +>ARGMiner~~~rosB~~~AAC60780.1~~~polymyxin~~~unknown MHHSTPLITTIVGGLVLAFLLGSLAHRLRSSPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKAIAIPGAVAQIAVATLLGMGLSHLLGWDLMTGFVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGRSIVEDLAMVLTLVLLPAFAGVMGNETTSLSQLFTELAITIGKVIAFITLMIVVGRRLVPWILAKTASTGSRELFTLAVLVLALGIAYGAVGLFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILLREPLAVLASLAIIIFGKSAAAFILVRMFGHSNVYSTHHFCPWRKSVNLPFILAGLGISLGLMSEHGRNLVLAGAILSIMLNPLLFTLLDRYLAKNETMEDLILEEAVEEEKQIPGRFVQSCTVSRLWSGGSLLGAKLHAEGIPLVVIENSRPRVEALREQGINAVLGNAASADIMSLARLDCALVIILTIPNGYEAGEIVASARIKRPDLEIIARAHYDDEVVYISVRGANQVVMGEREIANSMLNMLKIETLTEEDKRPLCPI ->ARGMiner~~~SHV-61~~~CAI30650.2~~~beta_lactam unknown +>ARGMiner~~~SHV-61~~~CAI30650.2~~~beta_lactam~~~unknown MRYIRRCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~TEM-72~~~AAF19151.1~~~beta_lactam unknown +>ARGMiner~~~TEM-72~~~AAF19151.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~vanXA~~~AAA65957.1~~~glycopeptide unknown +>ARGMiner~~~vanXA~~~AAA65957.1~~~glycopeptide~~~unknown MEIGFTFLDEIVHGVRWDAKYATWDNFTGKPVDGYEVNRIVGTYELAESLLKAKELAATQGYGLLLWDGYRPKRAVNCFMQWAAQPENNLTKESYYPNIDRTEMISKGYVASKSSHSRGSAIDLTLYRLDTGELVPMGSRFDFMDERSHHAANGISCNEAQNRRRLRSIMENSGFEAYSLEWWHYVLRDEPYPNSYFDFPVK ->ARGMiner~~~acrA~~~NP_459471~~~multidrug unknown +>ARGMiner~~~acrA~~~NP_459471~~~multidrug~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGDKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~APH(3'')-Ic~~~ABC68330.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3'')-Ic~~~ABC68330.1~~~aminoglycoside~~~unknown MTEWLPVTRGESGAGVFRNSDGSSYAKVVDAAAVADLAAERDRVSWAHRHGVPGPAVIDWRVTEDGGACLITSTVRGVAADRLSESALRAAWPAIVEAVRTLHALPADGCPYRRDLDDMLARARAVVGAGAVNPEFLSDEDREVPAEALLDRVEREADLRRREEAADWVVCHGDLCLPNILVDPDRHTVEGFIDLGRLGLADRHADLALLLANTADTVPGFAEEATAGLAAGYPAQVDPERLRFYLALDPLTWG ->ARGMiner~~~QnrB6~~~ABV66095~~~quinolone unknown +>ARGMiner~~~QnrB6~~~ABV66095~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~BacA~~~ZP_04612440~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04612440~~~bacitracin~~~unknown MTDMYSLFVAFVLGVVEGLTEFLPVSSTGHMIIVGELLGFTGDKAKTFEVIIQLGSILAVVVVFWRRLFGLIGIHFGKVPHEGKTSGHLTLGHILLAMIPAVGLGLVFHDAIKSLFNPQSVMYALVAGGLLLLAAEWFKPKNPKSIGLDDITYRQAFAIGCFQCLALWPGFSRSGATISGGMLVGVNRYAASEFSFILAVPMMLGASALDLYKSLHFLTLGDLPMFAVGFITAFIVALIAIKTFLSLIKRISFVPFAIYRFVVAAAVYWVFM ->ARGMiner~~~AmrA~~~YP_002110288~~~multidrug unknown +>ARGMiner~~~AmrA~~~YP_002110288~~~multidrug~~~unknown MKYEWARTRRLSAALAVAAFVAAGCGKHESEHDAAAPREASVVTVKKTSVPLSVELPGRLDAYRQAEVRAWGAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKARAAHLAALDKRRRYDELVRDRAVSERDHTEALADERQAKAAVASARAQLQLDYATVTAPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVESLRRAVKSGRAAGIAQQDVEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAVARDAILVPRDALLRTADSATVKVVGQNGKIRDVTVEAAQMKGRDWIVTRGLAGGERVVVVDAAQFEAGTTVKALERGAAAQPASGAAAASAPGRRST ->ARGMiner~~~Bcr~~~YP_002237398~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_002237398~~~multidrug~~~unknown MTVKQNSSLGIVFILGLLAMLMPLSIDMYLPALPVIAAQYNVPDGSAQMTLSTYILGFALGQLLYGPMADSLGRKPVILGGTLVFAAAAVACALSQTIDMLIVMRFFHGLAAAAASVVINALMRDIYPKDEFSRMMSFVMLVTTIAPLVAPMVGGAVLVWFSWHAIFWILALVALLASLMIGLFIRETLPADRRQPFHLRTTLGNFATLFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYININHVAPQHFGYYFALNIVFLFLMTMFNSRFVRRVGALRMFRAGLWIQFAMAVWMVVCALLDVGFWSLVIGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIIGALLSMATFTTAWPMLISIAFCATCSIFFSLYASRRRKIAH ->ARGMiner~~~acrA~~~YP_688010~~~multidrug unknown +>ARGMiner~~~acrA~~~YP_688010~~~multidrug~~~unknown MTNLKSDTRGLHMNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQGYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGQQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~dfrA10~~~AHG97174.1~~~trimethoprim unknown +>ARGMiner~~~dfrA10~~~AHG97174.1~~~trimethoprim~~~unknown MNISLIFANELITRAFGNQGKLPWQFIKEDMQFFQKTTENSVVVMGLNTWRSLPKMKKLGRDFIVISSTITEHEVLNNNIQIFKSFESFLEAFRDTTKPINVIGGVGLLSEAIEHASTVYMSSIHMVKPVHADVYVPVELMNKLYSDFKYPENILWVGDPIDSVYSLSIDKFVRPASLVGVPNDINT ->ARGMiner~~~KsgA~~~BAH61691~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~BAH61691~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTMNFGELAEKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCQVIPVLEVPPSAFTPPPKVDSAVVRLVPHSTMPYPVKEIRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTELGIDPAMRAENISVAQYCLMANWLSDNLPTKES ->ARGMiner~~~mdfA~~~AFH35853.1~~~multidrug unknown +>ARGMiner~~~mdfA~~~AFH35853.1~~~multidrug~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMRQPGMLENVEQYQAGIEWVPTSMNAYLAGGMFIQWLLGPLSDRIGRRPVMLAGVVWFIVTCLAILLAQNIEQFTLLRFLHGISLCFIGAVGYDAIQESFEEAVCIKITALMANVALIAPLLGPLVGASWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFLSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASVMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILLLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~vanYA~~~ACP19238~~~glycopeptide unknown +>ARGMiner~~~vanYA~~~ACP19238~~~glycopeptide~~~unknown MLLLFLIYLGYDYVNEALFSQEKVEFQNYDQNPKEHLENSGTSENTQEKTITEEQVYQGNLLLINSKYPVRQESVKSDIVNLSKHDELINGYGLLDSNIYMSKEIAQKFSEMVNDAVKGGVSHFIINSGYRDFDEQSVLYQEMGAEYALPAGYSEHNSGLSLDVGSSLTKMERAPEGKWIEENAWKYGFILRYPEDKTELTGIQYEPWHIRYVGLPHSAIMKEKNFVLEEYMDYLKEEKTISVSVNGEKYEIFYYPVTKNTTIHVPTNLRYEISGNNIDGVIVTVFPGSTHTNSRR ->ARGMiner~~~BacA~~~YP_608499~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_608499~~~bacitracin~~~unknown MDFWSALQAIILGVVEGLTEFLPISSTGHQIIVADLINFGGERAMAFNIIIQLGAILAVVWEFRRKIFDVVLGLPTQAPARRFTANLLIAFFPAVVLGVLFADLIHEYLFNPITVAAALVVGGVIMLWAERRQHRIEVDHVDEMSWHHALKIGFVQCLAMIPGTSRSGSTIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRELFQPGDLPVFALGFVVSFIFAMIAVRGLLKFIANHSYAVFAWYRIAFGLLILATWEFGWVDWSTAHG ->ARGMiner~~~ACT-9~~~AEI70575.1~~~beta_lactam unknown +>ARGMiner~~~ACT-9~~~AEI70575.1~~~beta_lactam~~~unknown MKTKSLCCALLLSTSCSVLAAPMSEKQLSDVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVTANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNTSLLRFYQHWQPQWKPGTTRLYANASIGLFGALAVKPSGMNFEQAMTKRVFKPLKLDHTWINVPKEEEAHYAWGYRDGKAIHVSPGMLDAEAYGVKTNIQDMASWLKANMNPDALSDSTLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVEAKTVVEGSDNKVALAPLLVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKELGIVMLANKSYPNPARVEAAYRILSAL ->ARGMiner~~~OXA-250~~~CCJ32598.1~~~beta_lactam unknown +>ARGMiner~~~OXA-250~~~CCJ32598.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGEKRLFPEWEKNMTLGDAMKASAILVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGLDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~MacB~~~YP_407313~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_407313~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHMVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALVRE ->ARGMiner~~~APH(2'')-IIa~~~AAK63040.1~~~aminoglycoside unknown +>ARGMiner~~~APH(2'')-IIa~~~AAK63040.1~~~aminoglycoside~~~unknown MVNLDAEIYEHLNKQIKINELRYLSSGDDSDTFLCNEQYVVKVPKRDSVRISQKRELELYRFLENCKLSYQIPAVVYQSDRFNIMKYIKGERITYEQYHKLSEKEKDALAYDEATFLKELHSIEIDCSVSLFSDALVNKKDKFLQDKKLLISILEKEQLLTDEMLEHIETIYENILSNAVLFKYTPCLVHNDFSANNMIFRNNRLFGVIDFGDFNVGDPDNDFLCLLDCSTDDFGKEFGRKVLKYYQHKAPEVAERKAELNDVYWSIDQIIYGYERKDREMLIKDVSELLQTQAEMFIF ->ARGMiner~~~BacA~~~YP_001921729~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001921729~~~bacitracin~~~unknown MGLDFLFIFKAIIVAIVEGLTEFVPVSSTGHMILASDLINFQGEFVKMFEVVIQLGAILAVVVLYWKKIKDSVIEFFTYIFTGGKKGEVGFRFGINVLIGSIPAGVIGILFYSKIKTLFKPQAVVVGFIVGGILLLVIENIFRKKRHSVKSIDRITPMQSIKVGLFQVLSMWPGMSRSASTIMGGWVAGLSTPIAAEFSFFLAIPAMIGSSAKDLFEFDFALMNTTNWVALIVGFIVAFIVSLIVMEGFVAYLKKKPMRVFAIYRVVAGIVFAILMYKGIVTPHL ->ARGMiner~~~mdtK~~~AML99881.1~~~multidrug unknown +>ARGMiner~~~mdtK~~~AML99881.1~~~multidrug~~~unknown MNVSTALRQAVVRTPWYAKRKSYKVLFWREITPLAIPIFLENTCVLLMGVLSTFLVSWLGKEAMAGVGLADSFNMVIMAFFAAIDLGTTVVVAFSLGKRDRRRARAAARQSLVIMTLFAVVLAVVIHYFGSEIINIVAGEATPEVKGLALTYLELTVLSYPAAAIALIGSGALRGAGNTKIPLMINGGMNILNIIISSILIYGAFSWQGLGFAGAGLGLTISRYIGAVAIIWVLMIGFNPALRIPLKSYLKPLNFGIIWEVMGIGIPASIESVLFNGSKLLTQMFVAGMGTNVIAGNFIAFSVAALINLPGNALGSASTIITGKRLGTGQIGQAERQLRHVFWMSTIVLTAIAWGTAPFAGLFASFYTQEQDVKEVVKVLLWLNAAFMPIWAAAWVLPSGFKGARDVRFAMWVSMLGMWGCRVVAGYTLGIVLGMGVVGVWLGMFLDWAVRGALFYWRLISGRWLWRYPRVKRE ->ARGMiner~~~tetQ~~~BAD46890~~~tetracycline unknown +>ARGMiner~~~tetQ~~~BAD46890~~~tetracycline~~~unknown MRFDNASTVVYYCLIQMNIINLGILAHIDAGKTSVTENLLFASGATEKCGRVDNGDTITDSMDIEKRRGITVRASTTSIIWNGVKCNIIDTPGHMDFIAEVERTFKMLDGAVLILSAKEGIQAQTKLLFSTLQKLQIPTIIFINKIDRAGVNLERLYMDIKTNLSQDVLFMQTVVDGSVYPVCSQTYIKEEYKEFVCNHDDDILERYLADSEISPADYWNTIIALVAKAKVYPVLHGSAMFNIGINELLDAISSFILPPASVSNRLSAYLYKIEHDPKGHKRSFLKIIDGSLRLRDVVRINDSEKFIKIKNLKTIYQGREINVDEVGANDIAIVEDIEDFRIGDYLGAKPCLIQGLSHQHPALKSSVRPNKPEERSKVISALNTLWIEDPSLSFSINSYSDELEISLYGLTQKEIIQTLLEERFSVKVHFDEIKTIYKERPIKKVNKIIQIEVPPNPYWATIGLTLEPLPLGAGLQIESDISYGYLNHSFQNAVFEGIRMSCQSGLHGWEVTDLKVTFTQAEYYSPVSTPADFRQLTPYVFRLALQQSGVDILEPMLCFELQIPQVASSKAITDLQKLMSEIEDISCNNEWCHIKGKVPLNTSKDYASEVSSYTKGLGIFMVKPCGYQITKDGYSDNIRMNEKDKLLFMFQKSMSLK ->ARGMiner~~~KPC-5~~~ABY91240.1~~~beta_lactam unknown +>ARGMiner~~~KPC-5~~~ABY91240.1~~~beta_lactam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVRWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~BcI~~~ZP_04102233~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04102233~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEASYDNQLIAEATEVIVKALK ->ARGMiner~~~APH(3')-IIIa~~~P0A3Y6~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIIa~~~P0A3Y6~~~aminoglycoside~~~unknown MAKMRISPELKKLIEKYRCVKDTEGMSPAKVYKLGENENLYLKMTDSRYKGTTYDVEREKDMMLWLEGKLPVPKVVHFERHDGWSNLLMSEADGVLCSEEYEDEQSPEKIIELYAECIRLFHSIDISDCPYTNSLDSRLAELDYLLNNDLADVDCENWEEDTPFKDPRELYDFLKTEKPEEELVFSHGDLGDSKIFVKDGKVSGFIDLGRSGRADKWYDIPFCVRSIREDIGEEQYVELFFDLLGIKPDWEKIKYYILLDELF ->ARGMiner~~~gadE~~~NP_417969.1~~~multidrug unknown +>ARGMiner~~~gadE~~~NP_417969.1~~~multidrug~~~unknown MIFLMTKDSFLLQGFWQLKDNHEMIKINSLSEIKKVGNKPFKVIIDTYHNHILDEEAIKFLEKLDAERIIVLAPYHISKLKAKAPIYFVSRKESIKNLLEITYGKHLPHKNSQLCFSHNQFKIMQLILKNKNESNITSTLNISQQTLKIQKFNIMYKLKLRRMSDIVTLGITSYF ->ARGMiner~~~MOX-3~~~ACA30419.1~~~beta_lactam unknown +>ARGMiner~~~MOX-3~~~ACA30419.1~~~beta_lactam~~~unknown MQQRQSILWGAVATLMWAGLAHAGETSPVDPLRPVVDASIQPLLKEHRIPGMAVAVLKDGKAHYFNYGVADRERAVGVSEQTLFEIGSVSKPLTATLGAYAVVKGAMQLDDKASRHAPWLKGSAFDSITMGELATYSAGGLPLQFPEEVDSLEKMQAYYRQWTPAYSPGSHRQYSNPSIGLFGHLAASSLKQPFAQLMEQTLLPGLGLHHTYVNVPKQAMASYAYGYSKEDKPIRVSPGMLADEAYGIKTSSADLLRFVKANISGVDDKALQQAISLTHKGHYSVGGMTQGLGWERYAYPVSEQTLLAGNSAKVILEANPTAAPRESGSQMLFNKTGSTSGFGAYVAFVPAKGIGIVMLANRNYPIPARVKAAHAILTQLAR ->ARGMiner~~~vanD~~~AAM09849.1~~~glycopeptide unknown +>ARGMiner~~~vanD~~~AAM09849.1~~~glycopeptide~~~unknown MYKLKIAVLFGGCSEEHDVSVKSAMEVAANINKEKYQPFYIGITKSGAWKLCDKPCRDWENYAGYPAVISPDRRIHGLLIQKDGGYESQPVDVVLPMIHGKFGEDGTIQGLLELSGIPYVGCDIQSSVICMDKSLAYMVVKNAGIEVPGFRVLQKGDSLEAETLSYPVFVKPARSGSSFGVNKVCRAEELQAAVTEAGKYDSKILVEEAVSGSEVGCAILGNGNDLITGEVDQIELKHGFFKIHQEAQPEKGSENAVIRVPAALPDEVREQIQETAKKIYRVLGCRGLARIDLFLREDGSIVLNEVNTMPGFTSYSRYPRMMTAAGFTLSEILDRLIGLSLRR ->ARGMiner~~~MdtK~~~A8A0L0~~~multidrug unknown +>ARGMiner~~~MdtK~~~A8A0L0~~~multidrug~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~KsgA~~~CAR58198~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~CAR58198~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDRFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDKLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTMNFGELSAQLGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCQVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPYPVKDIRVLSRITTEAFNQRRKTIRNSLGNLFSVETLTEMGIDPAMRAENISVAQYCQMANYLSENAPLKES ->ARGMiner~~~QnrB8~~~ABW03157.2~~~quinolone unknown +>ARGMiner~~~QnrB8~~~ABW03157.2~~~quinolone~~~unknown MTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAILKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKAVLEKCELWENRWMGTQVLGATLSGSDLSGGEFSSFDWRTANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQAALLMERLGIAVIG ->ARGMiner~~~CeoA~~~YP_001778538~~~multidrug unknown +>ARGMiner~~~CeoA~~~YP_001778538~~~multidrug~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVNANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQTDWQRAQRLIGDNAIAKRDYDEKQNAAREANANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARSGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGTLVPGLYARVKVGGSAPHEALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQPGMQHGNRRVIVSGLAAGDRVVVNGTQRVRPGAQVKPHMVPMTGGDEPSAPLASTAKPAAPAKADS ->ARGMiner~~~KsgA~~~YP_401803~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~YP_401803~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTFNFGELAEKMGQPLHVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKVYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPHPVKDVRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTGMGIDPAMRAENISVAQYCQMANYLAENAPLQES ->ARGMiner~~~CMY-15~~~CAD88477.1~~~beta_lactam unknown +>ARGMiner~~~CMY-15~~~CAD88477.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYARGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKNYPNPVRVEAAWRILEKLQ ->ARGMiner~~~MdtP~~~YP_002400589~~~multidrug unknown +>ARGMiner~~~MdtP~~~YP_002400589~~~multidrug~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDSLIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETVAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPIVEKK ->ARGMiner~~~cat~~~AAA22081.1~~~chloramphenicol unknown +>ARGMiner~~~cat~~~AAA22081.1~~~chloramphenicol~~~unknown MENYFESPFRGITLDKQVKSPNLVVGKYSYYSGYYHGHSFEDCARYLLPDEGADRLVIGSFCSIGSGAAFIMAGNQGHRNEWISTFPFFFMPEVPEFENAANGYLPAGDTVIGNDVWIGSEAIIMPGITVGDGAVIGTRALVTKDVEPYAIVGGNPAKTIRKRFDDDSIALLLEMKWWGWPAERLKAAMPLMTSGNVAALYRFWRSDSL ->ARGMiner~~~vanSG~~~ABA71728.1~~~glycopeptide unknown +>ARGMiner~~~vanSG~~~ABA71728.1~~~glycopeptide~~~unknown MDSDYTQLQTKILIRTAVVLFGAFALISASLSLLSGHFSRAVVGILEIFYKDYEKALVVYTYVFRDNKEWFVMIAAFVSFLIVLRLYLKGFTKYFNEINRGINALKEESSEDVVLSSELAATEKTINTIKHTLEQQKTAALVAEQRKNDLVVYLAHDLKTPLTSVIGYLTLLRDEKQISDELREKYICISLEKAERLENLINEFFEITRFNLSNIILEYSVVNLTRMLEQLVFEFNPMLAEKKLNCVLKTMPNKMIRCDANKMQRVFDNLLRNAVNYSFENTEISITVTQNENMVHIKFVNHGNTIPKEKLERIFEQFYRLDTSRSTGNGGAGLGLAIAREIVMLHGGTITARSEDEKIEFEVTILSS ->ARGMiner~~~SHV-106~~~CAQ03506.1~~~beta_lactam unknown +>ARGMiner~~~SHV-106~~~CAQ03506.1~~~beta_lactam~~~unknown MRFIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~vatB~~~AAA86871.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~vatB~~~AAA86871.1~~~macrolide-lincosamide-streptogramin~~~unknown MKYGPDPNSIYPHEEIKSVCFIKNTITNPNIIVGDYTYYSDVNGAEKFEEHVTHHYEFRGDKLVIGKFCAIAEGIEFIMNGANHRMNSITTYPFNIMGNGWEKATPSLEDLPFKGDTVVGNDVWIGQNVTVMPGIQIGDGAIVAANSVVTKDVPPYRIIGGNPSRIIKKRFEDELIDYLLQIKWWDWSAQKIFSNLETLCSSDLEKIKSIRD ->ARGMiner~~~TEM-160~~~ABM54870.1~~~beta_lactam unknown +>ARGMiner~~~TEM-160~~~ABM54870.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMVSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BacA~~~ZP_04232797~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04232797~~~bacitracin~~~unknown MADWLIGIIMGAVEGLTEFLPVSSTGHMILTGHLLGFDDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGILGVLFHSTIKKVLFGPGPVVVSLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSTADIPLFATGFITAFVVAMFAIVSFLKLLARVKLTPFAYYRFILAAVFYFFFIM ->ARGMiner~~~VanSC~~~ABX79417~~~glycopeptide unknown +>ARGMiner~~~VanSC~~~ABX79417~~~glycopeptide~~~unknown MLLLILIPLTVRFVAGLRVWYGYEPIYQILRLFADYWLVCLAIGALLIWVSTTIYYMSKAISYLNETIQATNQLIAEPTKRIVLSSNLIDVQEEMNQLREKNLQDQRAAKEAEQRKNDLIVYLAHDLRTPLTSVIGYLTLLEEEPQLSSELRARYTKIALQKAQRLELLISEFFEITRFNLTTIALQTETTDLSLMLEQLTFEFLPLLEEKNLHWQLQLQKKVYATVDTEKMERVFDNLIRNAINYSYADSPLHLAISTSDDILIRLTNHGKTIPAEKIGLIFEPFYRMDASRATATGGTGLGLPIAKEIVEALGGKIWAESRDETIVFSIRLPKVAMEKNEQRSKPHPSEPTA ->ARGMiner~~~MdtL~~~ZP_03000297~~~multidrug unknown +>ARGMiner~~~MdtL~~~ZP_03000297~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGVALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~tetO~~~P23835~~~tetracycline unknown +>ARGMiner~~~tetO~~~P23835~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTINLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLLLGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTNFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~tetO~~~YP_600745~~~tetracycline unknown +>ARGMiner~~~tetO~~~YP_600745~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHLEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYHPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~SHV-168~~~AFW16978.1~~~beta_lactam unknown +>ARGMiner~~~SHV-168~~~AFW16978.1~~~beta_lactam~~~unknown MRYFRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPVGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~VIM-2~~~ABK63186~~~beta_lactam unknown +>ARGMiner~~~VIM-2~~~ABK63186~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLTEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~OXA-107~~~ABV31687.1~~~beta_lactam unknown +>ARGMiner~~~OXA-107~~~ABV31687.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGEKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~MdtK~~~ZP_03836622~~~multidrug unknown +>ARGMiner~~~MdtK~~~ZP_03836622~~~multidrug~~~unknown MQKYVSEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQIRQGFWLAGSVSVLIMVVLWNAGYIIRSMHNIDPALAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPAMVMGFLGLLVNIPVNYVFIYGHLGMPELGGVGCGVATAAVYWVMFAAMLSYVKRARSMRDIRNERGFQKPDSAVMMRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLEAQTAARTGLGVGVCMAVVTAIFTVTFREHIALLYNKNPEVVALAAQLMLLAAVYQISDSIQVIGSGVLRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAVILQRAAR ->ARGMiner~~~FosX~~~ZP_00235180~~~fosfomycin unknown +>ARGMiner~~~FosX~~~ZP_00235180~~~fosfomycin~~~unknown MKDLNKTTTFLREIFNAEEIYSSGDQTFSLSKEKFFLIAGLWICIMEGDSLQERTYNHIAFQIQSEEVDEYIERIKALGVEIKPERPRVEGEGRSIYFYDFDNHLFELHAGTLEERLKRYHE ->ARGMiner~~~Mrx~~~AAS13767.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~Mrx~~~AAS13767.1~~~macrolide-lincosamide-streptogramin~~~unknown MSERRYSPLATLFAATFLFRIGNAVAALALPWFVLSHTKSAAWAGATAASSVIATIIGAWVGGGLVDRFGRAPVALISGVVGGVAMASIPLLDAVGALSNTGLIACVVLGAAFDAPGMAAQDSELPKLGHVAGLSVERVSSLKAVIGNVAILGGPALGGAAIGLLGAAPTLGLTAFCSVLAGLLGAWVLPARAARTMTTTATLSMRAGVAFLWSEPLLRPLFGIVMIFVGIVGANGSVIMPALFVDAGRQVAELGLFSSMMGAGGLLGIAIHASVGARISAQNWLAVAFCGSAVGSLLLSQLPGVPVLMLLGALVGLLTGSVSPILNAAIYNRTPPELLGRVLGTVSAVMLSASPMVMLAAGAFVDLAGPLPGLVVSAVFAGLVALLSLRLQFATMAAAATASAPTHTEGEH ->ARGMiner~~~LEN-19~~~CAP12347.2~~~beta_lactam unknown +>ARGMiner~~~LEN-19~~~CAP12347.2~~~beta_lactam~~~unknown MRYVRLCVISLLATLPLAVYAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTVGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~IMP-34~~~BAM38093.1~~~beta_lactam unknown +>ARGMiner~~~IMP-34~~~BAM38093.1~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIGWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~pbp1a~~~ZP_01788419~~~beta_lactam unknown +>ARGMiner~~~pbp1a~~~ZP_01788419~~~beta_lactam~~~unknown MRIAKLILNTLLTLCILGLVAGGMLYFHLKSELPSVETLRTVELQQPMQIYTADGKLIGEVGEQRRIPVKLADVPQRLIDAFLATEDSRFYDHHGLDPIGIARALFVAVSNGGASQGASTITQQLARNFFLTSEKTIIRKAREAVLAVEIENTLNKQEILELYLNKIFLGYRSYGVAAAAQTYFGKSLNELTLSEMAIIAGLPKAPSTMNPLYSLKRSEERRNVVLSRMLDEKYISKEEYDAALKEPIVASYHGAKFEFRADYVTEMVRQEMVRRFGEENAYTSGYKVFTTVLSKDQAEAQKAVRNNLIDYDMRHGYRGGAPLWQKNEAAWDNDRIVGFLRKLPDSEPFIPAAVIGIVKGGAEILLASGEKMTLSTNAMRWTGRSNPVKVGEQIWIRQRANGEWQLGQIPSANSALVSLNSDNGAIEAVVGGFSYEQSKFNRATQSLVQVGSSIKPFIYAAALEKGLTLSSVLQDSPISIQKPGQKMWQPKNSPDRYDGPMRLRVGLGQSKNMIAIRAIQTAGIDFTAEFLQRFGFKRDQYFASEALALGAASFTPLEMARAYAVFDNGGFLIEPYIIEKIQDNTGKDLFIANPKIACIECNDIPVIYGETKDKINGFANIPLGESALKPTDDSTNGEELDQQPETVPELPELQSNFTALKEDAIDLMAAAKNASSKIEYAPRVISGELAFLIRSALNTAIYGEQGLDWKGTSWRIAQSIKRSDIGGKTGTTNSSKVAWYAGFGANLVTTTYVGFDDNKRVLGRGEAGAKTAMPAWITYMKTALSDKPERKLPLPPKIVEKSIDTLTGLLSPNGGRKEYFIVGTEPTRTYLSEMQERGYYVPTELQQRLNNEGNTPATQPQELF ->ARGMiner~~~vanXD~~~AAM09852.1~~~glycopeptide unknown +>ARGMiner~~~vanXD~~~AAM09852.1~~~glycopeptide~~~unknown MEKNFVFLDEMLPGIRWDAKYATWDNFTGKPVDGYEVNRIVGTKELGAALRKAQKAAEKLGYGLLLWDGYRPQCAVDCFLTWASLPENNLTKKRYYPNIKRNEMITKGYVASQSSHSRGSAIDLTIFRLDTGMLVPMGGDFDFMDVRSHHAASGLSEEEAGNRERLRDIMERSGFEAYRYEWWHYVLADEPYPDTYFDFCIA ->ARGMiner~~~smeA~~~YP_002705655~~~multidrug unknown +>ARGMiner~~~smeA~~~YP_002705655~~~multidrug~~~unknown MSLLRPLSRFSHSLLLPPLLLALAACSAGRTEAPATPEVGIITASAQPLALQQTLPGRAVPFEVSEVRPQIGGLIRQRLFTEGQQVKAGQLLYQVDPAPYQAALDTARGQLAQAEATVLSAQPKAERTRALVSMDAASKQDADDATSALKQAQANVIAARAALQAARINLDYTRVTAPIDGRIGTSSVTAGALVAAGQDAALTTIQRLDPVYLDVTQSSTQMLALRKQLDAGLVKAIDGKAQVKVVLEDGSTYAHEGTLEFVGSAVDPGTGNVVLRAIIPNPDGLLLPGMYLKAVLPMATDARALLVPQKAVVRNERGEPLLRLLDAKDHVIERRVSTGQVVGNQWQITQGLKAGERVIVSNGSAVALGQQVKAVAATTAQLAAMPAIDPNGNTDEKSH ->ARGMiner~~~VIM-7~~~CAD61201.1~~~beta_lactam unknown +>ARGMiner~~~VIM-7~~~CAD61201.1~~~beta_lactam~~~unknown MFQIRSFLVGISAFVMAVLGSAAYSAQPGGEYPTVDDIPVGEVRLYKIGDGVWSHIATQKLGDTVYSSNGLIVRDADELLLIDTAWGAKNTVALLAEIEKQIGLPVTRSISTHFHDDRVGGVDVLRAAGVATYTSPLTRQLAEAAGNEVPAHSLKALSSSGDVVRFGPVEVFYPGAAHSGDNLVVYVPAVRVLFGGCAVHEASRESAGNVADANLAEWPATIKRIQQRYPEAEVVIPGHGLPGGLELLQHTTNVVKTHKVRPVAE ->ARGMiner~~~smeD~~~CAG34256~~~multidrug unknown +>ARGMiner~~~smeD~~~CAG34256~~~multidrug~~~unknown MLLSRIRPFALSLAIAAAVAACGGQPQAPEQGPGDVTVVTLKSETVGLTRELPGRTNAFLVAEVRPQVNGIVAKRLFTEGGMVKAGEPLYQIEDASYRAQANSARAQLARAEATANAARLSAKRITELAKVDAVSQQDLENAVAAQKQAEADVGAARASLDAANVTLGYARITAPISGRIGKSSVTQGALVSAGQANVLATVQQLDPIYVDLTQSSAELLQLRRELAAGRLQDNQTLPVSILMEDGSTFEHKGTLEFSEVSVDPATGSFGLRVKVDNPDGLLMPGTYVRAVIGGGVRSDAVLVPMQGIARDPKGDTTAMVVGKDNKVEVRPVKVSRTVGDKWLVEDGLKAGDKVIVEGLQKIGPGMPVKATEKGAAPAKPAAAAQPAAPAGDAK ->ARGMiner~~~SME-5~~~AHV85514.1~~~beta_lactam unknown +>ARGMiner~~~SME-5~~~AHV85514.1~~~beta_lactam~~~unknown MSNKVNFKTASFLFSVCLALSAFNAHANKSDAAAKQIKKLEEDFDGRIGVFAIDTGSGNTFGYRSDERFPLCSSFKGFLAAAVLERVQQKKLDINQKVKYESRDLEYHSPITPKYKGSGMTLGDMASAALQYSDNGATNIIMERFLGGPEGMTKFMRSIGDNEFRLDRWELELNTAIPGDKRDTSTPKAVANSLNKLALGNVLNAKVKAIYQNWLKGNTTGDARIRASVPADWVVGDKTGSCGAYGTANDYAVIWPKNRAPLIVSIYTTRKSKDDKHSDKTIAEASRIAIQAID ->ARGMiner~~~AAC(6')-Ib10~~~NP_863005~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib10~~~NP_863005~~~aminoglycoside~~~unknown MLRSSNDVTQQGSRPKTKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~tolC~~~NP_708846~~~multidrug unknown +>ARGMiner~~~tolC~~~NP_708846~~~multidrug~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLEREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~ANT(4')-IIa~~~AAA25717.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(4')-IIa~~~AAA25717.1~~~aminoglycoside~~~unknown MHLTITYWIDRLREAYPHAVAILLKGSYARGEASAWSDIDFDVLVSDEEVEEYRTWIEPVGERLVHISVAVEWVTGWERDSADPSSWSYGLPTQETTQLLWAADENIRRRLDRPFKVHPAAEPEVEDTVEALGKIRNAMVRGDDLAVYQAAQVVGKLIPTLLVPINPPTYARFAREAIDRILAFPNVPEGFAADWLTCMGLVDRRTHDPQPTRPNEWCAARSRFCRRMRTSSVRISRGCWKQDWYLRISART ->ARGMiner~~~CMY-101~~~AHA80102.1~~~beta_lactam unknown +>ARGMiner~~~CMY-101~~~AHA80102.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANNRPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTHYWPELTGKQWQGISLLHLATYTAGGLPLQVPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTKRVLHPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMTRWVQANMDASQVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPVKADSIISGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~norA~~~AAS68233.1~~~multidrug unknown +>ARGMiner~~~norA~~~AAS68233.1~~~multidrug~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPQKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~OXA-5~~~CAA41211.1~~~beta_lactam unknown +>ARGMiner~~~OXA-5~~~CAA41211.1~~~beta_lactam~~~unknown MKTIAAYLVLVFYASTALSESISENLAWNKEFSSESVHGVFVLCKSSSNSCTTNNAARASTAYIPASTFKIPNALIGLETGAIKDERQVFKWDGKPRAMKQWEKDLKLRGAIQVSAVPVFQQIAREVGEIRMQKYLNLFSYGNANIGGGIDKFWLEGQLRISAFNQVKFLESLYLNNLPASKANQLIVKEAIVTEATPEYIVHSKTGYSGVGTESSPGVAWWVGWVEKGTEVYFFAFNMDIDNESKLPSRKSISTKIMASEGIIIGG ->ARGMiner~~~GES-3~~~BAD06399.1~~~beta_lactam unknown +>ARGMiner~~~GES-3~~~BAD06399.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRTAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVKWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~LEN-18~~~CAP12346.2~~~beta_lactam unknown +>ARGMiner~~~LEN-18~~~CAP12346.2~~~beta_lactam~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTVGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVLYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~AAC(6')-IIa~~~AAM92464.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIa~~~AAM92464.1~~~aminoglycoside~~~unknown MSASTPPITLRLMTERDLPMLHDWLNRPHIVEWWGGDEERPTLDEVLEHYLPRAMAEESVTPYIAMLGEEPIGYAQSYVALGSGDGWWEDETDPGVRGIDQSLADPTQLNKGLGTRLVRALVELLFSDPTVTKIQTDPTPNNHRAIRCYEKAGFVREKIITTPDGPAVYMVQTRQAFERKRGVA ->ARGMiner~~~dfrA16~~~CAA10366~~~trimethoprim unknown +>ARGMiner~~~dfrA16~~~CAA10366~~~trimethoprim~~~unknown MKLSLMAAKSKNGIIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSNFSTNDEGVMVFSSIQDALINLQEITDHVIVSGGGEIYKSLISKVDTLHISTVDIERDGDIVFPEIPDTFKLVFEQDFESNINYCYQIWQKS ->ARGMiner~~~CTX-M-56~~~ABN48311.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-56~~~ABN48311.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAENRRDILAAAAKIVTHGF ->ARGMiner~~~vanSE~~~AAL27446.1~~~glycopeptide unknown +>ARGMiner~~~vanSE~~~AAL27446.1~~~glycopeptide~~~unknown MKNNLTVQITKKYFYTMIIITTILVILPLVAKMFLSLRVWQGTEFFYPILYILNRSLGVWLIVTPLFIWLIVTYMFFRKMISYLEEMIVATKSLIETPNEKIVLRVELAEFENEINHIRIDSLENKKMAEEAGKKRDDLLTYLAHDLRTPLTSIIGYISLLQNEQTYLELDSTKRKNYIDIISDKANRLEHLLNDFFEIAKTGKSREEVYKEEVDLSLMLSQISSEFLPLLDEKKLEWDFKIEPNVFVQLNINKFERVLDNLIRNAISYSLNDTTIKLTLEKVDEKVVVSVGNITDKVSEKDIDQLFEPFYRGDKSRNTKTGNAGLGLAIAKQIISEHGGTIEAELQNNDFKVSIIL ->ARGMiner~~~AIM-1~~~CAQ53840.1~~~beta_lactam unknown +>ARGMiner~~~AIM-1~~~CAQ53840.1~~~beta_lactam~~~unknown MKRRFTLLGSVVALALSSTALASDAPASRGCADDAGWNDPAMPLKVYGNTWYVGTCGISALLVTSDAGHILVDAATPQAGPQILANIRALGFRPEDVRAIVFSHEHFDHAGSLAELQKATGAPVYARAPAIDTLKRGLPDRTDPQFEVAEPVAPVANIVTLADDGVVSVGPLALTAVASPGHTPGGTSWTWRSCEGDDCRQMVYADSLTAISDDVFRYSDDAAHPGYLAAFRNTLARVAALDCDILVTPHPSASGLWNRIGPRAAAPLMDTTACRRYAQGARQRLEKRLAEEAATSPSSGARP ->ARGMiner~~~CTX-M-71~~~ACV92002.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-71~~~ACV92002.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSCGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~ACT-21~~~AHA80106.1~~~beta_lactam unknown +>ARGMiner~~~ACT-21~~~AHA80106.1~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALAAPVSEKQLAEVVANTVTPLMKAQSIPGMAVAVIYQGKPHYYTFGKADVAASKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNAALLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPFEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGMKTNVQDMANWVMANMAPENVADASLKQGISLAQSRYWRIGSMYQGLGWEMLNWPVEANTVIEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYPILDALQ ->ARGMiner~~~OXA-47~~~AAP69225.1~~~beta_lactam unknown +>ARGMiner~~~OXA-47~~~AAP69225.1~~~beta_lactam~~~unknown MKNTIHINFAIFLIIANIIYSSASASTDISTVASQLFEGTEGCFLLYDASTNAEIAQFNKAKCAAQMAPDSTFKIALSLMAFDAEIIDQKTIFKWDKIPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVRNSAIENTIDNMYLQDLENSTKLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYVFVSALTGSLGSNLTSSIKAKKNAITILNTLNL ->ARGMiner~~~lnuE~~~AGT57825~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~lnuE~~~AGT57825~~~macrolide-lincosamide-streptogramin~~~unknown MGKNNVTEKHLFYILDLLKDLQITYWLDGGWGVDVLTGKQQREHRDIDIDFDSQHTDKLVKKLKEIGYITVVDWMPSRMELKHEEYGYLDIHPLDLKKDGTATQADPKGGFYLFEKDWFTTTNYKNRKIPCISKEAQLLFHSGYELTEKDQFDIKNLNSINQVKKEGHFSNDF ->ARGMiner~~~dfrB2~~~FAA00064.1~~~trimethoprim unknown +>ARGMiner~~~dfrB2~~~FAA00064.1~~~trimethoprim~~~unknown MGQSSDEANAPVAGQFALPLSATFGLGDRVRKKSGAAWQGQVVGWYCTKLTPEGYAVESESHPGSVQIYPVAALERVA ->ARGMiner~~~emra~~~BAA16547.1~~~multidrug multi-drug efflux pumps +>ARGMiner~~~emra~~~BAA16547.1~~~multidrug~~~multi-drug efflux pumps MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIISPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~otrA~~~CAA37477.1~~~tetracycline unknown +>ARGMiner~~~otrA~~~CAA37477.1~~~tetracycline~~~unknown MNKLNLGILAHVDAGKTSLTERLLHRTGVIDEVGSVDAGTTTTDSMELERQRGITIRSAVATFVLDDLKVNLIDTPGHSDFISEVERALGVLDGAVLVVSAVEGVQPQTRILMRTLRRLGIPTLVFVNKIDRGGARPDGVLREIRDRLTPAAVALSAVADAGTPRARAIALGPDTDPDFAVRVGELLADHDDAFLTAYLDEEHVLTEKEYAEELAAQTARGLVHPVYFGSALTGEGLDHLVHGIRELLPSVHASQDAPLRATVFKVDRGARGEAVAYLRLVSGTLGTRDSVTLHRVDHTGRVTEHAGRITALRVFEHGSATSETRATAGDIAQAWGLKDVRVGDRAGHLDGPPPRNFFAPPSLETVIRPERPEEAGRLHAALRMLDEQDPSIDLRQDEENAAGAVVRLYGEVQKEILGSTLAESFGVRVRFDPTRTVCIEKPVGTGEALIELDTRTHNYFWGAPWVCASDRPSPARAITFRLAVELGSLPLAFHKAIEETVHTTLRHGLYGWQVTDCAVTLTRTGVRSPVSAADDFRKANARLVLMDALGRAGTEVHEPVSSFELEVPAARLSPVLAKLAELGATPGVPTAEGDVFRLEGTMPTSLVHDFNQRVPGLTQGEGVFLAEHRGYRPAVGQPPVRPRPEGPNPLNRDEYILHVLKRV ->ARGMiner~~~TEM-3~~~CAA45828.1~~~beta_lactam unknown +>ARGMiner~~~TEM-3~~~CAA45828.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~KsgA~~~YP_002847275~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~YP_002847275~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIESIVSAINPQKGQAMVEIGPGLAALTEPVGERLDKLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTMNFGELAQTMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPAPKVDSAVVRLVPHTTMPYPVKDVRVLSRITTEAFNQRRKTVRNSLGNLFSVEVLTELGIDPAMRAENISVAQYCRMANYLSENAPSKES ->ARGMiner~~~APH(3')-Ib~~~CAJ85716~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ib~~~CAJ85716~~~aminoglycoside~~~unknown MPESMAAHVMGYKWARDKVGQSGCAVYRLHSKSGGSDLFLKHGKDAFADDVTDEMVRLRWLAGHISVPSVVSFVRTPNQAWLLTTAIHGKTAYQVLKSDFGARLVVVDALAAFMRRLHAIPVSECSVQQWTTHAGLPERGSIEAGVVDVDDFDKEREGWTAEQVWEAMHRLLPLAPDPVVTHGDFSLDNLLIVEGKVVGCIDVGRAGIADRYQDLAVLWNCLEEFEPSLQERLVAQYGIADPDRRKLQFHLLLDELF ->ARGMiner~~~AAC(6')-Iid~~~CAE50925.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iid~~~CAE50925.1~~~aminoglycoside~~~unknown MIISEFDRENIVLRDQLADLLRLTWPDEYGTEPMKEVEQLMAPERIAVSAIEGEELVGFVGAIPQYGKTGWELHPLVVASTHRKQQIGTRLVSYLEKEVASYGGLVIYLGTDDVEGQTNLVETDLFEDTFAKLQEIKNINHHPYTFYEKLGYQIIGVIPDANGWNQPDIWLAKRVAKREPTE ->ARGMiner~~~OXY-2-9~~~ACV44455.1~~~beta_lactam unknown +>ARGMiner~~~OXY-2-9~~~ACV44455.1~~~beta_lactam~~~unknown MIKSSWRKIAMLAAVPLLLASGALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGDALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGTGDYGTTNDIAVIWPEDHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~qacH~~~AAZ42322.1~~~quinolone unknown +>ARGMiner~~~qacH~~~AAZ42322.1~~~quinolone~~~unknown MKNWIFMAVAIFGEVIATSALKSSHGFTRLVPSVVVVAGYGLAFYFLSLALKSIPVGIAYAVWAGLGIVLVAAIAWIFHGQKLDFWAFIGMGLIVSGVAVLNLLSKVSAH ->ARGMiner~~~pbp2~~~ZP_03164918~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~ZP_03164918~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDIADFRHALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTASQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQSGQAP ->ARGMiner~~~CfxA5~~~AAV37206.1~~~beta_lactam unknown +>ARGMiner~~~CfxA5~~~AAV37206.1~~~beta_lactam~~~unknown MEKNRKKQIVVLSIALVCIFILVFSLFHKSATKDSANPPLTNVLTDSISQIVSACPGEIGVAVIVNNRDTVKVNNKSVYPMMSVFKVHQALALCNDFDNKGISLDTLVNINRDKLDPKTWSPMLKDYSGPVISLTVRDLLRYTLTQSDNNASNLMFKDMVNVAQTDSFIATLIPRSSFQIAYTEEEMSADHNKAYSNYTSPLGAAMLMNRLFTEGLIDDEKQSFIKNTLKECKTGVDRIAAPLLDKEGVVIAHKTGSGCVNENGVLAAHNDVAYICLPNNISYTLAVFVKDFKGNESQASQYVAHISAVVYSLLMQTSVKS ->ARGMiner~~~dfrC~~~AAO04716.1~~~trimethoprim unknown +>ARGMiner~~~dfrC~~~AAO04716.1~~~trimethoprim~~~unknown MTLSIIVAHDKQRVIGYQNQLPWHLPNDLKHVKQLTTGNTLVMGRKTFNSIGKPLPNRRNVVLTNQASFHHEGVDVINSLDEIKELSGHVFIFGGQTLFEAMIDQVDDMYITVIDGKFQGDTFFPPYTFENWEVESSVEGQLDEKNTIPHTFLHLVRRKGK ->ARGMiner~~~ACT-7~~~AAL05857~~~beta_lactam unknown +>ARGMiner~~~ACT-7~~~AAL05857~~~beta_lactam~~~unknown MMRKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVVEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILEALQ ->ARGMiner~~~rif~~~AAU01314~~~rifampin unknown +>ARGMiner~~~rif~~~AAU01314~~~rifampin~~~unknown MNNTIINSLIGGDDFIKRSNVFAVDSQIPTLYMPQYISLSGVMTNDGPDNQAIASFEIRDQYITALNHLVLSLELPEVKGMGRFGYVPYVGYKCINNVSVSSCNGVIWEIEGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYSQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGVVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTVFAHISHTINIIDTSIPVSIWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~ErmA~~~YP_001315192.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmA~~~YP_001315192.1~~~macrolide-lincosamide-streptogramin~~~unknown MNQKNPKDTQNFITSKKHVKEILNHTNISKQDNVIEIGSGKGHFTKELVKMSRSVTAIEIDGGLCQVTKEAVNPSENIKVIQTDILKFSFPKHINYKIYGNIPYNISTDIVKRITFESQAKYSYLIVEKGFAKRLQNLQRALGLLLMVEMDIKMLKKVPPLYFHPKPSVDSVLIVLERHQPLISKKDYKKYRSFVYKWVNREYRVLFTKNQFRQALKHANVTNINKLSKEQFLSIFNSYKLFH ->ARGMiner~~~BcII~~~ZP_04198378~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04198378~~~beta_lactam~~~unknown MKKNTLLKVGLCVSLLGTIQFVSVISPVQAERTVEKKVIKNETGTISISQLNKNVWVHTELGYFNGVAVPSNGLVLTTSKGLVLVDSSWDDKLTKELIEMVEKKFKKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKNGYEEPLGDLQTITNMKFGNIKVETFYPGKGHTEDNIVVWLLQYNILAGGCLVKSAEAKDLGNVADAYVNEWSISIENVLKRYGNMNLVVPGHGEVGDRGLLLHTLDLLK ->ARGMiner~~~BacA~~~ZP_03938934~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03938934~~~bacitracin~~~unknown MLLNLIDERFGFMLDIIKAVILGIVEGITEFLPISSTGHLILVNEFIKMQESTQFTDMFNVVIQLGAIMAVVVLYFHKLNPLSPKKDPFEKHATWILWFKVIVAVLPSVVLGLLFNDWMDAHLMNWEVVSAMLLIYGILFIVIENRNANRRPRFTDLNNLPYQTAFIIGMFQLLSLVPGTSRSGATILGAILIGTSRYVATEFSFFLAIPTMFGASLLKVYKYFDHGGTFNGTQSIVLATGMIVSFVVAYLAIRFLLNYIKNNNFKIFGWYRIVLSLIVIAYFGLIAR ->ARGMiner~~~OXA-58~~~YP_001840873.1~~~beta_lactam unknown +>ARGMiner~~~OXA-58~~~YP_001840873.1~~~beta_lactam~~~unknown MKLLKILSLVCLSISIGACAEHSMSRAKTSTIPQVNNSIIDQNVQALFNEISADAVFVTYDGQNIKKYGTHLDRAKTAYIPASTFKIANALIGLENHKATSTEIFKWDGKPRFFKAWDKDFTLGEAMQASTVPVYQELARRIGPSLMQSELQRIGYGNMQIGTEVDQFWLKGPLTITPIQEVKFVYDLAQGQLPFKPEVQQQVKEMLYVERRGENRLYAKSGWGMAVDPQVGWYVGFVEKADGQVVAFALNMQMKAGDDIALRKQLSLDVLDKLGVFHYL ->ARGMiner~~~AmrB~~~YP_369007~~~multidrug unknown +>ARGMiner~~~AmrB~~~YP_369007~~~multidrug~~~unknown MARFFIDRPVFAWVIAIFIMLGGAFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTALIEREMNGAPGLMYTSATSSAGMASLYLTFKQGVNADLAAVEVQNRLKTVEARLPEPVRRDGIQVEKAADNIQLVVSLTSDDGRMTAVQLGEYASANVVQALRRVEGVGKVQVWGTEYAMRIWPDPVKMAGHGLTASDIASAVRAHNARVTIGDIGRTAVPANAPIAATVFADAPLKTPADFGAIALRTQADGSALYLRDVARIEFGGNDYNYPSYVNGKVATGMGIKLAPGSNAVSTEKRVRATMDELSAYFPSGVKYQIPFETSSFVRVSMNKVVTTLIEAGVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGVMYAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEGLGPYDATVKAMKQISGAIIGITVVLTSVFLPMAFFGGAVGNIYRQFALSLAVSIGFSAFLALSLTPALCATLLKPVSGDHHEKRGFFGWFNRFVANSTQRYATRVGAMLKKPVRWLVVYGALTGVAALMLTQLPTAFLPDEDQGNFMVMVIRPQGTPLAETMQSVQEVESIIRRDEPTAFTYALGGFNLYGEGPNGGMIFVTLKNWKERKSEDAHVQAIVARINERFAGTPNTTVFAMNSPALPDLGSTSGFDFRLQNRGGLDYATFSAAREQLLETGRKDPALTDLMFAGTQDAPQLKLDIDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVIVQADGLHRLDPDDVKKLRVRNTHGEMVPLAAFTTLHWTLGPPQLTRYNGFPSFTINGSAAAGHSSGEAMTAIERLAGKLPAGTGFSWSGQSFEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVLGVTLRMMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLVDAALEAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQMAIGTGVLGGVITATVLAVFLVPLFFVIVGRLFDVGPRRRGGAQPATMEGSQ ->ARGMiner~~~JOHN-1~~~AAK38324.1~~~beta_lactam unknown +>ARGMiner~~~JOHN-1~~~AAK38324.1~~~beta_lactam~~~unknown MRKLASIILFLAAVSNSLGQSKNSPLQISHLTGDFYVYRTFNDYKGTKISANAMYVVTDKGVVLFDAPWDKTQFQPLLDSIKAKHNKEVVMLFGTHSHEDRAGGFDFYKKKGIKTYSIKLTDDILKKNKEPRAEFIISNDTTFTVGNHTFEVYYPGKGHAPDNIVAWFKKEKILYGGCFVKSAEALDLGYLGDADVKEWQKSIKKVQAKFKKPDYIISGHDDWTSKESLNHTLKLVDEYLAQKSAGKK ->ARGMiner~~~vanXM~~~ACL82962.1~~~glycopeptide unknown +>ARGMiner~~~vanXM~~~ACL82962.1~~~glycopeptide~~~unknown MEKGFTFLDEILNDVRWDAKYATWDNFTGKPIDGYEVNRIIGTYELADALLKVQELAFNQGYGLLLWDGYRPQQAVNCFLQWAAQPEDNRTKAKYYPNIDRTEMVSKGYVASKSSHSRGSAIDLTLYRLDTDELVPMGSGFDFMDERSHHEAKGITSNEAQNRRFLRSIMENSGFEAYSFEWWHYVLINEPYPYSCFDFPVK ->ARGMiner~~~SHV-183~~~CDN33427.1~~~beta_lactam unknown +>ARGMiner~~~SHV-183~~~CDN33427.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BacA~~~ZP_03829223~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03829223~~~bacitracin~~~unknown MTDLHSLLIAFILGVVEGLTEFLPVSSTGHMIIVGHWLGFADEKAKTFEVIIQLGSILAVVVMFWRRLFGLIGIHFGEVPHEGHTAGRLKLTHILLAMIPAVVLGLIFHDVIKSLFYPQNVMYALVVGGFLLLAAEWLKPKKPRAVGLDDITHRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFILAVPMMMGATVLDLYKSWHFLSLADLPMFAVGFVTAFIVALIAIKTFLKVIKRISFVPFAIYRFIVAGVVYMVFM ->ARGMiner~~~pbp1a~~~EDJ91555~~~beta_lactam unknown +>ARGMiner~~~pbp1a~~~EDJ91555~~~beta_lactam~~~unknown MRIAKLILNTLLTLCILGLVAGGMLYFHLKSELPSVETLKTVELQQPMQIYTADGKLIGEVGEQRRIPVKLADVPQRLIDAFLATEDSRFYDHHGLDPIGIARALFVAVSNGGASQGASTITQQLARNFFLTSEKTIIRKAREAVLAVEIENTLNKQEILELYLNKIFLGYRSYGVAAAAQTYFGKSLNELTLSEMAIIAGLPKAPSTMNPLYSLKRAEERRNVVLSRMLDEKYISKEEYDAALKEPIVASYHGAKFEFRADYVTEMVRQEMVRRFGEENAYTSGYKVFTTVLSKDQAEAQKAVRNNLIDYDMRHGYRGGAPLWQKNEAAWDNDRIVGFLRKLPDSEPFIPAAVIGIVKGGAEILLASGEKMTLSTNAMRWTGRSNPVKVGEQIWIRQRANGEWQLGQIPAANSALVSLNSDNGAIEAVVGGFSYEQSKFNRATQSLIQVGSSIKPFIYAAALEKGLTLSSVLQDSPISIQKPGQKMWQPKNSPDRYDGPMRLRVGLGQSKNMIAIRAIQTAGIDFTAEFLQRFGFKRDQYFASEALALGAASFTPLEMARAYSVFDNGGFLIEPYIIEKIQDNTGKELFVANPKIACIECNDIPVIYGETKDKINGFANIPLGESALKPTDDSTNGEELDQQPETVPELPELQSNFTALKEDAIDLMAAAKNASSKIEYAPRVISGELAFLIRSALNTAIYGEQGLDWKGTSWRIAQSIKRSDIGGKTGTTNSSKVAWYAGFGANLVTTTYVGFDDNKRVLGRGEAGAKTAMPAWITYMKTALSDKPERKLTLPPKIVEKSIDTLTGLLSPNGGRKEYFIVGTEPTRTYLSEMQERGYYVPTELQQRLNNEGNTPTPAAQPEELF ->ARGMiner~~~OXY-2-7~~~CAA88908.1~~~beta_lactam unknown +>ARGMiner~~~OXY-2-7~~~CAA88908.1~~~beta_lactam~~~unknown MIKSSWRKIAMLAAAVPLLLASGALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGDALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGAGDYGTTNDIAVIWPEDHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~QnrB25~~~ADN94685.1~~~quinolone unknown +>ARGMiner~~~QnrB25~~~ADN94685.1~~~quinolone~~~unknown MALALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAILKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITSTNLSYANFSKAVLEKCELWENRWMGTQVLGATLSGSDLSGGEFSSFDWRTANFTHCDLTNSELGDLDIRGVDLQGVKLDSYQAALLMERLGIAIIG ->ARGMiner~~~cepA~~~YP_098534~~~beta_lactam unknown +>ARGMiner~~~cepA~~~YP_098534~~~beta_lactam~~~unknown MQKRLIHLSIIFFLLCPALVVAQNSPLETQLKKAIEGKKAEIGIAVIIDGQDTITVNNDIHYPMMSVFKFHQALALADYMHHQKQPLETRLLIKKSDLKPDTYSPLRETYPQGGIEMSIADLLKYTLQQSDNNACDILFNYQGGPDAVNKYLHSLGIRECAVIHTENDMHKNLEFCYQNWTTPLAAAKLLEIFRNENLFDKEYKNFIYQTMVECQTGQGRLIAPLLDKKVTMGHKTGTGDRNAKGQQIGCNDIGFILLPDGHVYSIAVFVKDSEADNRENSEIIAEISRIVYEYVTQQID ->ARGMiner~~~EmrD~~~YP_410000~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_410000~~~multidrug~~~unknown MIMKRQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLVAVTTSSLTVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLVLCVGVTFSMARWMPETRPVDAPRTRLLTSYKTLFGNSGFNCYLLMLIGGLAGIAAFEACSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLLMWIPDWFGVMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFLFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGLLIVLCWLPLATRMSHQGQPV ->ARGMiner~~~MdtL~~~A1AHP3~~~multidrug unknown +>ARGMiner~~~MdtL~~~A1AHP3~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIALLMLSLFILKETRPAAPTTSDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~CTX-M-65~~~ABN69105.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-65~~~ABN69105.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAVAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAERRRDVLASAARIIAEGL ->ARGMiner~~~tetM~~~ZP_03984378~~~tetracycline unknown +>ARGMiner~~~tetM~~~ZP_03984378~~~tetracycline~~~unknown MEENHMKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~OXY-1-2~~~CAI43413.1~~~beta_lactam unknown +>ARGMiner~~~OXY-1-2~~~CAI43413.1~~~beta_lactam~~~unknown MLKSSWRKTALMAAAAVPLLLASGSLWASADAIQQKLADLEKRSGGRLGVALINTADDSQTLYRGDERFAMCSTGKVMAAAAVLKQSESNPEVVNKRLEIKKSDLVVWSPITEKHLQSGMTLAELSAAALQYSDNTAMNKMISYLGGPEKVTAFAQSIGDVTFRLDRTEPALNSAIPGDKRDTTTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPASWVVGDKTGGGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKSRKEVLAAAAKIVTEGL ->ARGMiner~~~tetA(P)~~~ZP_02863325~~~tetracycline unknown +>ARGMiner~~~tetA(P)~~~ZP_02863325~~~tetracycline~~~unknown MVNKLSAYKTYLLFSAITAMCFSLVATVMIVYHIEIVHLNPLQLILVGTTLELACFIFEIPTGIVADVYSRKLSIVIGGVLTGVGFILEGSISSFVFVLVAQIVWGLGSTFISGSLEAWIAEEEKNKDLDEIYIKGAQAGQIGAFIGIVLSTVIANFSVRLPIIVSGVLFIILALFLWLYMPENNFKPSAPGDLNTFKKMVYTFKSGLKFVKSKSIIMILLAVTLFYGLSSEGYDRLSNAHFLQDTTLPKLGNLSSVTWFGIFGILGMILSFIVMHFMAKNLKNEDNRKNGKLLLCINILYISSMLIFALTRNFSLMLIAYLATNTFRIINEPIFSAWLNGHIDDNSRATVLSINGQMNSLGQILGGPIIGIIATNISVSIGIVCTSLLVAPVLVLYIISMIMDKKIS ->ARGMiner~~~TolC~~~YP_001436507~~~multidrug unknown +>ARGMiner~~~TolC~~~YP_001436507~~~multidrug~~~unknown MQMKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGVDSTAKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDNFKTTKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTSGAAASRYADSKIGQNSIGLSFNLPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTAPESVAPENPEQDAAVNNMANGGGNAPAMQPAAATRSSNSNSGNPFRQ ->ARGMiner~~~MdtH~~~YP_001588578~~~multidrug unknown +>ARGMiner~~~MdtH~~~YP_001588578~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALTQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~TEM-85~~~CAC43229.1~~~beta_lactam unknown +>ARGMiner~~~TEM-85~~~CAC43229.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-145~~~AAZ14083.2~~~beta_lactam unknown +>ARGMiner~~~TEM-145~~~AAZ14083.2~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLMRSALPAGWFIADKSGAGERGSHGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BcI~~~ZP_04320832~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04320832~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSITSLEAFTGESLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSNRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGIPTDWVVGDKSGAGSYGTRNDIAVVWPPNRAPIIIAILSSKDEKEAIYDNQLIAEATKVIVKALR ->ARGMiner~~~OKP-B-12~~~CAG25831.1~~~beta_lactam unknown +>ARGMiner~~~OKP-B-12~~~CAG25831.1~~~beta_lactam~~~unknown TALPLAVFASPQPLEQIKISEGQLAGRVGYVEMDLASGRMLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTTFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMAERNQQIAG ->ARGMiner~~~ROB-1~~~CAA37052.1~~~beta_lactam unknown +>ARGMiner~~~ROB-1~~~CAA37052.1~~~beta_lactam~~~unknown MLNKLKIGTLLLLTLTACSPNSVHSVTSNPQPASAPVQQSATQATFQQTLANLEQQYQARIGVYVWDTETGHSLSYRADERFAYASTFKALLAGAVLQSLPEKDLNRTISYSQKDLVSYSPETQKYVGKGMTIAQLCEAAVRFSDNSATNLLLKELGGVEQYQRILRQLGDNVTHTNRLEPDLNQAKPNDIRDTSTPKQMAMNLNAYLLGNTLTESQKTILWNWLDNNATGNPLIRAATPTSWKVYDKSGAGKYGVRNDIAVVRIPNRKPIVMAIMSTQFTEEAKFNNKLVEDAAKQVFHTLQLN ->ARGMiner~~~AAC(2')-Id~~~AAB41701.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(2')-Id~~~AAB41701.1~~~aminoglycoside~~~unknown MLTQHVSEARTRGAIHTARLIHTSDLDQETRDGARRMVIEAFRDPSGDSDFTDDFTDDDWDHALGGMHALISHHGALIAHGAVVQRRLMYRGPDGRGHALRCGYVEAVAVREDRRGDGLGTAVLDALEQVIRGAYQIGALSASDIARPMYIARGWLSWEGPTSVLTPTEGIVRTPEDDRSLFVLPVDLPDGLELDTAREITCDWRSGDPW ->ARGMiner~~~KsgA~~~ZP_03835208~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~ZP_03835208~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIESIVSAINPQKGQAMVEIGPGLAALTEPVGERLDKLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTMNFGELAQTMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPAPKVDSAVVRLVPHATMPYPVKDVRVLSRITTEAFNQRRKTVRNSLGNLFSVEVLTELGIDPAMRAENISVAQYCRMANYLSENAPSKES ->ARGMiner~~~OXA-56~~~AAR32651.1~~~beta_lactam unknown +>ARGMiner~~~OXA-56~~~AAR32651.1~~~beta_lactam~~~unknown MKTFAAYVITACLSSTALASSITENTFWNKEFSAEAVNGVFVLCKSSSKSCATNNLARASKEYLPASTFKIPNAIIGLETGVIKNEHQIFKWDGKPRAMKQWERDLSLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLFLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKGAEVYFFAFNMDIDNENKLPLRKSIPTKIMASEGIIGG ->ARGMiner~~~CcrA~~~AAA22904.1~~~beta_lactam unknown +>ARGMiner~~~CcrA~~~AAA22904.1~~~beta_lactam~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGMIVINNHQAALLDTPINDAQTEMLVNWVTDSLHAKVTTFIPNHWHGDCIGGLGYLQRKGVQSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQATSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGDYGGTELIEHTKQIVNQYIESTSKP ->ARGMiner~~~BacA~~~NP_843860~~~bacitracin unknown +>ARGMiner~~~BacA~~~NP_843860~~~bacitracin~~~unknown MADWLIGIIMGAVEGLTEFLPVSSTGHMILTGHLIGFDDDRAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGVLGVLFHSAIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSTADIPLFATGFITAFVVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~SHV-44~~~AAP82228.1~~~beta_lactam unknown +>ARGMiner~~~SHV-44~~~AAP82228.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQLQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BacA~~~ZP_04640456~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04640456~~~bacitracin~~~unknown MYSLFVAFVLGVVEGLTEFLPVSSTGHMIIVGELLGFTGDKAKTFEVIIQLGSILAVVVVFWRRLFGLIGIHFGATPHEGKTSGHLTLGHILLAMLPAVGLGLVFHNAIKSLFNPHSVMYALVAGGLLLLAAEWFKPKNPKAVGLDDITYRQAFAIGCFQCLALWPGFSRSGATISGGMLVGVNRYAASEFSFILAVPMMMGASGLDLYKSLHFLTLGDLPMFAVGFTTAFIVALIAIKTFLSLIKRISFVPFAIYRFVVAAAVYWVFM ->ARGMiner~~~AAC(6')-Ib3~~~ACS44715.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib3~~~ACS44715.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~TEM-157~~~ABI81768.1~~~beta_lactam unknown +>ARGMiner~~~TEM-157~~~ABI81768.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRIDAGQEQLGRRIHYSQSDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPVAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OKP-A-8~~~CAJ19606.1~~~beta_lactam unknown +>ARGMiner~~~OKP-A-8~~~CAJ19606.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAAFASPPPLEQVTRSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHTLSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATMAERNQQIARIGAALIEHWQR ->ARGMiner~~~MexB~~~YP_298289~~~multidrug unknown +>ARGMiner~~~MexB~~~YP_298289~~~multidrug~~~unknown MSNFFIDRPIFAWVIALVIMLAGVLSIRSLPISQYPAIAPPTIAISVNYPGASAETVQDTVVQVIEQQLNGLDRLRYISSESNADGSMTITVTFEQGTNPDIAQVQVQNKLALAQPLLPQEVQQQGIRVTKSVRNFLLIVGLISTDPKVTREDLSNYIVSNIQDPLSRTYGVGDFQVFGSQYAMRVWIDPAKLNSYQLTPLDVSNAIKAQNVQVASGQLGGLPAVQGQQLNASVIGKTRLQTSEQFGNILLKVNPDGSQVRLKDVAEVGLGGQDYNINAQYNGQPASGIAVRLAAGANALETVRAIRKTLDTLEPFFPAGMKVVYPYDTSPVISGSIHEVVKTLMEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGYTINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEAARRSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSSMVLSVLVALILTPALCATMLQPIEKGDHGENKGGFFGWFNRKFISTTQGYERGVSTILKRRLPFVLIYVAILVAMGFLFTRIPTSFLPEEDQGVLYAQVQTPAGATAERTQKVLVQMREYLLKEEGGVVDSLFTVNGFNFAGRGQNSGLAFILLKPWEERTGDNTSVFDLAARAQRKFASFRDSMSFAFAPPAVQELGNATGFDLYLQDQAGIGHQALMNARDKFLALASKSPVLQRVRPNGLNDQPQYQLVIDDEKARALGLSLADINSTVTIAWGSSYVNDFIDRGRVKRVYVQGRPDSRMNPDDVDKWFVRNDKGDMVPFSAFAEGKWGFGSPKLQRYNGVPAVEVLGEPAAGRSSGEAMKAIEEIMKQMPAGVGYAWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATMARGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKSQYEHGKGLVDAAIEACRMRLRPIVMTSLAFMLGVFPLAISTGAGAGSQHAIGTGVIGGMITATVLAIFWVPLFFVAVNSLFARKRKPSQPDTPAEKGALQ ->ARGMiner~~~Bcr~~~CAA45230~~~multidrug unknown +>ARGMiner~~~Bcr~~~CAA45230~~~multidrug~~~unknown MLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALANTIVQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILALAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHIAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR ->ARGMiner~~~BacA~~~ZP_04083542~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04083542~~~bacitracin~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFNDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGVLGVLFHSTIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDVLSSADITLFVTGFVTAFVVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~AAC(6')-Ic~~~AAA26549.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~AAA26549.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRTALWPSGSPEDHRAEMREILASPHHTAFMARGLDGAFVAFAEVALRYDYVNGCESSPVAFLEGIYTAERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~cphA7~~~CAA40386.1~~~beta_lactam unknown +>ARGMiner~~~cphA7~~~CAA40386.1~~~beta_lactam~~~unknown MMKGWMKCGLAGAVVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYVQENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRKPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFTLQEGKVRAFYAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVIGGHDSPLHGPELIDHYEALIKAAPQS ->ARGMiner~~~MdfA~~~YP_002382152~~~multidrug unknown +>ARGMiner~~~MdfA~~~YP_002382152~~~multidrug~~~unknown MQNRLQTGARLGRSALLFPLCLVLYEFSTYIANDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRVGRRPVMLVGVVWFIVTCLATLLAQNIEQFTVLRFLQGISLCFIGAVGYAAIQESFEESVCIKITALMANVALIAPLLGPLVGAAWVHMLPWEGMFILFAVLAAISFVGLQRAMPETATRIGEKLSLKELGRDYKQVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEKLSSYEYGLLQVPVFGALIAGNLVLARLTARRTVRSLIIMGGWPIVIGLVVAAIATAFSSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMVQMLIFTVGIEVSKHAWLGGGNGMFNLFNLANGVIWLLLMVIFLRDKSVGNSQKA ->ARGMiner~~~bacA~~~NP_462120~~~bacitracin unknown +>ARGMiner~~~bacA~~~NP_462120~~~bacitracin~~~unknown MMSDMHSLLIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQREGESKGRLTLIHILLGMIPAVVLGLVFHDTIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATVLDLYKSWSFLTAADIPMFAVGFVTAFVVALIAIKTFLQLIKRISFIPFAIYRFVVAAAVYVVFF ->ARGMiner~~~OXA-192~~~ADZ54048.1~~~beta_lactam unknown +>ARGMiner~~~OXA-192~~~ADZ54048.1~~~beta_lactam~~~unknown MSKKNFILIFIFVILISCKNTEKTSNETTLIDNIFTNSNAEGTLVIYNLNDDKYIIHNKERAEQRFYPASTFKIYNSLIGLNEKAVKDVDEVFYKYNGEKVFLESWAKDSNLRYAIKNSQVPAYKELARRIGLEKMKENIEKLDFGNKNIGDSVDTFWLEGPLEISAMEQVKLLTKLAQNELPYPIEIQKAVSDITILEQTDNYTLHGKTGLADSENMTTEPIGWLVGWLEENNNIYVFALNIDNINSDDLAKRINIVKESLKALNLLK ->ARGMiner~~~dfrK~~~CBL80435.1~~~trimethoprim unknown +>ARGMiner~~~dfrK~~~CBL80435.1~~~trimethoprim~~~unknown MKVSLIAAMDKNRVLGKENDIPWRIPKDWEYVKNTTKGYPIILGRKNLESIGRALPGRRNIILTRDKGFSFNGCEIVHSIEDVFEICNNEEEIFIFGGEQIYNLFLPYVEKMYITKIHYEFEGDTFFPEVNYEEWSEVSVTQGITDEKNPYTYYFHIYERKAS ->ARGMiner~~~CTX-M-155~~~AIS67611.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-155~~~AIS67611.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTAPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASGAKIVTDGL ->ARGMiner~~~tetM~~~ZP_02511926~~~tetracycline unknown +>ARGMiner~~~tetM~~~ZP_02511926~~~tetracycline~~~unknown MEENHMKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~OXA-7~~~CAA53242.1~~~beta_lactam unknown +>ARGMiner~~~OXA-7~~~CAA53242.1~~~beta_lactam~~~unknown MKTFAAYVITACLSSTALASSITENTFWNKEFSAEAVNGVFVLCKSSSKLACATNNLARASKEYLPASTFKIPNAIIGLETGVIKNEHQIFKWDGKPRAMKQWERDLSLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLFLNKLSASKENQLIVKEALVTEAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKGAEVYFFAFNMDIDNENKLPLRKSIPTKIMASEGIIGG ->ARGMiner~~~OXA-175~~~ADI58619.1~~~beta_lactam unknown +>ARGMiner~~~OXA-175~~~ADI58619.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIRNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CTX-M-3~~~BAC53608~~~beta_lactam unknown +>ARGMiner~~~CTX-M-3~~~BAC53608~~~beta_lactam~~~unknown MVKKSLRQFTLMATATATLSLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CMY-32~~~ACA97846.1~~~beta_lactam unknown +>ARGMiner~~~CMY-32~~~ACA97846.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPEQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~BacA~~~ZP_04623431~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04623431~~~bacitracin~~~unknown MTDMYSLFVAFVLGVVEGLTEFLPVSSTGHMIIVGELLGFTGDKAKTFEVIIQLGSILAVVVVFWRRLFGLIGIHFGKVPHEGKTSGHLTLGHILLAMIPAVGLGLAFHDVIKSLFNPQSVMYALVAGGLLLLAAEWFKPKNPKAIGLDDITYRQAFAIGCFQCLALWPGFSRSGATISGGMLVGVNRYAASEFSFILAVPMMLGASALDLYKSLHFLSWGDLPMFAVGFITAFIVALIAIKTFLSLIKRISFVPFAIYRFIVAAAVYWVFM ->ARGMiner~~~FOX-9~~~AEK78851.1~~~beta_lactam unknown +>ARGMiner~~~FOX-9~~~AEK78851.1~~~beta_lactam~~~unknown MQQRRAFALLTLGSLLLAPCTYASGEAPLTVTVDGIIQPMLKAYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFELDDKVSQHAPWLKGSAFDGVTMAELATYSAGGLPLQFPEEVDSNDKMRTYYRSWSPVYPAGTHRQYANTSIGLFGYLAANSLGQSFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEEKPIRVTPGMLAAEAYGIKTGSADLLKFAEANMGYQGDAAVKSAIALTHTGFYSVGDMTQGLGWESYDYPVTEQVLLADNSPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~IMP-51~~~BAQ56016.1~~~beta_lactam unknown +>ARGMiner~~~IMP-51~~~BAQ56016.1~~~beta_lactam~~~unknown MKKLSVFFMFLFCSIAASGEALPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNTDAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFSGASYWLVKKKIEIFYPGPGHTPDNVVVWLPEHRVLFGGCFVKPYGLGNLGDANLEAWPKSAKLLVSKYGKAKLVVPGHSEVGDASLLKRTLEQAVKGLNESKKLSKPSN ->ARGMiner~~~BcI~~~CAA29819.1~~~beta_lactam unknown +>ARGMiner~~~BcI~~~CAA29819.1~~~beta_lactam~~~unknown MKNKRMLKIGICVGILGLSITSLEAFTGESLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSNRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGIPTDWVVGDKSGAGSYGTRNDIAVVWPPNRAPIIIAILSSKDEKEAIYDNQLIAEATKVIVKALR ->ARGMiner~~~MacB~~~YP_002386378~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_002386378~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~vgaA~~~AGN33258.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~vgaA~~~AGN33258.1~~~macrolide-lincosamide-streptogramin~~~unknown MKIMLEGLHIKHYVQDRLLLNINRLKIYQNDRIGLVGKNGNGKTTLLHILYKKIVPEEGIVKQFSHCELIPQLKLIESTKSGGEVTRNYIRQALDKNPELLLADEPTTNLDNDYIEKLEQDLKNWHGAFIIVSHDRAFLDNLCTTIWEIEEGRITEYKGNYSNYVEQKELERHREELEYEKYEKEKKRLEKAINIKEQKAQRATKKPKNLSSSESRIKGTKPYFAGKQKKLRKTIKSLETRLEKLESVEKRNELPPLKMDLVNLESVKNRTIIRGEDVSGTIEGRVLWKAKSFSIRGGDKMAIIGSNGTGKTTFIKKIVHGNHGISLSPSVKIGYFSQKIDTLELDKSILENVQSSSQQNETLIRTILARMHFFRDDVYKPINVLSGGERVKVALTKVFLSEVNTLVLDEPTNFLDMEAIEAFESLLKEYNGSIIFVSHDRKFIEKVATRIMTIDNKEIKIFDGTYEQFKQAEKPTRNIKEDKKLLLETKITEVLSRLSIEPSEELEQEFQNLINEKRNLDK ->ARGMiner~~~SHV-48~~~AAP03063.1~~~beta_lactam unknown +>ARGMiner~~~SHV-48~~~AAP03063.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTIGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~AAC(3)-Ia~~~AAB20441~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~AAB20441~~~aminoglycoside~~~unknown MLRSSNDVTQQGSRPKTKLGGSSMGIIRTCRLGPDQVKSMRAALDLFGREFGDVATYSQHQPDSDYLGNLLRSKTFIALAAFDQEAVVGALAAYVLPKFEQPRSEIYIYDLAVSGEHRRQGIATALINLLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREEVMHFDIDPSTAT ->ARGMiner~~~QnrS8~~~AHE41345.1~~~quinolone unknown +>ARGMiner~~~QnrS8~~~AHE41345.1~~~quinolone~~~unknown METYNHTYRHHNFSHKDLSDLTFTACTLIRSDFRRANLRDTTFVNCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIEFRACDLKGANFSRTNFAHQVSNRMYFCSAFISGCNLSYANMERVCLEKCELFENRWIGTNLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIAAWQQELILEALGIVVYPD ->ARGMiner~~~SHV-6~~~CAA71948.1~~~beta_lactam unknown +>ARGMiner~~~SHV-6~~~CAA71948.1~~~beta_lactam~~~unknown MLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARATTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERN ->ARGMiner~~~CMY-35~~~ABN51007.1~~~beta_lactam unknown +>ARGMiner~~~CMY-35~~~ABN51007.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKAVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CTX-M-14~~~AAF72530.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-14~~~AAF72530.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~dfrG~~~BAE15963.1~~~trimethoprim unknown +>ARGMiner~~~dfrG~~~BAE15963.1~~~trimethoprim~~~unknown MKVSLIAAMDKNRVIGKENDIPWRIPKDWEYVKNTTKGHPIILGRKNLESIGRALPDRRNIILTRDKGFTFNGCEIVHSIEDVFELCKNEEEIFIFGGEQIYNLFFPYVEKMYITKIHHEFEGDTFFPEVNYEEWNEVFAQKGIKNDKNPYNYYFHVYERKNLLS ->ARGMiner~~~amrA~~~CAW28031~~~multidrug unknown +>ARGMiner~~~amrA~~~CAW28031~~~multidrug~~~unknown MHIQWTGSLRGLLAALVALFLLGCEEAADAGKTAEAPAEVGVIVARPAPIGITSELPGRLEAYRQAEVRARVAGIVTRRLYEEGQDVRAGTVLFQIDPAPLKAALDISRGALARAEASHAAAADKLKRYADLIKDRAISEREYTEAQTDARQALAQIASAKAELEQARLRLGYATVTAPIDGRARRALVTEGALVGEDSPTPLTRVEQIDPIYVNFSQPAGEVAAMQRAIREGQVKGVADKDIAVRLVLADGSEYPLAGELLFSDLAVDPGTDTIAMRALFRNPHRELLPGGYVQVRLQRAVNPQAITVPRDALIRTAQSAVVKVVNPQGVVEDVEVRADTLQGRDWIISRGLKGGERVIVENAAQHAAGSSVQAVVRQPASADAPSPLAASPAGQ ->ARGMiner~~~vanTC~~~AAD22403.1~~~glycopeptide unknown +>ARGMiner~~~vanTC~~~AAD22403.1~~~glycopeptide~~~unknown MKNKGIDQFRVIAAMMVVAIHCLPLHYLWPEGDILITLTIFRVAVPFFFMISGYYVFAELAVANSYPSRQRVFNFIKKQLKVYLLATLMFLPLALYSQTIGFDLPVGTLVQVLLVNGILYHLWYFPALITGSLLLTSLLIHVSFKKVFWLAAGLYLIGLGGDSWFGLIQQTPIEPFYTAVFHLLDGTRNGIFFTPLFLCLGVLVRKQSEKRSLSKTALFFLISLIGLLIESAYLHGFSIPKHDSMYLFLPVVLFFLFPLILRWHPHRTWKHPGQLSLWLYLLHPYTIAGTHFLSQKISILQNNLINYLVVLILTIGFICLFLRQKHSWFRHKQTTPVKRAVKEFSKTALLHNLQEIQRIISPKTKVMAVVKADAYGCGAKEVAPVLEQAGIDFFAVATIDEGIRLRKNAVKSPILVLGYTSPKRIKELRRYSLTQSIISEGHAVALSQRKVAIDCHLAIDTGMHRLGVTPTIDSILSIFDLPFLTISGVYSHLGSADRLNPDSMIRTQKQIACFDQILLELDQRQISYGITHLQSSYGILNYPDLNYDYVRPGILLTGSLSDTNEPTKQRVSLQPILTLKAQLITKRVVAKGEAIGYGQTAVANQETTVGVVSIGYCDGLPRSLSNQEFCLSYRGQSLPQIGLICMDMLLIDLSHCPTIPIESEIEILTDWSDTAEQVQTITNELICRIGPRVSARIK ->ARGMiner~~~AcrB~~~ABW72062~~~multidrug unknown +>ARGMiner~~~AcrB~~~ABW72062~~~multidrug~~~unknown MAKFFIDRPIFAWVLAIIMMLAGALAIMSLPVAQYPTVAPPAVTISASYPGADAATVQNSVTQVIEQNMNGIDNLMYMSSTSDSAGNASITLTFEAGTDPDIAQVQVQNKLQLAMPSLPQEVQQQGVSVDKASSSILLVAGFISEDGSLSQDDIADYVASNIKDPLSRTQGVGSVQLFGSQYAMRIWLDPNKLNKYNLTPVDVVSQIKVQNNQIAGGQLGGTPPVPGQQLNASIIVQTRLKDPTEFGKILLKVQQDGSRVLLRDVARVELGAENYGTIARYNGKPAAGIAVKLATGANALDTAKAVKTELAKLAPYFPASLKTVYPYDTTPFVQLSIDEVVKTLLEAIVLVFVVMYLFLQNIRATLIPTIAVPVVLLGTFAILEIVGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATKKSMEQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSSMVLSVLVAMILTPALCATMLKPIAKGDHGVQTGFFGWFNRLFEKSTHHYTDSVGRILRGTGRYLVIYLLIVVGMGLLFIRLPTSFLPEEDQGVLLTMAQLPPGATQERTNNVLKQVSQYYLTKEKDNVESVFTVSGFGFSGQGQNNGLAFVSLKPWDERKGDQNKVTAIIQRAAGAFSKINDAMVYPFNLPAIVELGTASGFDFELIDQANLGHEKLTEARNQLLGMAAQHPDLLVGVRPNGLEDTPQFKLDIDQEKAQSLGVSLADVNQTISAALGGAYVNDFIDRGRVKRVYVQADAPFRMLPSDIDNWYVRSSNGQMVPLSAFSSSRWEYGSPRLERYNGLPSMEILGEAAPGKSTGEAMALMESLVAKLPAGVGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLATSLRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAVDLMHKEGKGLVEATLEAVRMRLRPILMTSLAFIHGVMPLVISNGAGSGAQNAVGTGVMGGMVSATILAIFFVPVFFVVVRRRFGRRGEDIEHNHPVEPHNK ->ARGMiner~~~BacA~~~YP_010878~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_010878~~~bacitracin~~~unknown MSDMITAAILGLVEGLTEFLPVSSTGHLIITGELLGFTGPKATTFEVAIQLGAILAVVVLYWDRFWGLLRPQPYVRFAGLRGIMLLLLTSLPASVLGLAAHSTIKAHLFTPSTVAIALAVGAIFMLLVERRTERPRYMTLDEMSPALALGIGCFQCLALWPGFSRSAATIMGGMLLGARRGLAAEYSFIAAVPIMFAATGYDLLKSWTLFTPADLPFFATGFVVSFLSAWAAVKLFIALVGRMTFRPFAWYRLAIAPLVYYFMAY ->ARGMiner~~~acrB~~~YP_002848372~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_002848372~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFQSGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDIIAKFNGKPASGLGIKLATGANALDTANAIRDELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLAEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAIFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFDKSTHHYTDSVGGILRSTGRYLALYLIIVVGMAYLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTDYYLTKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGKENKVEAITQRAMGAFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQAGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPEDIGNWYVRGSDGQMVPFSAFSTSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEQLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHSVEHH ->ARGMiner~~~norM~~~BAC59742~~~multidrug unknown +>ARGMiner~~~norM~~~BAC59742~~~multidrug~~~unknown MHRYKEEASSLIKLATPVLIASVAQTGMGFVDTVMAGGVSATDMAAVSVASSIWLPSILFGIGLLMALVPVVAQLNGSARREKIPFEIQQGVVLALLISIPIIGVLLQTQFILQLMDVEAVMAGKTVGYIHAVIFAVPAFLLFQTLRSFTDGMSLTKPAMVIGFIGLLLNIPLNWIFVYGKFGAPELGGVGCGVATTIVYWVMFALLLAYVMTSSRLKSINVFGEYHKPQWKAQVRLFKLGFPVAAALFFEVTLFAVVALLVSPLGPIIVAAHQVAINFSSLVFMLPMSVGAAVSIRVGHRLGEENVDGARVASRVGIMVGLALATITAIITVLSRELIAELYTNNPEVISLAMQLLLFAAVYQCTDAVQVIAAGALRGYKDMRAIFNRTFIAYWILGLPTGYILGRTDWIVEPMGAQGFWLGFIIGLTAAALMLGVRLRWMHRQEPDVQLNFSLQ ->ARGMiner~~~mdtF~~~YP_001882200~~~multidrug unknown +>ARGMiner~~~mdtF~~~YP_001882200~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIGAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~bacA~~~NP_289632~~~bacitracin unknown +>ARGMiner~~~bacA~~~NP_289632~~~bacitracin~~~unknown MSDMHSLLIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQHEXESKGRLTLIHILLGMIPAVVLGLLFHDTIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATALDLYKSWGFLTSGDIPMFAVGFITAFVVALIAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~SHV-185~~~AIS67768.1~~~beta_lactam unknown +>ARGMiner~~~SHV-185~~~AIS67768.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVMIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CeoA~~~ZP_02414083~~~multidrug unknown +>ARGMiner~~~CeoA~~~ZP_02414083~~~multidrug~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRTAQGNARA ->ARGMiner~~~Ant3Ia~~~ZP_01974653~~~aminoglycoside unknown +>ARGMiner~~~Ant3Ia~~~ZP_01974653~~~aminoglycoside~~~unknown MRSRNWSRTLTERSGGNGAVAVFMACYDCFFVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTKLNIMREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKSVGK ->ARGMiner~~~MdtH~~~YP_002382998~~~multidrug unknown +>ARGMiner~~~MdtH~~~YP_002382998~~~multidrug~~~unknown MIVGKITTAQQNRNCSTLDFPGGEMACVSQARNLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLLRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSADAVIGALLGSWLLQYDFRLVCATGAILFVMCAAFNAWLLPAWKLSTVRAPVREGMSRVMGDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGTPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPVGLVGSLPHLFTLICIFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAVGYIGGGWLFDMGKALQQPELPWMMLGIIGIITFLGLGWQFSHKHTASRMLGPDARS ->ARGMiner~~~TEM-34~~~AGE11905.1~~~beta_lactam unknown +>ARGMiner~~~TEM-34~~~AGE11905.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMVSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~smeD~~~CAC14594.1~~~multidrug unknown +>ARGMiner~~~smeD~~~CAC14594.1~~~multidrug~~~unknown MLLSRIRPFALSLAIAATVAACGGQPQAPEQGPGDVTVVTLKSETVGLTRELPGRTNAFLVAEVRPQVNGIVAKRLFTEGGMVKAGEPLYQLDDASYRAQANNARAQLARAEATANAARLSAKRITELAKVDAVSQQDLENAVAAQKQAEADVGAAKASLDAANVTLGYARITAPISGRIGKSSVTQGALVSAGQANALATVQQLDPIYVDLTQSSAELLQLRRELAAGRLQDNQTLPVSILMEDGSTFEHKGTLEFSEVSVDPTTGSFGLRVKVDNPDGLLMPGMYVRAVIGGGVRSDAVLVPMQGIARDPKGDTTAMVVGKDNKVEVRPVKVSRTVGDKWLVEDGLKAGDKVIVEGLQKIGPGMPVKATEKGDAPAKPAAAAQPAAPAGDAK ->ARGMiner~~~ACT-12~~~AFU25650.1~~~beta_lactam unknown +>ARGMiner~~~ACT-12~~~AFU25650.1~~~beta_lactam~~~unknown MMKKSLCCALLLSTSCAALAAPLSETQLAKVVERTVTPLMKAQSIPGMAVAVIYQGQPHYFTFGKADVAANTPVTAQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGVRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQSWQPQWAPGTTRLYANASIGLFGALAVKPSGMRFEQAMTERVLKPLNLNHTWINVPKAEEQHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVKDMASWVVANMAPDGVQDASLKQGMVLAQSRYWRTGSMYQGLGWEMLNWPVEAKTVVEGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKELGIVMLANKSYPNPARVEAAYRILSALQ ->ARGMiner~~~VIM-33~~~AFP99175.1~~~beta_lactam unknown +>ARGMiner~~~VIM-33~~~AFP99175.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLFGGCAVHELSSTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~VIM-8~~~AAS13759.1~~~beta_lactam unknown +>ARGMiner~~~VIM-8~~~AAS13759.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSARRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~TEM-195~~~AFC75525.1~~~beta_lactam unknown +>ARGMiner~~~TEM-195~~~AFC75525.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSRNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDCWEPELNEAIPHDERDTTMPAAVATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-355~~~AGW83453.1~~~beta_lactam unknown +>ARGMiner~~~OXA-355~~~AGW83453.1~~~beta_lactam~~~unknown MKFKMKGLFCVILSSLAFSGCVYDSKLQRPVISERETEIPLLFNQAQTQAVFVTYDGIHLKSYGNDLSRAKTEYIPASTFKMLNALIGLQNAKATNTEVFHWNGEKRAFSAWEKDMTLAEAMQASAVPVYQELARRIGLELMREEVKRVGFGNAEIGQQVDNFWLVGPLKISPEQEVQFAYQLAMKQLPFDRNVQQQVKDMLYIERRGDSKLYAKSGWGMDVEPQVGWYTGWVEQPNGKVTAFALNMNMQAGDDPAERKQLTLSILDKLGLFFYLR ->ARGMiner~~~IMI-2~~~ABA00479.1~~~beta_lactam unknown +>ARGMiner~~~IMI-2~~~ABA00479.1~~~beta_lactam~~~unknown MSLNVKPSRIAILFSSCLVSISFFSQANTKGIDEIKNLETDFNGRIGVYALDTGSGKSFSYKANERFPLCSSFKGFLAAAVLKGSQDNQLNLNQIVNYNTRSLEFYSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDKDFRLDRWELDLNTAIPGDERDTSTPAAVAKSLKTLALGNILNEREKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKNEKEAKHEDKVIAEASRIAIDNLK ->ARGMiner~~~IND-15~~~BAJ14288.1~~~beta_lactam unknown +>ARGMiner~~~IND-15~~~BAJ14288.1~~~beta_lactam~~~unknown MKKSIQLLMMSMFLSPLINAQVKDFVIEPPVKPNLYLYKSFGVFGGKEYSANAVYLTTKKGVVLFDVPWQKEQYQTLMDTIQKRHHLPVIAVFATHSHDDRAGDLSFYNQKGIKTYATAKTNELLKKDGKATSTEIIKTGKPYKIGGEEFMVDFLGEGHTVDNVVVRFPKYKVLDGGCLVKSRTATDLGYTGEANVKQWPETMRKLKMKYAQATLVIPGHDEWKGGGHVQHTLDLLDKNKKPE ->ARGMiner~~~CTX-M-89~~~ACR56321.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-89~~~ACR56321.1~~~beta_lactam~~~unknown MMRKSVRRAMLMTTACVSLLLASVPLCAQANDVQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAVAAVLKQSETQKGLLSQRVEIKPSDLINYNPIAEKHVNGTMTFGELSAAALQYSDNTAMNKLIAHLGGPDKVTAFARTIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQALRNLTLGNALGDTQRAQLVMWLKGNTTGAASIQAGLPTSWVVGDKTGSGDYGTTNDIAVIWPEGRAPLVLVTYFTQSEPKAESRRDVLAAAARIVTDGY ->ARGMiner~~~OXA-226~~~ACM67635.1~~~beta_lactam unknown +>ARGMiner~~~OXA-226~~~ACM67635.1~~~beta_lactam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNADPSTSNGDYRIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~tsnr~~~P18644~~~thiostrepton unknown +>ARGMiner~~~tsnr~~~P18644~~~thiostrepton~~~unknown MTELDTIANPSDPAVQRIIDVTKPSRSNIKTTLIEDVEPLMHSIAAGVEFIEVYGSDSSPFPSELLDLCGRQNIPVRLIDSSIVNQLFKGERKAKTFGIARVPRPARFGDIASRRGDVVVLDGVKIVGNIGAIVRTSLALGASGIILVDSDITSIADRRLQRASRGYVFSLPVVLSGREEAIAFIRDSGMQLMTLKADGDISVKELGDNPDRLALLFGSEKGGPSDLFEEASSASVSIPMMSQTESLNVSVSLGIALHERIDRNLAANR ->ARGMiner~~~OXA-64~~~AAW81336.1~~~beta_lactam unknown +>ARGMiner~~~OXA-64~~~AAW81336.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKGEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~dfrA1~~~CAG27847~~~trimethoprim unknown +>ARGMiner~~~dfrA1~~~CAG27847~~~trimethoprim~~~unknown MVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNLGQFYQDFASNINYSYKSGKGLQVAATDRNLSRLCTKAAPGCDPLCSVRLHEIVHYEANAPWIGRMNAQTQSVGRKLLPGNRAA ->ARGMiner~~~dfrA1~~~CAC19929.1~~~trimethoprim unknown +>ARGMiner~~~dfrA1~~~CAC19929.1~~~trimethoprim~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVLIFPSIKDALPNRKYAVVTRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~evgA~~~NP_311275.1~~~multidrug unknown +>ARGMiner~~~evgA~~~NP_311275.1~~~multidrug~~~unknown MNAIIIDDHPLAIAAIRNLLIKNDIEILAELTEGGSAVQRVETLKPDIVIIDVDIPGVNGIQVLETLRKRQYSGIIIIVSAKNDHFYGKHCADAGANGFVSKKEGMNNIIAAIEAAKNGYCYFPFSLNRFVGSLTSDQQKLDSLSKQEISVMRYILDGKDNNDIAEKMFISNKTVSTYKSRLMEKLECKSLMDLYTFAQRNKIG ->ARGMiner~~~MsrA~~~YP_254220~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MsrA~~~YP_254220~~~macrolide-lincosamide-streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETVGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSIHDIT ->ARGMiner~~~OpmH~~~NP_253661.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~NP_253661.1~~~triclosan~~~unknown MLRRLSLAAAVAAATGVAWAAQPTPLPTKTDLISVYKEAVDNNADLAAAQADYLARKEVVPQARAGLLPQLGAGARVGDTRIAFDERPATVKRNSQVVQATLSQPLFRADRWFQWQAAKETSDQARLEFSATQQDLILRSAETYFTVLRAQDNLATSKAEEAAFKRQLDQANERFDVGLSDKTDVLEAQASYDTARANRLIAEQRVDDAFQALVTLTNRDYSAIEGMRHTLPVVPPAPNDAKAWVDTAVQQNLRLLASNYAVNAAEETLRQRKAGHLPTLDAVAQYQKGDNDALGFANSAANPLVHYGKYVDERSIGLELNIPIYSGGLTSSQVRESYQRLNQSEQSREGQRRQVVQDTRNLHRAVNTDVEQVQARRQAIISNQSSLEATEIGYQVGTRNIVDVLNAQRQLYAAVRDYNNSRYDYILDTLRLKQAAGTLSPADLEALSAYLKQDYDPDKDFLPPDLAKAAAEQLQSKPRQQY ->ARGMiner~~~TEM-93~~~CAC85660.1~~~beta_lactam unknown +>ARGMiner~~~TEM-93~~~CAC85660.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~rmtA~~~BAC20579.1~~~aminoglycoside unknown +>ARGMiner~~~rmtA~~~BAC20579.1~~~aminoglycoside~~~unknown MSFDDALASILSSKKYRSLCPDTVRRILDQEWGRHKSPKLAVEATRTRLHGICGAYVTPESLKAAAAALSVGDVQKALSLHASTKERLAELDCLYDFIFSGGVPHRVLDIACGLNPLALFIRDITSVWACDIHQGLGDVITPFAHHQGLDFTFALQDVMCTPPTETGDLALVFKLLPLLEREQAGAAMALLQALATPRIAVSFPTRSLGGRGKGMEANYSAWFEGALPDEFEIEDTKTIGIELVYMIKRNK ->ARGMiner~~~BcII~~~ZP_04187115~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04187115~~~beta_lactam~~~unknown MEKMKNNTLLKLGVCVSLLGTTQFVSTISSVQAEQKLEKKVIKNESGTISISQLNKHVWVHTELGYFNGEAVPSNGLVLTTSKGLVLVDSSWDDKLTKELIEMVEKKFKKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKSGYEEPLGDLQSITNLKFGNMKVETFYPGKGHTEDNIVVWLPQYNILAGGCLVKSASAKDLGNVADAYVNEWSTSIENVLKRYGNINSVVPGHGGVGERDLLLHTLDLLK ->ARGMiner~~~MdtH~~~YP_002114165~~~multidrug unknown +>ARGMiner~~~MdtH~~~YP_002114165~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~OXA-54~~~AAR89917.1~~~beta_lactam unknown +>ARGMiner~~~OXA-54~~~AAR89917.1~~~beta_lactam~~~unknown MRVLALSAVLVVASIVGMPAMANEWQEKPSWNTHFSEHKAQGVIVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIAAWNRDHDLITAMKYSVVPVYQEFARQIGQARMSKMLHAFDYGNEDISGNLDSFWLDGGIRISATEQVAFLRKLYHNKLHVSERSQRIVKQAMLTEANSDYIIRAKTGYSTRIEPQIGWWVGWVELDDNVWFFAMNMDMPTADGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~EmrD~~~ZP_02901431~~~multidrug unknown +>ARGMiner~~~EmrD~~~ZP_02901431~~~multidrug~~~unknown MIMKRHRNVNLLLMLVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLIAVTTSSLTVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLVLCAGVTFSMARWMPETRPADAPRTRLLTSYKTLFGNGGFNCYLLMLIGGLAGIAAFEACSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLMMWIPGWFGIMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGLLIVLCWLPLATRISHQGQAV ->ARGMiner~~~LRA-1~~~ACH58980.1~~~beta_lactam unknown +>ARGMiner~~~LRA-1~~~ACH58980.1~~~beta_lactam~~~unknown MNPPIHRRTLLLAASVLPLASACTAWSAKGPQQDASAQLAALEAASGSRLGVVGFNTATGARVQHRAEERFPFCSTFKLMLAAAVLERSAKEGDLLARRVNYSKGDLVSYSPITEKNVATGMTVAELCAATVQYSDNGAANLLMKILGGPSAVTAFARASGDEVFRLDRWETELNTAIPGDLRDTTTPAAMAASVQRLVLGNALGAAQREQLKTWLLGNTTSTQRFLAGVPAGWKVGDKTGSGSYGTTNDVGVLWPPAGAPLVLAVYLTFPQKEAKGRSDVVASATRIAVSALAS ->ARGMiner~~~YkkD~~~ABS73654~~~multidrug unknown +>ARGMiner~~~YkkD~~~ABS73654~~~multidrug~~~unknown MHWISLIAAGLCEMLGVILMNQFQKEKKVKWILFIIAGFAASFSLLSYAMETIAMGTAYAIWTGIGTAGGALAGILFYGEQKDAKRIFFIALILCAAVGLKILS ->ARGMiner~~~QnrB12~~~CAO82104.1~~~quinolone unknown +>ARGMiner~~~QnrB12~~~CAO82104.1~~~quinolone~~~unknown MMTLALVGEKIDRNRFTGAKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAILKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGSDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSSFDWRAANFTHCDLTNSELGDLDVRGVDLQGVKLDSYQASLILERLGIAVIG ->ARGMiner~~~OprA~~~YP_002896634~~~multidrug unknown +>ARGMiner~~~OprA~~~YP_002896634~~~multidrug~~~unknown MKAMIKPRARRRGARAARRPNGPRAWPLAVAAALVAGCTLAPRYERPAAPVPAHYWSTAAGAPREAGPAAAGGPRAMPDARRGDAGRDARDARLDDWRAYFTDPALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAVRISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFSGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGADAERLRLAQRRYDSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDECA ->ARGMiner~~~OXA-112~~~ABV31692.1~~~beta_lactam unknown +>ARGMiner~~~OXA-112~~~ABV31692.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGEKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFPLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~oprN~~~YP_001348103~~~multidrug unknown +>ARGMiner~~~oprN~~~YP_001348103~~~multidrug~~~unknown MIHAQSIRSGLAPTLGLFSLLALSACTVGPDYRTPDTAAAKIDATASEPYDRSRFESLWWKQFDDPTLNQLVEQSLTGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDTAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAQAERSRHRIATLLGQRPEELTVDLSPRDLPAISKALPIGDPGELLRRRPDIRAAERRLASSTAEVGVATADLFPRVSLSGFLGFTAGRGSQIGSNAARAWSVGPSISWAAFDLGSVRARLRGARADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~OXA-74~~~CAH69530.1~~~beta_lactam unknown +>ARGMiner~~~OXA-74~~~CAH69530.1~~~beta_lactam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPVSTFKIPSAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~SHV-148~~~AFQ23954.1~~~beta_lactam unknown +>ARGMiner~~~SHV-148~~~AFQ23954.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQQ ->ARGMiner~~~mdtG~~~B7LT82~~~multidrug unknown +>ARGMiner~~~mdtG~~~B7LT82~~~multidrug~~~unknown MSPSESDDAINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEELGVTGHSALNLWSGIVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMGIVMILMGMAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLMLCFVVTLLCIKEKFQPVSKKEMLHVREVVFSLKNPRLVLSLFVTTMIIQIASGSIAPILTLYVRELAGNVGNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPDKILIAALIFSVLLLIPMSFVQTPWQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTACVVLFNIIYSWNSLRRRREPQVLG ->ARGMiner~~~QnrB69~~~AGL43630.1~~~quinolone unknown +>ARGMiner~~~QnrB69~~~AGL43630.1~~~quinolone~~~unknown MTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAILKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGSDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSSFDWRAANFTHCDLTNSELGDLDVRGVDLQGVKLDSYQASLILERLGIAVIG ->ARGMiner~~~lsaC~~~AEA37904.1~~~multidrug unknown +>ARGMiner~~~lsaC~~~AEA37904.1~~~multidrug~~~unknown MSTIKIENLTFSYYGYVKPVFENVSFSFDTNWKTGLIGRNGIGKSTLFKLLLNQEVYKGKISKSVDFIKFPPNLSDTSKLGIELYRELISDEEEWKLFRELHLLKVDESLIYRKFETLSKGEQTKILLAILFTREDGFLLIDEPTNHLDMDGRKIVSEYLKNKKGFLLISHDRDFLDGCINHIISINRNSIDVQSGNFTSWYENKLMKDQFEISQNEKLRKDIKRLKEAARQSQIWSDKVENTKNGVKVSGVKPDKGHIGHQSAKMMKKSKNLENRQNKAIEEKQNLLKDIETKESLLLHPLHHHKNPLISVCDLSSYYGKKQILSNISFDIKQGDIVAIYGGNGSGKSTLIKILLGLNHEYSGDVKLASNLKISYVPQDTSNLTGSLNEYIHKQGVDETLCKTILRKLDFARELFEIDMKNYSDGQKKKVLIAVSLSKSAHIFIWDEPLNYLDVISRIQIEEIIKEANPTLIFVEHDKSFVEDIANKIIRL ->ARGMiner~~~IMP-24~~~ABM68358.1~~~beta_lactam unknown +>ARGMiner~~~IMP-24~~~ABM68358.1~~~beta_lactam~~~unknown MKKLFVLCVCFLCSITAAGAALPDLKIEKLEEGVYVHTSFEEVNGWGVVSKHGLVVLVNTDAYLIDTPFTATDTEKLVNWFVERGYKIKGTISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFSGVSYWLVKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGNLGDANLEAWPKSAKILMSKYGKAKLVVSSHSEIGDASLLKRTWEQAVKGLNESRKPSQPSN ->ARGMiner~~~mdtF~~~YP_002388977~~~multidrug unknown +>ARGMiner~~~mdtF~~~YP_002388977~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPASFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~CTX-M-5~~~AAC32890.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-5~~~AAC32890.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQTNSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWGVGDKTGSGDYGTTNDIAVIWPANHAPLVLVTYFTQPEQKAESRRDVLAAAAKIVTHGF ->ARGMiner~~~MacB~~~ZP_02685546~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~ZP_02685546~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLAGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~IND-9~~~ACZ65153.1~~~beta_lactam unknown +>ARGMiner~~~IND-9~~~ACZ65153.1~~~beta_lactam~~~unknown MKKSIQFFIVSLLLSPFANAQVKDFVIEPPISKNLYIYKTFGVFGGKEYSANAVYLVTKKGVVLFDVPWEKVQYQSLMDTIKKRHNLPVVAVFATHSHDDRAGDLSFFNKKGIKTYATAKTNELLKKEGKAVSSNIINTGKAYHIGGEEFVVDFIGEGHTVDNVVVWFPKYKVLDGGCLVKSTSATDLGYIKEANVEQWPQTMNTLKSKYSQATLIIPGHDEWKGGGHVEHTLELLNKK ->ARGMiner~~~carA~~~AAC32027.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~carA~~~AAC32027.1~~~macrolide-lincosamide-streptogramin~~~unknown MSTAQLALHDITKRYQDHVVLDRIGFTIKPGEKVGVIGDNGSGKSTLIKLIAGREQPDNGAVTVVAPGGVGYLAQTLELPLEATVQDAVDLALADLRELEEGMRRTEAELAERPYQTGQDPELAGLLESYAALVDRYQARGGYEADSRVEIALHGLGLPGLERGRRLGTLSGGERSRLALAATLASEPELLLLDEPTNDLDDRAVDWLEEHLRKHKGTVVAVTHDRLFLDRLTTTILEIDSGKVMRYGNGYEGYLAAKAAERQRRLLEYEQWRAELDRSRDLIASNVARLDAIPRKLPFAVFGAGQFRMRGRGHGAMVRIRNAKERVARLTENPVAPPPEPLTFTAEITTEAAQSRETVAELTGVRVGDRLSVDSLHLGPGERLLVTGPNGAGKTTLLRVLSGELEPDSGSLLVSGRVGHLRQEQTPWRPGMTVLQAFSSGRAGDIDEHTEALLSLGLFSPDDLRQRVQDLSYGQRRRIELARLVTEPVDLLLLDEPTNHLSPALVEELEEALTGYQGTVVVVTHDRRMRSRFNGAHLTLQDGRVAEFTAA ->ARGMiner~~~MacB~~~YP_002040143~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_002040143~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQIWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~CTX-M-62~~~ABP04245.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-62~~~ABP04245.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTESTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~VIM-35~~~AGC50805.1~~~beta_lactam unknown +>ARGMiner~~~VIM-35~~~AGC50805.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSSTSAGNVTDADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~OXA-12~~~AAA83417.1~~~beta_lactam unknown +>ARGMiner~~~OXA-12~~~AAA83417.1~~~beta_lactam~~~unknown MSRLLLSGLLATGLLCAVPASAASGCFLYADGNGQTLSSEGDCSSQLPPASTFKIPLALMGYDSGFLVNEEHPALPYKPSYDGWLPAWRETTTPRRWETYSVVWFSQQITEWLGMERFQQYVDRFDYGNRDLSGNPGKHDGLTQAWLSSSLAISPEEQARFLGKMVSGKLPVSAQTLQYTANILKVSEVEGWQIHGKTGMGYPKKLDGSLNRDQQIGWFVGWASKPGKQLIFVHTVVQKPGKQFASIKAKEEVLAALPAQLKKL ->ARGMiner~~~SHV-92~~~ABH04327.1~~~beta_lactam unknown +>ARGMiner~~~SHV-92~~~ABH04327.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMISTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLAIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-169~~~ADK35873.1~~~beta_lactam unknown +>ARGMiner~~~OXA-169~~~ADK35873.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDERNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~CeoA~~~ZP_02371790~~~multidrug unknown +>ARGMiner~~~CeoA~~~ZP_02371790~~~multidrug~~~unknown MRTSRSRIAAATFAVVVIAGLGAFGAIRVNASAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAQAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLRYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGSLVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIAGGLAAGDRIVVNGTQRVRPGEQVKPHLVPMTGGDDAAATPVAGGVQRPQGAPGNARA ->ARGMiner~~~BacA~~~YP_185622~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_185622~~~bacitracin~~~unknown MFIIELIKGIILGVVEGLTEFAPVSSTGHMILVDDMWLKSSEFLGSQSAFTFKIVIQLGSVFAAAWVFRERFLEILHIGKHKHVEGDNDQQRRSKPRRLNLLHVLVGMVPAGILGLLFDDFIEEHLFSVPTVMIGLFVGAIYMIIADKYSAKVKNPQTVDQISYFQAFVIGISQAVAMWPGFSRSGSTISTGVLMKLNHKAASDFTFIMAVPIMLAASGLSLLKHYQDIQIADIPFYILGFLAAFTVGLIAIKTFLHQINKIKLIPFAIYRIVLVIFIAILYFGFGIGKGI ->ARGMiner~~~LmrA~~~CAA42550~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~LmrA~~~CAA42550~~~macrolide-lincosamide-streptogramin~~~unknown MSVFARATSLFSRAARTRAADEAARSRSRWVTLVFLAVLQLLIAVDVTVVNIALPAIRDSFHVDTRQLTWVVTGYTVVGGGLLMVGGRIADLFGRRRTLLFGAFLFGASSLAAGLAPNLELLVLARFGQGAGEALSLPAAMSLIACSSRTAPFQGVERLASVASVGLVLGFLLSGVITQLFSWRWIFLINIPLVSLVLVAVLLLVKKDETTARNPVDLPGALLFTAAPLLLIFGVNELGEDEPRLPLAVGSLLAAAVCAAAFVAVERRTAHPLVPLTFFGNRVRLVANGATVLLSAALSTSFFLLTMHLQEERDLSPIEAGLSFLPLGLSLILACVLVRGLIERIGTTGAAVLGMALAGPRHRLFALLPSDNSLLTSVFPGMILLLRMATGLVALQNAALHAVTEADAGVASGVQRCADQLGGASGIAVYVSIGFSPHLGGDWDPFTVAYSLAGIGLIAAVLAVLALSPDRRLAAPREQED ->ARGMiner~~~EmrD~~~YP_002043045~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_002043045~~~multidrug~~~unknown MKRQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMAQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLFAMTTHSLTVLIAASAMQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTLWNWRACYAFLLVLCAGVTFSMARWMPETRPAGAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMLPQTGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~APH(3')-Ia~~~YP_001844878~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~YP_001844878~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNLDADLYGYRWARDNVGQSGATLAVLISAAIISSAQASEQSEAKGFVEDANGSILFRTGYLTRDKKQGAKDTSSVAQSAIVSIESGFTPGIVGFGVGVVGDGSFKIGENKNAGNQMIPKHNDGSAYDHWARGGGSVKARFSNTTVRYGTQVLDLPVLASNTGRMVPEYFTGTLLTSHEIKNLEVVAGKFTKDQMSDQINTDADASGRGLDRAIVWGAKYKFNDNLNASYYGLDSKNALERHYANVNFKQPLANDSSLTYDFSGYHTKFDANAHTYSATGTVAPNYAADGIAGEEKTNNIWAISGTYATGPHSVMLAYQQNTGNVGYDYGQNADGFQSIYLPNSYMSDFIGNHEKSAQIQYNVDFGKLGVLPGLNWTTAFVYGWDIKVRNVTDDAQEREFFNQVKYTVQSGFAKDASLRIRNSYYRASDAYQGAYIGDTNEWRIFLDIPVKLF ->ARGMiner~~~BcI~~~ZP_04114929~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04114929~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIAMNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIVILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~aadA22~~~CAK12750.1~~~aminoglycoside unknown +>ARGMiner~~~aadA22~~~CAK12750.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVIGK ->ARGMiner~~~BacA~~~ZP_03958019~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03958019~~~bacitracin~~~unknown MAHAFQTLVSHIFIDYNKEVNQSRYIQKGFLIIMIIELIKAFLFGIVEGITEWLPISSTGHMILLDQFVKLDVSKSFYSMFEVVIQVGAIMAVVVIYWNKIWPFHKSKQTGPLAPTGIWRYVDKDIIIMWIKIVIACLPAGIIGVAFNDTFEKLFYNPVSVAIALIVFGIAFIWIETVHKNRRAKINSLAELSYYTVILIGFFQLIAAVFPGTSRSGATIVGALILGVSRTVAAEFTFFLAIPVMFGASLFKLLKFGFVFSSAELLILIVGLITAFVSSIIIIKFLMQYIKKHDFKVFGWYRIVLGLLVLLYFLVIK ->ARGMiner~~~GES-24~~~BAP75641.1~~~beta_lactam unknown +>ARGMiner~~~GES-24~~~BAP75641.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRTAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~acrA~~~YP_001175678~~~multidrug unknown +>ARGMiner~~~acrA~~~YP_001175678~~~multidrug~~~unknown MNKNRGLTPLAIVLMLSGGLALTGCDEKQAQQGAQQAPEVGVVTLKTEPLQITTELPGRTSAYRVAEVRPQVNGIILKRNFTEGGDVKAGESLYQIDPATYQAAYESAKGDLAKAEAAARISQVTLNRYKKLLGTQYISQQDYDTAQADSQQANAAVVAAKATVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLQKELASGALKQENGKAKVQLVTNDGNKFAQEGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGINPTALLVPQQGVTRTPRGDASALVVGADDKVEMRQITATQAIGDKWLVTQGLKDGDRVIITGLQKVRPGAQVKAQEVKSDEKQQAAAGGESEQTKS ->ARGMiner~~~TEM-168~~~ACR22829.1~~~beta_lactam unknown +>ARGMiner~~~TEM-168~~~ACR22829.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mexB~~~ZP_01363330~~~multidrug unknown +>ARGMiner~~~mexB~~~ZP_01363330~~~multidrug~~~unknown MIALVIMLAGGLSILSLPVNQYPAIAPPAIAVQVSYPGASAETVQDTVVQVIEQQMNGIDNLRYISSESNSDGSMTITVTFEQGTDPDIAQVQVQNKLQLATPLLPQEVQRQGIRVTKAVKNFLMVVGVVSTDGSMTKEDLSNYIVSNIQDPLSRTKGVGDFQVFGSQYSMRIWLDPAKLNSYQLTPGDVSSAIQAQNVQISSGQLGGLPAVKGQQLNATIIGKTRLQTAEQFENILLKVNPDGSQVRLKDVADVGLGGQDYSINAQFNGSPASGIAIKLATGANALDTAKAIRQTIANLEPFMPQGMKVVYPYDTTPVVSASIHEVVKTLGEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPREAARKSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVIVALILTPALCATMLKPIEKGDHGEHKGGFFGWFNRMFLSTTHGYERGVASILKHRAPYLLIYVVIVAGMIWMFTRIPTAFLPDEDQGVLFAQVQTPPGSSAERTQVVVDSMREYLLEKESSSVSSVFTVTGFNFAGRGQSSGMAFIMLKPWEERPGGENSVFELAKRAQMHFFSFKDAMVFAFAPPSVLELGNATGFDLFLQDQAGVGHEVLLQARNKFLMLAAQNPALQRVRPNGMSDEPQYKLEIDDEKASALGVSLADINSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPDARMNPDDLSKWYVRNDKGEMVPFNAFATGKWEYGSPKLERYNGVPAMEILGEPAPGLSSGDAMAAVEEIVKQLPKGVGYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSMRGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKELHEQGKGIVEAAIEACRMRLRPIVMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMVTATVLAIFWVPLFYVAVSTLFKDEASKQQASVEKGQ ->ARGMiner~~~LEN-1~~~CAA28198.1~~~beta_lactam unknown +>ARGMiner~~~LEN-1~~~CAA28198.1~~~beta_lactam~~~unknown MRYVRLCVISLLATLPLVVYAGPQPLEQIKQSESQLSGRVGMVEMDLANGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGQR ->ARGMiner~~~TEM-155~~~ABG77582.1~~~beta_lactam unknown +>ARGMiner~~~TEM-155~~~ABG77582.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BacA~~~YP_254125~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_254125~~~bacitracin~~~unknown MIIIEFIKGLILGIVEGLTEFAPVSSTGHMILVDDMWLKSTEFLGPHSAFTFKVVIQLGSVFAAAWVFRERYFEMLHIGKYRNSSINEEFRSKPRRLNLLHVLVGMIPAGILGVLFDDFIEAHLFSVPTVMIGLFLGAIYMIIADKYSKKVQNPKSVDQINYVQAFVIGISQAVAMWPGFSRSGSTISTGVLMKLDHKSASDFTFIMAVPIMLAASALSLVKNYQYIELAHIPFYLIGFLAAFIVGLIAIKTFLHLINKVKLVPFAIYRIVLVIIIAILYFGFGIGQGISG ->ARGMiner~~~tetO~~~ZP_03223548~~~tetracycline unknown +>ARGMiner~~~tetO~~~ZP_03223548~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMYVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDCDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTCFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~OXA-330~~~AGW16412.1~~~beta_lactam unknown +>ARGMiner~~~OXA-330~~~AGW16412.1~~~beta_lactam~~~unknown MYKKALIVATSILFLSACSSNLVKQHQIHSISANKSSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTAYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDNFWLVGPLKITPQQETQFAYQLAHKTLPFSKNVQEQVQSMVFIEKKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTSSSIRKEIAYKGLEQLGIL ->ARGMiner~~~Bcr~~~ZP_03027239~~~multidrug unknown +>ARGMiner~~~Bcr~~~ZP_03027239~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAATVACALANTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILALAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR ->ARGMiner~~~TolC~~~YP_001455919~~~multidrug unknown +>ARGMiner~~~TolC~~~YP_001455919~~~multidrug~~~unknown MQMKKLLPILIGLSLTGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTFQTDQQTLILNTASAYFKVLNAIDVLSYTQAQKEAVYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVTNFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTHNSTQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDANEAGYSVGTRTIVDVLDATTALYEAKQQLANARYNYLINQLNIKNALGTLNEQDLVALNNALGKPISTSPDNVAPETPQQDAAADGYNASTVQPASARSTSSNGNNPFRN ->ARGMiner~~~MIR-4~~~ABN69112.2~~~beta_lactam unknown +>ARGMiner~~~MIR-4~~~ABN69112.2~~~beta_lactam~~~unknown MMTKSLSCALLLSVASAAFAAPMFEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWLIANMKPDSLHAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~bacA~~~ZP_03838614~~~bacitracin unknown +>ARGMiner~~~bacA~~~ZP_03838614~~~bacitracin~~~unknown MSDMHSLLVAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGKAPHEGTGKGRLTLGHILLGMIPAVVLGLIFHDTIKSLFNPINVMYALVVGGVLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATALDLYKSWSFLTASDIPMFAVGFVTAFVVALVAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~arnA~~~ZP_03065589~~~polymyxin unknown +>ARGMiner~~~arnA~~~ZP_03065589~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQMLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTECLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPQLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~cat~~~AAB23649.1~~~chloramphenicol unknown +>ARGMiner~~~cat~~~AAB23649.1~~~chloramphenicol~~~unknown MEFRLVDLKTWKRKEYFTHYFESVPCTYSMTVKLDITTIKTGKAKLYPALLYAVSTVVNRHEEFRMTVDDEGQIGIFSEMMPCYTIFQKDTEMFSNIWTEYIGDYTEFCKQYEKDMQQYGENKGMMAKPNPPVNTFPVSMIPWTTFEGFNLNLQKGYGYLLPIFTFGRYYEENGKYWIPLSIQVHHAVCDGFHTCRFINELQDVIQSLQNHGGDEE ->ARGMiner~~~CTX-M-111~~~AEM44649.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-111~~~AEM44649.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGQGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~BacA~~~NP_745006~~~bacitracin unknown +>ARGMiner~~~BacA~~~NP_745006~~~bacitracin~~~unknown MMDFWTAFQAIILGVVEGLTEFLPISSTGHQIIVADLIGFGGERAMAFNIIIQLAAILAVVWEFRSKIFEVVFGLTNQPKARRFTGNLLLAFMPAVVLGVLFADLIHEYLFNPVTVAAALVVGGVIMLWAERREHRVEVDHVDDMRWSHALKIGFIQCLAMIPGTSRSGSTIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRDLFQPGDLPVFALGFVTSFIFAMIAVRALLKFIANHSYAAFAWYRIVFGLFILATWQFGWVDWSTAHG ->ARGMiner~~~OXA-148~~~ACX31140.1~~~beta_lactam unknown +>ARGMiner~~~OXA-148~~~ACX31140.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKASTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVKSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~MIR-14~~~AIT76117.1~~~beta_lactam unknown +>ARGMiner~~~MIR-14~~~AIT76117.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVASSAFAAPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIHMLELATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRIFKPLNLNHTWINVPKTEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMVNMKPDSLQDSSLRKGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPLVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~FosB~~~A9VRT9~~~fosfomycin unknown +>ARGMiner~~~FosB~~~A9VRT9~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENSITFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRKEIHQSYTHLAFSVEQKDFERLLHRLEENNVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~TEM-80~~~AAM15527.1~~~beta_lactam unknown +>ARGMiner~~~TEM-80~~~AAM15527.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAVTMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERDRQIAEIGASLIKHW ->ARGMiner~~~CTX-M-105~~~ADY02554.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-105~~~ADY02554.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAVAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQREQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~IMP-20~~~BAD81061.1~~~beta_lactam unknown +>ARGMiner~~~IMP-20~~~BAD81061.1~~~beta_lactam~~~unknown MKKLFVLCVCFLCSITAAGAALPDLKIEKLEEGVYVHTSFEEVNGWGVFSKHGLVVLVNTDAYLIDTPFTATDTEKLVNWFVERGYKIKGTISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFSGVSYWLVKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGNLGDANLEAWPKSAKILMSKYVKAKLVVSSHSEIGDASLLKRTWEQAVKGLNESKKPSQPSN ->ARGMiner~~~cphA4~~~AAP69912.1~~~beta_lactam unknown +>ARGMiner~~~cphA4~~~AAP69912.1~~~beta_lactam~~~unknown MMKGWMKCTLAGAVVLMASFWGGSVRAAGISLKQVSGPVYVVEDNYYVKENSVVYFGAKGVTVVGATWTPDTARELHKLIKRVSSKPVLEVINTNYHTDRVGGNAYWKSIGAKVVATRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFTLQEGKVRAFYAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFANVKAYPQTIERLKAMKLPIKTVIGGHDSPLHGPELIDHYEELIKAAAAV ->ARGMiner~~~SHV-182~~~AJO16042.1~~~beta_lactam unknown +>ARGMiner~~~SHV-182~~~AJO16042.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYTPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~Bcr~~~YP_001569731~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_001569731~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISEQFGVPAGSAQLTLSTYILGFALGQLIYGPMADSLGRKPVILGGTLVFAAAAVACALAQTNDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIIGGWVLVWLSWHYIFWILAIAAILASVMIFALIKETLPVERRQSFHIRTIIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVPPQDFGYYFALNIIFLFVMTFINSRFVRRVGAQNMFRAGLWIQFAMAAWMVFSALMGIGFWALVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFTSAWPMIWSIALCATCSILFYLHASRPKKR ->ARGMiner~~~acrB~~~YP_002385941~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_002385941~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGVENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~OXA-76~~~AAX51234.1~~~beta_lactam unknown +>ARGMiner~~~OXA-76~~~AAX51234.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEKLGIL ->ARGMiner~~~ACT-10~~~AEV91214.1~~~beta_lactam unknown +>ARGMiner~~~ACT-10~~~AEV91214.1~~~beta_lactam~~~unknown MMKKSVCCALLLSTSCSVLAAPMSEKQLAEVVERTVTPLMKAQAIPGIAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWKGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKTEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVKDMASWVMVNMKPDSLEDSSLRKGFTLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~TEM-53~~~AAD22538.1~~~beta_lactam unknown +>ARGMiner~~~TEM-53~~~AAD22538.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BacA~~~ZP_04677702~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04677702~~~bacitracin~~~unknown MLLLELIKGLILGIVEGLTEFAPVSSTGHMILVDDMWLKSTKFLGEQSAFTFKVVIQLGSVFAAAWVFRERFFELLHIGKHKPEQHATAGRRSKPQRLNLLHVLVGMIPAGILGFLFDDVIEKYLFSVPTVMIGLFLGAIYMIIADKYSEKVTNPQTVDQINYFQAFVIGISQAIAMWPGFSRSGSTISTGVLMKMNHKAASDFTFIMSVPIMLAASGLSLLKHYEYIHLAHIPFYLLGFLAAFIVGLIAIKTFLHLINKVKLVPFAIYRIILVVIIAILYFGFGIGKGI ->ARGMiner~~~CMY-56~~~ADT91162.1~~~beta_lactam unknown +>ARGMiner~~~CMY-56~~~ADT91162.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKTDSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CTX-M-14~~~AAT45026~~~beta_lactam unknown +>ARGMiner~~~CTX-M-14~~~AAT45026~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQRQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~MdtK~~~YP_001570595~~~multidrug unknown +>ARGMiner~~~MdtK~~~YP_001570595~~~multidrug~~~unknown MQKYTSEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLASFVSVLVMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNEKSFGKPDSAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVNVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLSVGVCMAVVTAIFTVTLRKHIALLYNDNPEVVSLAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSSIILQRAAR ->ARGMiner~~~TEM-205~~~AGZ20205.1~~~beta_lactam unknown +>ARGMiner~~~TEM-205~~~AGZ20205.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRIDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTTPVAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~VanSC~~~AAK58493~~~glycopeptide unknown +>ARGMiner~~~VanSC~~~AAK58493~~~glycopeptide~~~unknown MLIIIPFAVRFVAGMRVWYGYEPIYQILRLFADYWLLCLAIGALLIWVSTTIYYMSKAISYLNETIQATNQLIAEPTKRIVLSSNMIDVQEEMNQLREKNLQDQRAAKEAEQRKNDLIVYLAHDLRTPLTSVIGYLTLLEEEPQLSTELRARYTKIALQKAQRLELLISEFFEITRFNLTTIALQTETTDLSLMLEQLTFEFLPLLEEKNLHWQLQLQKKVFATVDTEKMERVFDNLIRNAINYSYPNSPLRLAISESDDILIRLTNQGKTIPAEKIGLIFEPFYRMDASRATATGGTGLGLPIAKEIVEALGGKIWAESRDETIVFSIRLPKAAMEKNEQLSKPHPSEPTA ->ARGMiner~~~BcI~~~ZP_04209720~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04209720~~~beta_lactam~~~unknown MNLLLVFSIENLKGMMILKNKRMLKIGICVGILGLSVTSLEAFTRGSLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRHNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFHKIGGPKGYEKALRQIGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVLVKALR ->ARGMiner~~~MexD~~~YP_001668663~~~multidrug unknown +>ARGMiner~~~MexD~~~YP_001668663~~~multidrug~~~unknown MSKFFIKRPNFAWVVALFISLAGLLVIPTLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSIIEESLNGAKNLLYFESTNNSNGMAEVVVTFEPGTDPELAQVDVQNRLKKAEARMPQAVITQGIQVEQTSAGFLLIYALSYKEGAGQADTTALGDYAARNINNELRRVPGVGKLQFFSSEAAMRVWVDPQKLVGYGLSIDDVSNAIRGQNVQVPAGSFGSAPGSSQQELTATLAVQGTLDDPQAFGRVVLRANPDGSLVRLADVARLEVGMESYNFSSRLNGKPAVAGAVQLAPGANALKTADLVKERLAELSAFFPEGVEYSVPYDTSRFVDVAIEKVIHTLLEAMVLVFLVMFLFLQNIRYTLIPSIVVPVCLLGTLMVMKLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGLSPVDATIKAMGQVSGAIIGITLVLSAVFMPLAFMSGSVGVIYQQFSLSLAVSILFSGFLALTFTPALCATMLKPVAPGHHEKRGFFGAFNRGFARLTERYSVMNNALVRRAGRYMLLYAGILAMLGYFYLRLPESFVPVEDQGYAIVDVQLPPGASRVRTDATGQALEQFLMSREALASAFLVSGFSFSGMGENAALAFPTYKDWSVRSAEQSVDAETQAINAQFASHGDGTIMAVNPPPIDGLGNAGGFALRLLDRGGLGREALLAARDKILGEANGNPVILYAMMEGLAEAPQLRVDIDREKARALGVPFETINSTLATAFGSAVINDFTNAGRQQRVVVQAEQGERMTPESVLRLYAPNVDGQQVPFSSFVTTRWEEGPVQIVRYNGYPSIRISGDATPGYSTGQAMAEMERLVSELPPGIGYAWTGLSYQEKVSSGQASSLFALAILVVFLLLVALYESWAIPLTVMLIVPIGALGAVLAVMVTGMPNDVYFKVGLITIIGLAAKNAILIVEFAKELWEKGYSLRDAAIEAARLRFRPIVMTSMAFILGVVPLAIASGAGAASQRAIGTGVIGGMLSATLLGVLFVPICFVWVLSLLKRKPAPQAQPAEVLE ->ARGMiner~~~catB7~~~NP_249397.1~~~chloramphenicol unknown +>ARGMiner~~~catB7~~~NP_249397.1~~~chloramphenicol~~~unknown MGNYFESPFRGKLLSEQVSNPNIRVGRYSYYSGYYHGHSFDDCARYLMPDRDDVDKLVIGSFCSIGSGAAFIMAGNQGHRAEWASTFPFHFMHEEPVFAGAVNGYQPAGDTLIGHDVWIGTEAMFMPGVRVGHGAIIGSRALVTGDVEPYAIVGGNPARTIRKRFSDGDIQNLLEMAWWDWPLADIEAAMPLLCTGDIPALYRHWKQRQATA ->ARGMiner~~~CFE-1~~~BAC76072.1~~~beta_lactam unknown +>ARGMiner~~~CFE-1~~~BAC76072.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANNRPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTQYWPELTGKQWQGISLLHLATYTAGGLPLQVPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTTRVLQPLKLAHTWITVPQSEQKDYALGYREGKPVHVSPGQLDAEAYGVKSSVVDMTRWVQANMDASQVQEKTLQQGIKLAQSRYWRIGDMYQGLGWEMLNWPVKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~tetC~~~XP_002333050~~~tetracycline unknown +>ARGMiner~~~tetC~~~XP_002333050~~~tetracycline~~~unknown METLNQCINAGHEMTKAIAIAQFNDDSPEARKITRRWRIGEAADLVGVSSQAIRDAEKAGRLPHPDMEIRGRVEQRVGYTIEQINHMRDVFGTRLRRAEDVFPPVIGVAAHKGGVYKTSVSVHLAQDLALKGLRVLLVEGNDPQGTASMYHGWVPDLHIHAEDTLLPFYLGEKDDVTYAIKPTCWPGLDIIPSCLALHRIETELMGKFDEGKLPTDPHLMLRLAIETVAHDYDVIVIDSAPNLGIGTINVVCAADVLIVPTPAELFDYTSALQFFDMLRDLLKNVDLKGFEPDVRILLTKYSNSNGSQSPWMEEQIRDAWGSMVLKNVVRETDEVGKGQIRMRTVFEQAIDQRSSTGAWRNALSIWEPIMKRAPVIPKHTLNTQPVEDTSLSTPAAPMVDSLIARVGVMARGNAITLPVCGRDVKFTLEVLRGDSVEKTSRVWSGNERDQELLTEDALDDLIPSFLLTGQQTPAFGRRVSGVIEIADGSRRRKAAALTESDYRVLVGELDDEQMAALSRLGNDYRPTSAYERGQRYASRLQNEFAGNISALADAENISRKIITRCINTAKLPKSVVALFSHPGELSARSGDALQKAFTDKEELLKQQASNLHEQKKAGVIFEAEEVITLLTSVLKTSSASRTSLSSRHQFAPGATVLYKGDKMVLNLDSQAPCMKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWIYPLVNSPSC ->ARGMiner~~~SHV-163~~~AFQ23969.1~~~beta_lactam unknown +>ARGMiner~~~SHV-163~~~AFQ23969.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGSVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQQ ->ARGMiner~~~CeoA~~~ZP_02889084~~~multidrug unknown +>ARGMiner~~~CeoA~~~ZP_02889084~~~multidrug~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVSANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARNGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHQALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLSAGDRVVVNGTQRVRPGEQVKPHMVPMTGGDAPSAPLASTAKPAAPAKADS ->ARGMiner~~~CARB-22~~~BAC61820.1~~~beta_lactam unknown +>ARGMiner~~~CARB-22~~~BAC61820.1~~~beta_lactam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDKRWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTQFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~LmrA~~~ABX00596~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~LmrA~~~ABX00596~~~macrolide-lincosamide-streptogramin~~~unknown MSVFARATSLFSRAARTRAADEAARSRSRWVTLVFLAVLQLLIAVDVTVVNIALPAIRDSFHVDTRQLTWVVTGYTVVGGGLLMVGGRIADLFGRRRTLLFGAFLFGASSLAAGLAPNLELLVLARFGQGAGEALSLPAAMSLIALLFPETAARSKALSVWASVASVGLVLGFLLSGVITQLFSWRWIFLINIPLVSLVLVAVLLLVKKDETTARNPVDLPGALLFTAAPLLLIFGVIELGEDEPRLPLAVGSLLAAAVCAAAFVAVERRTAHPLVPLTFFGNRVRLVANGATVLLSAALSTSFFLLTMHLQEERDLSPIEAGLSFLPLALSLILASLLVPRLIERIGTTGAAVLGMALAALGIGCFALLPSDNSLLTSVFPGMILLACGMATGLVALQNAALHAVTEADAGVASGVQRCADQLGGASGIAVYVSIGFSPHLGGDWDPFTVAYSLAGIGLIAAVLAVLALSPDRRLAAPREQED ->ARGMiner~~~TEM-136~~~AAV83795.1~~~beta_lactam unknown +>ARGMiner~~~TEM-136~~~AAV83795.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGTGKRGSRGIIAALGPDGKPSRIVVIYTTGGQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-243~~~AFQ90085.1~~~beta_lactam unknown +>ARGMiner~~~OXA-243~~~AFQ90085.1~~~beta_lactam~~~unknown MTVRLSSTALGAALSLSALAGAPAQAAVLCTVVADAADGRIVYQQGTQQACAARYTPASTFKLPIALMGADAGILTGPHAPVWNYQPGYPDWGGDAWRQPTDPARWIKYSVVWYSQLTARALGQERFQRYASAFHYGNEDVSGEPGKHNGLDGAWINSSLRISPLEQLAFLRKLVNRQLPLKAAAYDLAENLFEVGEAGGWHLYGKTGTGSPGSNGVYTAANAYGWFVGWARKDGRQLVFARLVQDEQATKPNAGLRARDDLMRDWPAMADAPRK ->ARGMiner~~~OXA-214~~~AEV91553.1~~~beta_lactam unknown +>ARGMiner~~~OXA-214~~~AEV91553.1~~~beta_lactam~~~unknown MKLSKLYTLTVLIGFGLSGVACQHIHTPVSFNQIENDQTKQIASLFENVQTTGVLITFDGQAYKAYGNDLNRAKTAYIPASTFKILNALIGIEHDKTSPNEVFKWDGQKRAFESWEKDLTLAEAMQASAVPVYQALAQRIGLDLMAKEVKRVGFGNTRIGTQVDNFWLIGPLKITPIEEAQFAYRLAKQELPFTPKTQQQVIDMLLVDEIRGTKVYAKSGWGMDITPQVGWWTGWIEDPNGKVIAFSLNMEMNQPAHAAARKEIVYQALTQLKLL ->ARGMiner~~~MdfA~~~ZP_03027991~~~multidrug unknown +>ARGMiner~~~MdfA~~~ZP_03027991~~~multidrug~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIITCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQQAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIIIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~KsgA~~~ZP_03030905~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~ZP_03030905~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAAHLQTHPFLGPKLTIYQQDAMTFNFGELAEKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPHPVKDVRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTGMGIDPAMRAENISVAQYCQMANYLAENAPLQES ->ARGMiner~~~OXY-2-6~~~AAL78278.2~~~beta_lactam unknown +>ARGMiner~~~OXY-2-6~~~AAL78278.2~~~beta_lactam~~~unknown MIKSSWRKIAMLAAAVPLLLASSALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGDALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGAGDYGTTNDIAVIWPEDHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~OXA-161~~~ACT09125.1~~~beta_lactam unknown +>ARGMiner~~~OXA-161~~~ACT09125.1~~~beta_lactam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGDADPSTSNGDYWIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~CMY-72~~~AFU25641.1~~~beta_lactam unknown +>ARGMiner~~~CMY-72~~~AFU25641.1~~~beta_lactam~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKSSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYLEGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~OXA-91~~~ABF47914.1~~~beta_lactam unknown +>ARGMiner~~~OXA-91~~~ABF47914.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~QnrVC7~~~AJA36815.1~~~quinolone unknown +>ARGMiner~~~QnrVC7~~~AJA36815.1~~~quinolone~~~unknown MDKTDQLYVQADFSHQDLSGQYFKNCKFFCCSFKRANLRDTQFVDCSFIERGELEGCDFSYSDLRDASFKNCSLSMSYFKGANCFGIEFRECDLKGANFAQASFMNQVSNRMYFCSAYITGCNLSYANFERQCIEKCDLFENRWIGANLSGTSFKESDLSRGVFSEGCWSQCRLQGCDLSHSELYGLDPRKVDLTGVKICSWQQEQLLEQLGLIVVPD ->ARGMiner~~~MdtM~~~YP_002806550~~~multidrug unknown +>ARGMiner~~~MdtM~~~YP_002806550~~~multidrug~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRVGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLPLLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNSGRLPFHLLAVVAGVIVVFTLAGLLNRVLQHQAAELAEER ->ARGMiner~~~CTX-M-35~~~BAD83775.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-35~~~BAD83775.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTESTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~CMY-58~~~ADY19254.1~~~beta_lactam unknown +>ARGMiner~~~CMY-58~~~ADY19254.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYCVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~MdtH~~~Q0T5W7~~~multidrug unknown +>ARGMiner~~~MdtH~~~Q0T5W7~~~multidrug~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSASAVIGALLGSWLLQYDFRLVCATGPVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~BL2a_1~~~ZP_04115750~~~beta_lactam unknown +>ARGMiner~~~BL2a_1~~~ZP_04115750~~~beta_lactam~~~unknown MKERVDDMKKNTLLKVGLCVGLLGTIQFVSTISSVQASQKVEKTVIKNETGTISISQLNKNVWVHTELGSFNGEAVPSNGLVLNTSKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKSGYEEPLGDLQTVTNLKFGNMKVETFYPGKGHTEDNIVVWLPQYNILVGGCLVKSTSAKDLGNVADAYVNEWSTSIENVLKRYRNINVVVPGHGEVGNKGLLLYTLDLLK ->ARGMiner~~~OXA-19~~~AAD02245.1~~~beta_lactam unknown +>ARGMiner~~~OXA-19~~~AAD02245.1~~~beta_lactam~~~unknown MKTFAAYVITACLSSTALASSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNNLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLSLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEDQLRISAVNQVEFLESLFLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKGTEVYFFAFNMDIDNENKLPLRKSIPTKIMASEGIIGG ->ARGMiner~~~AcrB~~~AAS61063~~~multidrug unknown +>ARGMiner~~~AcrB~~~AAS61063~~~multidrug~~~unknown MAKFFIDRPIFAWVIAIIIMLAGALAIMKLPVAQYPTIAPPAITIAANYPGADATTVQNTVTQVIEQNMNGIDNLLYMSSSSDSSGNVQLTLTFNSGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVAGFISEDGTMQQEDIADYVGSNIKDPISRTPGVGDVQLFGSQYAMRIWMDPHKLNNYKLTPVDVINAIKIQNNQVAAGQLGGTPPVPGQELNSSIIAQTRLTNAEEFSQILLKVNTDGSQVRLKDVAIVKLGAESYNIIARYNGKPAAGIGIKLATGANALNTSAAVKAELAKLQPFFPSGLTVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMQEEGLPPKEATKKSMEQIQGALVGIALVLSAVFVPMAFFGGATGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPIKKGDHGPKTGFFGWFNNMFEKSTHHYTDSVANILRSTGRYLVIYLAIVIGMAVLFMRLPSSFLPEEDQGVFLTMVQLPAGATQERTQKVLNHVTDYYLDKEKNVVNSVFTVNGFGFSGQGQNTGLAFVSLKNWDERKGEQNKVPAIVSRASAAFSKIKDGMVFAFNLPAIVELGTATGFDFQLIDQGNLGHQQLTDARNQLLGMAAQHPDMLVGVRPNGLEDTPQFKVEVDQEKAQALGVAISDINTTLGSAMGGSYVNDFIDRGRVKKVYVQADAPFRMLPDDIDKWYVRNNMGQMVSFATFSTAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMDLMQELAAKLPSGVGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAATLRGLENDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVESTLESVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPLFFVVVRRRFSRKTDDIEHSHPVNTPVK ->ARGMiner~~~lsaA~~~AAT46077.1~~~multidrug unknown +>ARGMiner~~~lsaA~~~AAT46077.1~~~multidrug~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~OXA-11~~~CAA80304.1~~~beta_lactam unknown +>ARGMiner~~~OXA-11~~~CAA80304.1~~~beta_lactam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGSQNISGGIDKFWLEDQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~mexD~~~ZP_01363656~~~multidrug unknown +>ARGMiner~~~mexD~~~ZP_01363656~~~multidrug~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~MdtN~~~YP_002385298~~~multidrug unknown +>ARGMiner~~~MdtN~~~YP_002385298~~~multidrug~~~unknown MESTPKNATRNKLPALILTVAAVVALVYVIWRVDSAPATNDAYASADTVDVVPEVSGRIVELAVKDNQLVKQGDLLFRIDPRPYEASLAKAQASLTALDKQIMLTQRSVEAQQLGAAAVKTSVEKALAIVHQTSKTFQRTESLLAEGYVSDEDVDRARTAHRSAQVDYAALLLQAQSAVSGVGGVDALVAQREAVLADIALTKLHLEMATVRAPFDGRVVSLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETELNNIRAGTPATVRLMSDSGKTFEGKVDSIGYGVLPDDGGMVLGGLPRVSRSINWVRVAQRFPVKIMVDNPDPEMFRIGASAVANLEPQ ->ARGMiner~~~CTX-M-22~~~AAR05437~~~beta_lactam unknown +>ARGMiner~~~CTX-M-22~~~AAR05437~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVIAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~TEM-125~~~AAT46414.1~~~beta_lactam unknown +>ARGMiner~~~TEM-125~~~AAT46414.1~~~beta_lactam~~~unknown MSIQHFRVALIPFLAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSREPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERDRQIAEIGASLIKHW ->ARGMiner~~~catA5~~~P20074~~~chloramphenicol unknown +>ARGMiner~~~catA5~~~P20074~~~chloramphenicol~~~unknown MDAPIPTPAPIDLDTWPRRQHFDHYRRRVPCTYAMTVEVDVTAFAAALRRSPRKSYLAQVWALATVVNRHEEFRMCLNSSGDPAVWPVVHPAFTVFNPERETFACLWAPYDPDFGTFHDTAAPLLAEHSRATDFFPQGNPPPNAFDVSSLPWVSFTGFTLDIRDGWDHLAPIFTLGRYTERDTRLLLPLSVQIHHAAADGFHTARLTNELQTLLADPAWL ->ARGMiner~~~OXA-111~~~ABV31691.1~~~beta_lactam unknown +>ARGMiner~~~OXA-111~~~ABV31691.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEMNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~SHV-5~~~CAA39164.1~~~beta_lactam unknown +>ARGMiner~~~SHV-5~~~CAA39164.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~mgt~~~ABA28305.2~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~mgt~~~ABA28305.2~~~macrolide-lincosamide-streptogramin~~~unknown MKRKELHETSRLAYGRRMTTRPAHIAMFSIALHGHVNPSLEVIRELVARGHRVTYAIPPLLADKVAEAGAEPKLWNSTLPGPDADPEAWGSTLLDNVEPFLADAIQSLPQLAQAYEGDEPDLVLHDIASYTARVLGRRWEVPVISLSPCMVAWEGYEQEVGEPMWEEPRKTERGQAYYARFHAWLEENGITDHPDPFIGRPDRSLVLIPKALQPHADRVDETTYTFVGACQGDRTAEGDWARPEGAEKVVLVSLGSAFTKQPAFYRECVRAFGELPGWHTVLQVGRHVDPAELGDVPDNVEVRTWVPQLAILQQADLFVTHAGAGGSQEGLATATPMIAVPQAADQFGNADMLQGLGVARTLPTEEATAKALRTAALALVDDPEVAARLKEIQARMAQEGGTRRAADLIEAELAAARG ->ARGMiner~~~acrA~~~ZP_02902278~~~multidrug unknown +>ARGMiner~~~acrA~~~ZP_02902278~~~multidrug~~~unknown MTNLKSDTRGLHMNKNRGFTPLAVVLMLSGSLALTGCDDKPAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIDAGVSLYQIDPATYQAAYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALANAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSAVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGEATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGERVVISGLQKVRPGVQVKAQEITADNNQQATSGAQSEQSKS ->ARGMiner~~~smeF~~~YP_002029848~~~multidrug unknown +>ARGMiner~~~smeF~~~YP_002029848~~~multidrug~~~unknown MKSASLFLSIAAALALAGCSTLVPKNTAVAPAIPAQWPAEAAQGEVADVAAVGWRDFFTDARLQQVIDQSLQNNRDLRVAVLNVERARGQYRVQRADRVPGVAVTGQMDRRGTDAGVTEQFTAGVGVAEFELDLFGRVRNLSEAALQQYFAVAANRRNAQLSLVAETATAWLTYGADAQRLKIADATLKTYEDSLRLAEARHERGGSSALELTQTRTLVETARTDAARLRGQLAQDRNALALLAGGQLDPALLPDSIEPQLLALAPPPAGLPSDVLLQRPDIMAAEHQLLAANANIGAARAAFFPSISLTGSIGSGSSELSNLFDSGTRVWSFLPKITLPIFQGGKLRANLAIANADRDIALAQYEKSIQVGFRETADALALNVSLDEQVSSQQRLVEAAEQANRLSQARYDAGLDSFVTLLDARRTAYNAQQTQLQAQLAQQSNRITLYKVLGGGWHERG ->ARGMiner~~~OXA-210~~~AEE61368.1~~~beta_lactam unknown +>ARGMiner~~~OXA-210~~~AEE61368.1~~~beta_lactam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNADPSTSNGDCWIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~TolC~~~YP_001178158~~~multidrug unknown +>ARGMiner~~~TolC~~~YP_001178158~~~multidrug~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYGNGFRDSNGVNSNTTGASLQLTQTLFDMSKWRSLSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDNFKTDKPQAVNALLKEAENRNLALLQARLSQDLAREQIRQAQDGHLPTLSLTASSAVTDTSYSGSKTGGANASQFDDSNVGQNKVGLSFSMPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLFNAKQQLSSARYNYLINQLNIKSALGTLNEQDLQMLNSTLGKPVSTTPENVAPENPQQDASADGYNANDSAPAAQPAAARTTTNNAAGNPFRN ->ARGMiner~~~OXA-150~~~ACX31142.1~~~beta_lactam unknown +>ARGMiner~~~OXA-150~~~ACX31142.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKHVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXY-2-8~~~AAL17873.1~~~beta_lactam unknown +>ARGMiner~~~OXY-2-8~~~AAL17873.1~~~beta_lactam~~~unknown MIKSSWRKIAMLAAAVPLLLASSALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~CeoA~~~YP_001116032~~~multidrug unknown +>ARGMiner~~~CeoA~~~YP_001116032~~~multidrug~~~unknown MAILRTTRSRIATAAIATLAVVGLGTFGAMRVNANAPDKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAETDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITVGNVVSAGAAATPLTTLVSVSPIYASFDADEQTYLQYINGARDGRKVPVELGLANETGYSRSGVIDSVDNRLDTSSGTIRVRARFDNADGTLVPGLYARVKVGGSAPHPALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLAAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDDPSAPLADAAKPAAPAKAES ->ARGMiner~~~arnA~~~YP_311196~~~polymyxin unknown +>ARGMiner~~~arnA~~~YP_311196~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPAEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGHRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQMLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~BacA~~~YP_264987~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_264987~~~bacitracin~~~unknown MDIILLIQAVIMGIVEGITEFLPISSTGYLILSADLMGFWTKEKVDLFVVVVQFGAILAVIYDYWDRLWQALMGLLTGKAEGMSNPRQLGLSLIVATIPVMIVGFTFADEIKAYLFDPIVVAIMLIIGGLLIFYVENRPKPIIAQEAEDVGLKTALMIGLFQCLALIPGTSRSGATIVGALWLGVSRKASAEFSFFLGIPVIVGAALLDLLKHKDVLTSSEDWLVLGIGTVVSFIVALLCIRLLVAWVSRRDFKIFAWLRIITGVLVLIAAWGFGYQMAG ->ARGMiner~~~SHV-105~~~ACI22621.1~~~beta_lactam unknown +>ARGMiner~~~SHV-105~~~ACI22621.1~~~beta_lactam~~~unknown MRYFRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGSVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIDDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~sul3~~~ACJ63260.1~~~sulfonamide unknown +>ARGMiner~~~sul3~~~ACJ63260.1~~~sulfonamide~~~unknown MSKIFGIVNITTDSFSDGGLYLDTDKAIEHALHLVEDGADVIDLGAASSNPDTTEVGVVEEIKRLKPVIKALKEKGISISVDTFKPEVQSFCIEQKVDFINDIQGFPYPEIYSGLAKSDCKLVLMHSVQRIGAATKVETNPEEVFTSMMEFFKERIAALVEAGVKRERIILDPGMGFFLGSNPETSILVLKRFPEIQEAFNLQVMIAVSRKSFLGKITGTDVKSRLAPTLAAEMYAYKKGADYLRTHDVKSLSDALKISKALG ->ARGMiner~~~MIR-16~~~AIT76114.1~~~beta_lactam unknown +>ARGMiner~~~MIR-16~~~AIT76114.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVASAAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWVIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~r39~~~1616230A~~~beta_lactam unknown +>ARGMiner~~~r39~~~1616230A~~~beta_lactam~~~unknown MLFPTARRTGFAALAALALVPAAACSGSAAPAEAEPASAEVTAEDLSGEFERLESEFDARLGVYAVDTGTGEEVFHRADERFGYASTHKAFTAALVLGQNTPEELEEVVTYTEEDLVDYSPITEQHVDTGMTLLEVADAAVRHSDNTAANLLFEELGGPEGFEEDMRELGDDVISADRIETELNEVPPGGTRDTSTPRAMAGSLEAFVLGDVLEEGPRDVLTEMLLNNTTGDELIRAGVPEDWRVGDKTGGGSHGSRNDIAVVWPPEDDPIVIAVMSTREQEDAEFDNALVSGATEVVVEALAP ->ARGMiner~~~CTX-M-59~~~ABD73290.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-59~~~ABD73290.1~~~beta_lactam~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKLLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~NorA~~~YP_254114~~~multidrug unknown +>ARGMiner~~~NorA~~~YP_254114~~~multidrug~~~unknown MKKQLFTLYFNIFLIFVGIGLVIPVLPVYLKDLGLKGSDLGILVAVFALSQMIISPFGGTMADKLGKKLIICIGLVLFAISEFLFAIGHHFPVLIASRILGGFSAGMVMPGVTGMIADISPAKDKAKNFGYMSAIINSGFILGPGIGGFLAEFSHRAPFYFAGTLGVLAFIMSLLLIHNPRKETTEGFHSLEPEVFTKINWKVFITPIILTLVLAFGLSAFETLFSLYTAEKANYTPRDISIAIVGGGVAGAVFQVFFFDKFMKYMTELTFIIWSLLYSAIVLGLLILSHSYWTIMFISFIVFIGFDLIRPALTNYYSNIAGNRQGFAGGLNSTFTSMGNFVGPLVAGALFDVNVEFPLYMAIIVMLFGIVIIFVEKALQATRRRKHTTK ->ARGMiner~~~BcI~~~ZP_04239522~~~beta_lactam unknown +>ARGMiner~~~BcI~~~ZP_04239522~~~beta_lactam~~~unknown MEGMMILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEASVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~FosB~~~ZP_04283753~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04283753~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVRGRKLAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMMFY ->ARGMiner~~~vanM~~~ACL82961.1~~~glycopeptide unknown +>ARGMiner~~~vanM~~~ACL82961.1~~~glycopeptide~~~unknown MNRLKIAILFGGCSEEHNVSVKSAAEIANNIDIGKYEPIYIGITQSGVWKTCEKPCIDWDNEHCRSAVLSPDKKMHGLLIMQDKGYQIQRIDVVFSVLHGKSGEDGAIQGLFELSGIPYVGCDIQSSAVCMDKSLAYIIAKNAGIATPEFQVIYKDDKPAADSFTYPVFVKPARSGSSYGVNKVNSADELDSAIDLARQYDSKILIEQGVLGYEVGCAVLGNSFDLIVGEVDQIRLQHGIFRIHQEAEPEKGSENATITVPAELSAEERERIKEAAKNIYKALGCRGLSRVDMFLQDNGRIVLNEVNTMPGFTSYSRYPRMMVSAGITIPELIDHLIVLAVKE ->ARGMiner~~~BacA~~~ZP_03149247~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03149247~~~bacitracin~~~unknown MDWMELLKAVILGMVEGLTEFAPVSSTGHMIIVDDLWLKSTEFLGKYAANTFKVVIQLGSILAAVVVFKDRFLDLLGIRGRHPGGKPRLTLLHVIIGLLPAGVLGVLFEDYIDEHLFSTKTVLIGLVLGALLMIVADRFAKKAARAQTVDQITYKQAFLVGLVQCLSLWPGFSRSGSTIAGGVLVGMSHRAAADFTFIMAVPIMAGASGLSLLKNWQYVTVADIPFFIAGFLSAFVFALLAIRFFLHLINRIRLVPFAVYRIALAFIIYFLYF ->ARGMiner~~~AcrB~~~AAQ21216~~~multidrug unknown +>ARGMiner~~~AcrB~~~AAQ21216~~~multidrug~~~unknown MAKFFIDRPIFAWVIAIIVMLAGTLSILKLPIEQYPNVAPPAIEITATYPGADAKTLQDSVTQVIEQNMNGIDGLMYMGSSSDSSGTLQLTLTFESGTDADIAQVQVQNKLQLATPLLPQEVQQQGISVQKSSSSFLMVAGFINDSGTMTQNDISDYVGSNIKDPLSRVSGVGDTQLFGAQYAMRIWMDPHKLNNYQLTPVDVISAINTQNAQVAAGQLGGSPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNADGSRVRLSDVAHIELGGENYEVIARYNGQPASGLGIKLATGANALDTAAAVKAELVKLEPFFPSGLKVVYPYDTTPFVKISINEVVKTLVEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAIISAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPKEATRKSMDQIQGALVGIALVLSAVFIPMAFFGGSTGVIYRQFSITIVSAMVLSVIVALILTPALCATMLKPINKGDHGKTTGFFGWFNRLFDKSTHHYTDSVGHIVRSTGRYLVIYLLIVVGMAFLFLRLPTSFLPEEDQGVFLTMAQLPAGATQERTQKVLDQVTDYYLNKEKTNVNSVFTVNGFGFAGRGQNTGLAFVSLKPWDERSGAENKVPAITGRAMGALSAIKDAMVIPFNLPAIVELGTATGFDFQLIDQGALGHEKLTAARNQLLGMIAQHSDTLVGVRPNGLEDTPQYKLTIDQEKAQALGVSLSDINTTLAAAWGGSYVNDFIDRGRVKKVYVMGGAPYRMLPTDIGNWYVRATNGEMVSFNAFASAQWVYGSPRLERYNGLPSMELLGQPAAGKSSGEAMNLMQELASKLPTGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFAVMLVVPLGVIGALIFTTLRGLSNDVYFVVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLVEATLEAVRMRLRPILMTSLAFILGVLPLAISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSKNKDDIEHSHPVDKH ->ARGMiner~~~AAC(2')-Ic~~~CCP42991.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(2')-Ic~~~CCP42991.1~~~aminoglycoside~~~unknown MHTQVHTARLVHTADLDSETRQDIRQMVTGAFAGDFTETDWEHTLGGMHALIWHHGAIIAHAAVIQRRLIYRGNALRCGYVEGVAVRADWRGQRLVSALLDAVEQVMRGAYQLGALSSSARARRLYASRGWLPWHGPTSVLAPTGPVRTPDDDGTVFVLPIDISLDTSAELMCDWRAGDVW ->ARGMiner~~~SHV-25~~~AAF37209.2~~~beta_lactam unknown +>ARGMiner~~~SHV-25~~~AAF37209.2~~~beta_lactam~~~unknown MRYIRLCIISLLAALPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITVSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~ErmF~~~ZP_04055482~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmF~~~ZP_04055482~~~macrolide-lincosamide-streptogramin~~~unknown MTKKKLPVRFTGQHFTIDKVLIKDAIRQANISNQDTVLDIGAGKGFLTVHLLKIANNVVAIENDTALVEHLRKLFSDARNVQVVGCDFRNFAVPKFPFKVVSNIPYGITSDIFKILMFESLGNFLGGSIILQLEPTQKLFSRKLYNPYTVFYHTFFDLKLVYEVGPESFLPPPTVKSALLNIKRKHLFFDFKFKAKYLAFISCLLEKPDLSVKTALKSIFRKSQVRSISEKFGLNLNAQIVCLSPSQWLNCFLEMLEVVPEKFHPS ->ARGMiner~~~LEN-2~~~AAK69830.1~~~beta_lactam unknown +>ARGMiner~~~LEN-2~~~AAK69830.1~~~beta_lactam~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGVEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~ErmO~~~NP_630197~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmO~~~NP_630197~~~macrolide-lincosamide-streptogramin~~~unknown MARPTQRARTLSQNFLADRATAERVAHLAVPDRGRRPLLLEVGAGNGALTEPLARRSRELHAYEIDPRLVPGLRARFARSPHVHVVAGDFLTARPPRTPFAVAGNVPFSRTADIVDWCLTAPGLTDATLLTQLEYARKRTGDYGRWTLLTVLTWPRHEWRLVGRVGRSRFCPAPRVDAGILRIERRPTALLTGAAARRDWADLVELGFSGVGGSLHASLRRAHSRRRVDAAFRAARLDPGVLVGEVAPDRWLRLHEELTA ->ARGMiner~~~tetA~~~YP_002038880~~~tetracycline unknown +>ARGMiner~~~tetA~~~YP_002038880~~~tetracycline~~~unknown MIEKSEMNSSTKIALVITLLDAMGIGLIMPVLPTLLREFIASEDIANHFGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVAFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLTNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~mecR1~~~T44117~~~beta_lactam unknown +>ARGMiner~~~mecR1~~~T44117~~~beta_lactam~~~unknown VLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~AAC(3)-IXa~~~AAA25334.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IXa~~~AAA25334.1~~~aminoglycoside~~~unknown MEEMSLLNHSGGPVTRSRIKHDLADLGLKDGDVVIFHTRMSAIGYVAGGTQTIIGALLDVVGARGTLMVPCGWNNAPPYDFLDWPRDWQDALRAEHPAYDPDLSEADYNNGRLPEALPRWPGAIRSRHPDASFAALGPAAAELMAEHPWDHPHGPDTPLARLIAHSGRVLLLGAPLDTMTLLHHAEALADVRSKRFVTYEQPILVNGQRVWRQFRDIDSEEGAFDYSTVRRGVEPFEAIARDMLSAGIGRQGRVGAADSYLFDAGPVFNFAINWIEAKLKR ->ARGMiner~~~tetQ~~~AAB51122~~~tetracycline unknown +>ARGMiner~~~tetQ~~~AAB51122~~~tetracycline~~~unknown MRFDNASIVVYYCLIQMNIINLGILAHIDAGKTSVTENLLFASGATEKCGRVDNGDTITDSMDIEKRRGITVRASTTSIIWNGVKCNIIDTPGHMDFIAEVERTFKMLDGAVLILSAKEGIQAQTKLLFNTLQKLQIPTIIFINKIDRDGVNLERLYLDIKTNLSQDVLFMQTVVDGLVYPICSQTYIKEEYKEFVCNHDDNILERYLADSEISPADYWNTIIDLVAKAKVYPVLHGSAMFNIGINELLDAISSFILPPESVSNRLSAYLYKIEHDPKGHKRSFLKIIDGSLRLRDIVRINDSEKFIKIKNLKTIYQGRKINVDEVGANDIAIVEDMEDFRIGDYLGTKPCLIQGLSHQHPALKSSVRPDRSEERSKVISALNTLWIEDPSLSFSINSYSDELEISLYGLTQKEIIQTLLEERFSVKVHFDEIKTIYKERPVKKVNKIIQIEVPPNPYWATIGLTLEPLPLGTGLQIESDISYGYLNHSFQNAVFEGIRMSCQSGLHGWEVTDLKVTFTQAEYYSPVSTPADFRQLTPYVFRLALQQSGVDILEPMLYFELQIPQAASSKAITDLQKMMSEIEDISCNNEWCHIKGKVPYNTSKDYASEVSSYTKGLGVFMVKPCGYQITKGDYSDNIRMNEKDKILFMFQKSNVIKIMERSGNFYKAIQ ->ARGMiner~~~MdtN~~~YP_410370~~~multidrug unknown +>ARGMiner~~~MdtN~~~YP_410370~~~multidrug~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDVLVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPNDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~AAC(3)-IIIb~~~AAA25682.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIIb~~~AAA25682.1~~~aminoglycoside~~~unknown MVHAAVSRVGRLLDGPDTIIAALRDTVGPGGTVLAYADWEARYEDLVDDAGRVPPEWREHVPPFDPQRSRAIRDNGVLPEFLRTTPGTLRSGNPGASLVALGAKAEWFTADHPLDYGYGEGSPLAKLVEAGGKVLMLGAPLDTLTLLHHAEHLADIPGKRIKRIEVPFATPTGTQWRMIEEFDTGDPIVAGLAEDYFAGIVTEFLASGQGRQGLIGAAPSVLVDAAAITAFGVTWLEKRFGTPSP ->ARGMiner~~~MdtK~~~YP_001335662~~~multidrug unknown +>ARGMiner~~~MdtK~~~YP_001335662~~~multidrug~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARTMRDIRCAERFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMRKQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~BcII~~~ZP_04204110~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_04204110~~~beta_lactam~~~unknown MKERVDNMKKNTLLKVGLCVGLLGTIQFVSTISSVQASQKVEKTVIKNETGTISISQLNKNVWVHTELGSFNGEAVPSNGLVLNTSKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKNGYEEPLGDLQTVTNLKFGNMKVETFYPGKGHTEDNIVVWLPQYNILVGGCLVKSTSAKDLGNVADAYVNEWSTSIENVLKRYRNINAVVPGHGEVGNKGLLLHTLDLLK ->ARGMiner~~~MdtK~~~AAB47941~~~multidrug unknown +>ARGMiner~~~MdtK~~~AAB47941~~~multidrug~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVSTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~OXA-79~~~ABV71246.1~~~beta_lactam unknown +>ARGMiner~~~OXA-79~~~ABV71246.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGGDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OprA~~~YP_002009764~~~multidrug unknown +>ARGMiner~~~OprA~~~YP_002009764~~~multidrug~~~unknown MKAMMKPRARRRCARAARRPNGPRAWPLAVAAALVAGCTLAPRYERPAAPVPAHYWSTAAGAPREAGPAAAGGPRAMPDARRGDAGRDARDARLDDWRAYFTDPALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFSGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGADAERLRLAQRRYDSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDECA ->ARGMiner~~~MdtK~~~B1LEM1~~~multidrug unknown +>ARGMiner~~~MdtK~~~B1LEM1~~~multidrug~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRAAR ->ARGMiner~~~acrE~~~AAC76297.1~~~multidrug unknown +>ARGMiner~~~acrE~~~AAC76297.1~~~multidrug~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~BacA~~~A9HXK3~~~bacitracin unknown +>ARGMiner~~~BacA~~~A9HXK3~~~bacitracin~~~unknown MTDSTLYLIKAFFLGIIEGLTEFIPVSSTGHLILIGDWINFTSSSGKVFEVVIQFGSILAVMWIFRARLWQLIRGTLTGVPAETAFTRNLLLAFLPAAVVGAIFIKTIKQVFYHPGVVAVTLVLGGLIMLWVERKTHHTPGDAPGAADDTASDERASAHTLEQISWKQALGVGVAQCLAMVPGTSRSGATIIGGMIAGIQRKTATEFSFFLAMPTMLGAATYDLYRNIDLLSQHDLSAIAVGFAAAFISALVVVRAVLRFVANHTYRGFAWYRIALGIVVAAWLMTK ->ARGMiner~~~BL2a_1~~~ZP_04228847~~~beta_lactam unknown +>ARGMiner~~~BL2a_1~~~ZP_04228847~~~beta_lactam~~~unknown MEKMKKNTLLKLGVCVSLLGITQFISTISSVKAEQKLEQKVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLVLTTSKGLVLVDSSWDDKLTKELIEMVEKKFKKRVTDVIITHAHADRIGGITTLKERGIKAHSTALTAELAKKSGYEEPLGDLQTITSLKFGNTKVETFYPGKGHTEDNIVVWLPQYQILAGGCLVKSAEAKDLGNVADAYVNEWSVSIGNVLKRYKNMNSVVPGHGDVGDKGLLLHTLDLLK ->ARGMiner~~~VIM-12~~~AAZ73123.1~~~beta_lactam unknown +>ARGMiner~~~VIM-12~~~AAZ73123.1~~~beta_lactam~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSSTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~ceoB~~~YP_001583350~~~multidrug unknown +>ARGMiner~~~ceoB~~~YP_001583350~~~multidrug~~~unknown MNISKFFIDRPIFAGVLSVLILLGGVIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDNRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRGLAAEDVVQAIREQNVQVAAGVIGASPSLPGTPLQLSVNARGRLQTEEEFGDIVVKTTPDGGVTHLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLQISDEVRKTMAELKQDMPAGVDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASIIPLIAVPVSIVGTFSLLLGFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIENGMSARQATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRRFNKVFHRGAENYGRGVRGVLSRKAVMLGVYLVLVGATVLISKVVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGSIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFSERHGKALSAGAIAGALNQQYASIKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYAKLSDATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVSVTDVFNTMQVYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNAAGEMVPLSSLVTVTPTFGPEMVVRYNGYTAADINGGPAPGYSSGQAQAAIERIAHETLPRGVRFEWTDLTYQQILAGDSAIWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTHGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGKTPLEAAIEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAGKDSAGYGVPAPDA ->ARGMiner~~~vanYD~~~AAM09853.1~~~glycopeptide unknown +>ARGMiner~~~vanYD~~~AAM09853.1~~~glycopeptide~~~unknown MERQNNNENQYGRNRRKDKRKKLFFYRAACAMLGLLIVCVIFGAVYFLRESKDPVLPSKENTKTGKDYSFLADGQSEDESPISEPAISNRANAIDLNIIAANAIVMNKDTDALLYQKKRHGQNCAGQYSKDDYGVDRA ->ARGMiner~~~MdtK~~~YP_403489~~~multidrug unknown +>ARGMiner~~~MdtK~~~YP_403489~~~multidrug~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELSGVGCGVATAAVYWAMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~arnA~~~ZP_02901868~~~polymyxin unknown +>ARGMiner~~~arnA~~~ZP_02901868~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHADNPGEKAFYASVARLAAETGIPVYAPDNVNHPLWVERIAQMSPDVIFSFYYRHLISDDILQLAPVGAFNLHGSLLPKYRGRAPLNWVLVNGENETGVTLHRMVKKADAGAIIAQQRVVISPDDIAITLHHKLCHTARLLLEQTLPAIKHGHFQEIAQRENEATCFGRRTPDDSFLDWHKPADVLHNMVRAVADPWPGAFSYAGNQKFTVWSSRVHPDTSTAQPGSVISVAPLRVACGHGALEIITGQAGDGITMQGSQLAQTLGLVEGSRLNNQPLCAAQRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALFRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLGWEPKIDMQETIDETLDFFLRTVDLTDKSS ->ARGMiner~~~CARB-8~~~AAO59455.1~~~beta_lactam unknown +>ARGMiner~~~CARB-8~~~AAO59455.1~~~beta_lactam~~~unknown MDVRKHKASFFSVVITFLCLTLSLNANATDSVLEAVTNAETELGARIGLAVHDLETGKRWEHKSNERFPLSSTFKTLACANVLQRVDLGKERIDRVVRFSESNLVTYSPVTEKHVGKKGMSLAELCQATLSTSDNSAANFILQAIGGPKALTKFLRSIGDDTTRLDRWETELNEAVPGDKRDTTTPIAMVTTLEKLLIDETLSIKSRQQLESWLKGNEVGDALFRKGVPSDWIVADRTGAGGYGSRAITAVMWPPNRKPIVAALYITETDASFEERNAVIAKIGEQIAKTILMENSRN ->ARGMiner~~~SHV-7~~~AAA87176.1~~~beta_lactam unknown +>ARGMiner~~~SHV-7~~~AAA87176.1~~~beta_lactam~~~unknown MRYFRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGSVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~AmrB~~~YP_621023~~~multidrug unknown +>ARGMiner~~~AmrB~~~YP_621023~~~multidrug~~~unknown MARFFIDRPVFAWVIAIFIMLGGLFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTALIEREMNGAPGLLYTSATSSAGAASLYLTFKQGVNADLAAVEVQNRLKTVDARLPEPVRRDGIQVEKAADNIQLVVSLTSDDGRMTDVQLGEYASANVVQALRRVEGVGKVQFWGAEYAMRIWPDPVKLAGHGLTASDIASAVRAHNARVTIGDIGRSAVPDSAPIAATVFADAPLKTPADFGAIALRAQPDGSALFLRDVARIEFGGNDYNYPSYVNGKVATGMGIKLAPGSNAVSTEKRVRATMDELSRYFPPGVKYQIPYETSSFVRVSMNKVVTTLIEAGVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGVMYAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEGLAPYDATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFALSLAVSIGFSAFLALSLTPALCATLLKPVSGDHHEKRGFFGGFNRFVARATQRYATRVGAMLKKPVRWLVVYGALTAAAALMLTQLPTAFLPDEDQGNFMVMVIRPQGTPLAETMQSVRAVESAIRRDEPTAYTYALGGFNLYGEGPNGGMIFVTLKNWKERKATRDHVQSIVARINERFAGTPNTTVFAMNSPALPDLGSSSGFDFRLQNRGGLDYATFSAAREQLLAVGGKDRALTDLMFAGTQDAPQLKLDIDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVMVQADGRHRLDPDDVKKLRVRNARGEMVPLAAFTTLHWTLGPPQLTRYNGYPSFTINGSAAAGHSSGEAMSAIERIAAKLPAGIGYAWSGQSFEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVLGVTLRAMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLVDAALEAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQMAIGTGVLGGVITATVLAVFLVPLFFVTVGRLFDVGPRRRGGAQPTTMEGSQP ->ARGMiner~~~CTX-M-15~~~AAL02127.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-15~~~AAL02127.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~OCH-6~~~CAC17626.1~~~beta_lactam unknown +>ARGMiner~~~OCH-6~~~CAC17626.1~~~beta_lactam~~~unknown MRKSTTLLIGFLTTAAIIPNNGALAASKANDGDLRRIVDETVRPLMAEQKIPGMAVAITIDGKSHFFGYGVASKESGQKVTEDTIFEIGSVSKTFTAMLGGYGLATGAFSLSDPATKWAPELAGSSFDKITMRDLGTYTPGGLPLQFPDAVTDDSSMLAYFKKWKPDYPAGTQRRYSNPSIGLFGYLAARSMDKPFDVLMEQKLLPAFGLKNTFINVPESQMKNYAYGYSKANKPIRVSGGALDAQAYGIKTTALDLARFVELNIDSSSLEPDFQKAVAATHTGYYHVGANNQGLGWEFYNYPTALKTLLAGNSSDMALKSHKIEKFDTPRQPSADVWLNKTGSTNGFGAYAAFIPAKKTGIVLLANRNYPIDERVKAAYRILQALDNKQ ->ARGMiner~~~ACT-19~~~AHM76779.1~~~beta_lactam unknown +>ARGMiner~~~ACT-19~~~AHM76779.1~~~beta_lactam~~~unknown MMKKSFCCALLLAISGSALAAPVSEKQLAEVVANTVTPLMKTQAIPGMAVAVIYQGKPHYYTFGEADIAAKKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTKFWPELTGKQWQGIRMLDLATYTAGGLPLQVPEEVTDNASLLRFYQHWQPQWKPGTTRLYANASIGLFGALAVKPSGMRYEQAMTKRVFKPLRLNHTWINVPKAEAAHYAWGYRDGKAVHISPGMLDAEAYGVKTNVQDMANWVMANMAPENIADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEAKMVIEGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILDALQ ->ARGMiner~~~TolC~~~YP_001573360~~~multidrug unknown +>ARGMiner~~~TolC~~~YP_001573360~~~multidrug~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPKLNLTASTGISDTSYNGSGTHGPGSQYDDSNMGQNKVGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAVDNFNGNAPAAQPVSAHTTSTRGNGNPFRH ->ARGMiner~~~acrB~~~YP_215504~~~multidrug unknown +>ARGMiner~~~acrB~~~YP_215504~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYHLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~TEM-143~~~AAY85632.1~~~beta_lactam unknown +>ARGMiner~~~TEM-143~~~AAY85632.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDCWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~QnrB11~~~ABS30107.1~~~quinolone unknown +>ARGMiner~~~QnrB11~~~ABS30107.1~~~quinolone~~~unknown MMTLALVGEKIDRNRFTGAKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAILKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGSDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSSFDWRAANFTHCDLTNSELGDLDVRGVDLQGVKLDSYQASLILERLGIAVMG ->ARGMiner~~~IMI-1~~~AAA93461.1~~~beta_lactam unknown +>ARGMiner~~~IMI-1~~~AAA93461.1~~~beta_lactam~~~unknown MSLNVKPSRIAILFSSCLVSISFFSQANTKGIDEIKDLETDFNGRIGVYALDTGSGKSFSYKANERFPLCSSFKGFLAAAVLKGSQDNQLNLNQIVNYNTRSLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDKDFRLDRWELDLNTAIPGDERDTSTPAAVAKSLKTLALGNILNEREKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKNEKEAKHEDKVIAEASRIAIDNLK ->ARGMiner~~~vatH~~~ACX92987.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~vatH~~~ACX92987.1~~~macrolide-lincosamide-streptogramin~~~unknown MAEKLKGPNSNEMYPIAGNKSVQFVKPSLTRPNIIVGEFTYYDSKNGELFEDQVLYHYEIIGDRLIIGKFCSIGPGVTFIMNGANHRMDGSTYPFNIFGHGWEKHTPTLDMLPLKGDTIVGNDVWIGLDATIMPGVKIGDGAIIAAKSVVTKDVDPSTIVGGNPAKQIKKRFSESKIQELLKIKWWDFEDQVISDNIDAILSLDVEALNNISKEND ->ARGMiner~~~BcII~~~ZP_03229684~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ZP_03229684~~~beta_lactam~~~unknown MKKNTLLKVGLCVGLLGTIQFVSTISSVQASQKVEKTVIKNETGTISISQLNKNVWVHTELGSFNGEAVPSNGLVLNTSKGLVLVDSSWDDKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKSGYEEPLGDLQTVTNLKFGNMKVETFYPGKGHTEDNIVVWLPQYNILVGGCLVKSTSAKDLGNVADAYVNEWSTSIENVLKRYRNINSVVPGHGEVGDRGLLLHTLDLLK ->ARGMiner~~~vatE~~~AAF86220.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~vatE~~~AAF86220.1~~~macrolide-lincosamide-streptogramin~~~unknown MTIPDANAIYPNSAIKEVVFIKNVIKSPNIEIGDYTYYDDPVNPTDFEKHVTHHYEFLGDKLIIGKFCSIASGIEFIMNGANHVMKGISTYPFNILGGDWQQYTPELTDLPLKGDTVVGNDVWFGQNVTVLPGVKIGDGAIIGANSVVTKDVAPYTIVGGNPIQLIGPRFEPEVIQALENLAWWNKDIEWITANVPKLMQTTPTLELINSLMEK ->ARGMiner~~~aadA2~~~AAF27727.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~AAF27727.1~~~aminoglycoside~~~unknown MTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~BacA~~~YP_002633428~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_002633428~~~bacitracin~~~unknown MLLLELLKALILGIVEGLTEFAPVSSTGHMILVDDMWLKSPEFLGSQSAFTFKIVIQLGSVFAAAWVFRKRYFEMLYIGKYQPAATETESADGKIGKRVKPKRLTLWHVLVGMIPAGILGLLFDDVIEKYLFSVPTVMIGLLLGAFYMIFAQKFSERYAHRENIDQITFFQAFVIGLSQAVAMWPGFSRSGSTISTGVLMKMNYKAASDFTFIMAVPIMLAASLLSLVKHIGYIHLSHIPFYIIGFLAAFIFGLLSIRLFLNLINRIKLIPFAIYRIILVIFIAILYFGFGIGKGI ->ARGMiner~~~IND-5~~~AAS78754.1~~~beta_lactam unknown +>ARGMiner~~~IND-5~~~AAS78754.1~~~beta_lactam~~~unknown MKKRIQFFMVSMMLAPMFNAQVKDFVIEPPIKNNLHIYKTFGVFGGKEYSANSVYLVTKKGVVLFDVPWEKAQYQSLMDTIKKRHNLPVIAVFATHSHDDRAGDLSFFNNKGIKTYATSKTNEFLKKDGKATSTEIIKTGKPYRIGGEEFTVDFLGEGHTADNVVVWFPKYNVLDGGCLVKSNSATDLGYIKEANVEQWPITIDKLKAKYSKATLIIPGHDDWKGGGHVEHTLELLNKK ->ARGMiner~~~rosB~~~ZP_04635128~~~polymyxin unknown +>ARGMiner~~~rosB~~~ZP_04635128~~~polymyxin~~~unknown MGSLAHRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKAIAIPGAVAQIAVATLLGMGLSHLLGWDLVTGLVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFAGVMGNETTSLSQLFTELAITIGKVIAFITLMIVVGRRLVPWILAKTASTGSRELFTLAVLVLALGIAYGAVGLFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILLREPLAVLVSLAIIIFGKSAAAFILVRLFGHSKRTALTISVSLAQIGEFAFILAGLGISLGLMSEHGRNLVLAGAILSIMLNPLLFTLLDRYLAKNETMEDLILEEAVEEEKQIPVDLCNHALLVGYGRVGSLLGAKLNAEGIPLVVVENSRPRVEALREQGINAVLGNAASADIMSLARLDCARWLLLTIPNGYEAGEIVASARIKRPDLEIIARAHYDDEVVYISDRGANQVVMGEREIANSMLNMLKIETLTEEDKMPVCPI ->ARGMiner~~~tetQ~~~AAZ79478~~~tetracycline unknown +>ARGMiner~~~tetQ~~~AAZ79478~~~tetracycline~~~unknown MRFDNASNVVYYCLIQMNIINLGILAHIDAGKTSVTENLLFASGATEKCGRVDNGDTITDSMDIEKRRGITVRASTTSIIWNGVKCNIIDTPGHMDFIAEVERTFKMLDGAVLILSAKEGIQAQTKLLFNTLQKLQIPTIIFINKIDRAGVNLERLYLDIKTNLSQDVLCMQTVVDGSVYPVCSQTYIKEEYKEFVCDHDDNILERYLADSEIPPTDYWNTIIALVAKAKVYPVLHGSAMFNIGINELMDAITSFILPPASVSDRLSAYLYKIEHDPKGHKRSFLKIIDGSLRLRDVVRINDSEKSIKIKNLKTIYQGREINVDEVGANDIAIVEDMEDFRIGDYLGAEPCLIQGLSHQHPALKSSVRPDKPEERSKVISALNTLWIEDPSLSFSINSYSDELEISLYGLTQKEIIQTLLEERFSVKVHFDEIKTIYKERPIKKVNKIIQIEVPPNPYWATIGLTLEPLPLGAGLQIESDISYGYLNHSFQNAVFEGIRMSCQSGLHGWEVTDLKVTFTQAEYYSPVSTPADFRQLTPYVFRLALQQSGVDILEPMLYFELQIPQEASSKAITDLQKMMSEIEDISCNNEWCHIKGKVPLNTSKDYASEVSSYTKGLGIFMVKPCGYQITKDGYSDNIRMNEKDKLLFMFQKSMSLK ->ARGMiner~~~VIM-16~~~ACB54703.1~~~beta_lactam unknown +>ARGMiner~~~VIM-16~~~ACB54703.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWLHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~dfrB1~~~AAN41433.1~~~trimethoprim unknown +>ARGMiner~~~dfrB1~~~AAN41433.1~~~trimethoprim~~~unknown MQRVVGPHRTPRSSQERSEMERSSNEVSNPVAGNFVFPSNATFGMGDRVRKKSGAAWQGQIVGWYCTNLTPEGYAVESEAHPGSVQIYPVAALERIN ->ARGMiner~~~SHV-55~~~CAI10727.2~~~beta_lactam unknown +>ARGMiner~~~SHV-55~~~CAI10727.2~~~beta_lactam~~~unknown MRFIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~pbp1b~~~YP_002467958~~~beta_lactam unknown +>ARGMiner~~~pbp1b~~~YP_002467958~~~beta_lactam~~~unknown MFFNFKKYFLIKVFFFVLILTLCYGLYLYVKINRFINGKVWNFPTSIYGRIVNLEPGNSYSQKEVLHLLKSTMYRKVDLVMLPGEYSIKNNTIEFIRRAFDFPDIREDEFHARLYFNKDTLVKIKNIDNNHDFSFFRLEPKLIAMLKSPEAKKRMFIPRNQYPEMLVKTLLAIEDKYFYEHDGIHLSSIGRAFLVNLMAGRTIQGGSTLTQQLIKNLFLTNTRSILRKINEIYMALILDRFYTKDRILELYLNEVYLGQDGDEQIRGFPLASIYYFGRPINELNLEQYALLVGMVKGASLYSPWTNPNLALKRRNLVLFLLYKQKYITRKIYKDLCKRSLNVQPKGNIISSHPSFIQLVCEEFHKKIHNPIKNFPGTKIFTTLDYTSQNAVEQAVKIEIPILKRKKRLKDLEVAMIVIDRFTGEVQALIGSSKPEFNGYNRALKTRRSIGSLSKPITYLTALSQPEKYHLNTWISNYPLSIKLDSGQYWTPKNNNFSFSKKVLLLDALIHSINIPTVNLSINIGLKKLVDSWLLLGISKKYITPLPSISLGAINLTPFEIAQVFQIIGSGGYKSSLSSVRSIISDDGKVLYQNLPQSIHIESSEASYLTLYGMQQVVKSGTAKSLGTIFKEFSLAGKTGTTNNLVDNWFVGIDGKQIVITWIGRDNNHTTRLYSSSGAMQIYKRYLQYQRPVPLVLKAPNNINMFYINNLGELFCKKNNQHNRMLPIWSIKNKKICNDKLSERFSIKKKKNFLFWLKNLF ->ARGMiner~~~BacA~~~YP_001898234~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001898234~~~bacitracin~~~unknown MDIALAIKALILGIVEGLTEFLPISSTGHLILAGQLLDFNDEKGKIFEIVIQFGAILAVCWEFRHKIIDVIKGLPNDPRQQRFAINVIVATIPAITLALIFGKAIKAHLFNPIVVASAFILGGFVILWAEWRERHRGETHDPRANALLEAAKAGAPRIETLDDLRISDAIKVGFAQCFALIPGTSRSGSTIIGGLLFGLSRKVATEFSFFLAIPVIFGATVYELYKSRALLSADDLSIFAVGFVAAFISAFFCVRWLLKFIATHDFRGFAWYRIIFGIIVLATAYTHLIAWQA ->ARGMiner~~~ErmX~~~AAG03357.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmX~~~AAG03357.1~~~macrolide-lincosamide-streptogramin~~~unknown MSAYGHGRHEHGQNFLTNHKIINSIIDLVKQTSGPIIEIGPGSGALTHPMAHLGRAITAVEVDAKLAAKITQETSSAAVEVVHDDFLNFRLPATPCVIVGNIPFHLTTAILRKLLHAPAWTDAVLLMQWEVARRRAGVGASTMMTAQWSPWFTFHLGSRVPRPAFRPQPNVDGGILVIRRVGDPKIPIEQRKAFQAMVHTVFTARGRGIGEILRRQGCFHHVQKHNHGCAREESTPRPYLPDCTPTTGSISSR ->ARGMiner~~~oprN~~~YP_790742~~~multidrug unknown +>ARGMiner~~~oprN~~~YP_790742~~~multidrug~~~unknown MIHAQSIRSGLAPALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~GES-13~~~ACZ54536.1~~~beta_lactam unknown +>ARGMiner~~~GES-13~~~ACZ54536.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVKWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMNDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~MdtO~~~NP_290714~~~multidrug unknown +>ARGMiner~~~MdtO~~~NP_290714~~~multidrug~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAITEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIHQGRDLLHAWDATWNSAQALDNALQPDKAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~ACT-18~~~AHM76777.1~~~beta_lactam unknown +>ARGMiner~~~ACT-18~~~AHM76777.1~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALAAPVSEKQLAEVVANTITPLMKAQSIPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNAALLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMGYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVIEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILDALQ ->ARGMiner~~~MOX-4~~~ACI89425.1~~~beta_lactam unknown +>ARGMiner~~~MOX-4~~~ACI89425.1~~~beta_lactam~~~unknown MQQRQSILWGALATLMWAGLAHAGDTSAVDPLRPVVDASIRPLLKEHRIPGMAVAVLKDGKAHYFNYGVADRERAVGVSEQTLFEIGSVSKPLTATLGAYAVVKGAMQLDDKASRHAPWLKGSAFDSITMGELATYSAGGLPLQFPEEVDSLEKMQAYYRQWTPAYSPGSHRQYSNPSIGLFGHLAASSMKQPFAQLMEQTLLPGLGLHHTYVNVPKQAMASYAYGYSKEDKPIRVSPGMLADEAYGIKTSSADLLRFVKANISGVDDKALQQAISLTHKGHYSVGGMTQGLGWESYAYPVSEQTLLAGNSAEVILEANPTAAPRESGNLMLFNKTGSTSGFGAYVAFVPAKGIGIVMLANRNYPIPARVKAAHAILTQLAR ->ARGMiner~~~norb~~~CCQ22388.1~~~multidrug multidrug efflux pump in staphylococcus aureus +>ARGMiner~~~norb~~~CCQ22388.1~~~multidrug~~~multidrug efflux pump in staphylococcus aureus MTSTAYKGTNKLIVGIVFGVITFWLFAQSMVNIVPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGSTLLIIGRIIQGLSAACIMPATLALMKTYFDGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGATFGWTSPITITMLVVFLVSAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSGITAVGIALMALTFIPGTLYTVLVFIGFALFGIGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLISVAITTPSAKKALELKAAKE ->ARGMiner~~~tetW~~~ZP_02091679~~~tetracycline unknown +>ARGMiner~~~tetW~~~ZP_02091679~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDKLLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTSIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETVQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGQSVCLTELKGYQAAVGKPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~OXA-60~~~AAQ08905.1~~~beta_lactam unknown +>ARGMiner~~~OXA-60~~~AAQ08905.1~~~beta_lactam~~~unknown MLSRYSKTLAFAVVACTLAISTATAHAELVVRNDLKRVFDDAGVSGTFVLMDITADRTYVVDPARAARSIHPASTFKIPNSLIAFDTGAVRDDQEVLPYGGKPQPYEQWEHDMALPEAIRLSAVPIYQEVARRVGFERMQAYVDAFDYGNRQLGSAIDQFWLRGPLEISAFEEARFTSRMALKQLPVKPRTWDMVQRMLLIEQQGDAALYAKTGVATEYQPEIGWWAGWVERAGHVYAFALNIDMPREGDMAKRIPLGKQLMRALEVWPAP ->ARGMiner~~~GOB-1~~~AAF04458.1~~~beta_lactam unknown +>ARGMiner~~~GOB-1~~~AAF04458.1~~~beta_lactam~~~unknown MRNFATLFFMFICLGLNAQVVKEPENMPKEWNQAYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLPIIKANIQKLGFNYKDIKILLLTQAHYDHTGALQDFKTETAAKFYADKADVDVLRTGGKSDYEMGKYGVTFKPVTPDKTLKDQDKIKLGNITLTLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSVIVDKKFSEVTAYPNIQSDYAYTFGVMKKLDFDIWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLNDLEKSYLDKIKKDSQDK ->ARGMiner~~~QnrB46~~~ADW54092.1~~~quinolone unknown +>ARGMiner~~~QnrB46~~~ADW54092.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKAVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRRVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~IMP-22~~~ABC88434.1~~~beta_lactam unknown +>ARGMiner~~~IMP-22~~~ABC88434.1~~~beta_lactam~~~unknown MKKLFVLCVFLFCSITAAGESLPDLKIEKLEEGVYVHTSFEEVNGWGVVSKHGLVILVNTDAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNDLLKQNGKVQAKNSFSGVSYWLVKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPYGLGNLDDANVVAWPHSAEILMSRYGNAKLVVPSHSDIGDASLLKLTWEQAVKGLKESKKPSEPSN ->ARGMiner~~~CTX-M-99~~~ADL27532.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-99~~~ADL27532.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTESTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAERRRDVLASAARIIAEGL ->ARGMiner~~~VIM-30~~~AET05999.1~~~beta_lactam unknown +>ARGMiner~~~VIM-30~~~AET05999.1~~~beta_lactam~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~MexD~~~NP_598114~~~multidrug unknown +>ARGMiner~~~MexD~~~NP_598114~~~multidrug~~~unknown MSLFFIRRPNFAWVVALFISMAGLLAMPFLPVAQYPSVAPPQITISATYPGASAQVLTDSVTGVIEEELNGAKNLLYFESSSNANGIAEITVTFQPGTNPELAQVEVQNRLKKAEARMPQAVLTQGIQTEQASAGFLLIYALRYKDGVNHENTTALADYAVRNINPEIRRLPGVGKLQFFDSEAAMRVWINPQKLVGFGLSIDDVNNAIRGQNVQVPAGAFGSTPGTSEQELTATLAVKGTLDTPEEFGRIVLRANQDGSSVLLGDVARLEVGSQSYNFSSRQDGKPAVAAAVQLSPGANAIRTAEAVKQRLDELSASLPDNVEVSVPYDTSRFVDVAISKVITTLVEAMVLVFLVMFLFLQNVRYTLIPGIVVPVCLAGTLTFMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMADEGLPPVEATIKAMGQVSGAIIGITLVLSAVFLPLAFMAGSVGVIYQQFSLSLAVSIMFSGFLALTFTPALCATLLKPIPQGHHEKRGFFGGFNRVFGRLTQRYTALNSKLVPRAGRFMFIYLAIVVVMGFFYLRLPESFVPAEDQGYMIVDVQLPPGATRERTSETGAQLEEFLMSREAMGSSFLVLGFSFSGMGENAALAFPVLKDWSERNSDQSVEAETAAVNEHFANLDDGTIMAVPPPPIEGLGNSGGFALRLQDRGSLGREALLAARDELLGQVNGNPKFLYAMMEGLAEAPQLRLVIDREQARAQGVSFESISTALSTAFGSTVINDYSNAGRQQRVVVQAEQGERMTPESVLKLHVPNDSGGLVPLSAFVTTKWEEGPVQMSRYNGYPSIRITGDAAPGVSTGEAMAELERIIGELPAGIGYEWTGLSYQERVASGQALMLFALAIVVVFLLLVALYESWAIPLTVMLIVPIGALGSVLAVTSVGLSNDVYFKVGLITIIGLAAKNAILIVEFAKDLWEQGYSLRDAAIEAARIRFRPIIMTSMAFILGVVPLVIASGAGAASQRAIGTGVIGGMLSATLLGVIFVPIFFVWVLSLLRSTPHTPSTTDGPVARIKD ->ARGMiner~~~CARB-10~~~P30897~~~beta_lactam unknown +>ARGMiner~~~CARB-10~~~P30897~~~beta_lactam~~~unknown MNVRQHKASFFSVVITFLCLTLSLNANATDSVLEAVTNAETELGARIGLAAHDLETGKRWEHKSNERFPLSSTFKTLACANVLQRVDLGKERIDRVVRFSESNLVTYSPVTEKHVGKKGMSLAELCQATLSTSDNSAANFILQAIGGPKALTKFLRSIGDDTTRLDRWEPELNEAVPGDKRDTTTPIAMVTTLEKLLIDETLSIKSRQQLESWLKGNEVGDALFRKGVPSDWIVADRTGAGGYGSRAITAVMWPPNRKPIVAALYITETDASFEERNAVIAKIGEQIAKTVLMENSRN ->ARGMiner~~~APH(9)-Ia~~~AAB58447.1~~~aminoglycoside unknown +>ARGMiner~~~APH(9)-Ia~~~AAB58447.1~~~aminoglycoside~~~unknown MLKQPIQAQQLIELLKVHYGIDIHTAQFIQGGADTNAFAYQADSESKSYFIKLKYGYHDEINLSIIRLLHDSGIKEIIFPIHTLEAKLFQQLKHFKIIAYPFIHAPNGFTQNLTGKQWKQLGKVLRQIHETSVPISIQQQLRKEIYSPKWREIVRSFYNQIEFDNSDDKLTAAFKSFFNQNSAAIHRLVDTSEKLSKKIQPDLDKYVLCHSDIHAGNVLVGNEESIYIIDWDEPMLAPKERDLMFIGGGVGNVWNKPHEIQYFYEGYGEINVDKTILSYYRHERIVEDIAVYGQDLLSRNQNNQSRLESFKYFKEMFDPNNVVEIAFATEQ ->ARGMiner~~~tcmA~~~BAG21957~~~tetracenomycin unknown +>ARGMiner~~~tcmA~~~BAG21957~~~tetracenomycin~~~unknown MTSQTTVEKAPREPEDNAVPAPVKGLRGHPWLTLFAVAIGVMMVALDGTIVAIANPAIQKDLGATLADVQWITNGYLLALAVALITAGKLGDRFGHRQTFLIGIAGFAASSAAIGFSKEIGLVVLFRVLQGLFGALLMPAALGLLRATFPAEKLNMAIGIWGMVIGASTAGGPIVGGLLVEHVSWQSVFFINVPVGVIALVFGLVILKDHRAANAPRSFDILGIVLLSGAMFSLIWGIIKAGESWGWGDGRTWAWLGLAILLFVAFGLWQNKVKEPLVPLGMFRSVPLTAGVVLMMLMAFAFMGGLFFVTFFLQGVHGLSPVDSGLHLLPLTAMMIVSSPVAGLLITKFGPRVPLVGGMVCTATAMFGMTTLSESTGTFAMSLWFALLGCGLAPVMVGATEVIVGNAPMELSGVAGGLQQAAMQVGGALGTAVLGAVMSSKVSAEFADNWAGAGLPGAPDPKLEEAAEFGMVPAEQLAKAPGMTPDIIDRIGGVIHDTFMSGMGLAFTVAGIVAVIAAFVATLTKRGENAEAGAGVGHI ->ARGMiner~~~QnrB40~~~AEL31271.1~~~quinolone unknown +>ARGMiner~~~QnrB40~~~AEL31271.1~~~quinolone~~~unknown NTGIDMTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLRDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGTTFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~GES-9~~~AAY43207.1~~~beta_lactam unknown +>ARGMiner~~~GES-9~~~AAY43207.1~~~beta_lactam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGSRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~vanL~~~ABX54687.1~~~glycopeptide unknown +>ARGMiner~~~vanL~~~ABX54687.1~~~glycopeptide~~~unknown MMKLKKIAIIFGGQSSEYEVSLKSTVSVLETLSTCNFEIIKIGIDLGGKWYLTTSNNKDIEYDVWQTDPSLQEIIPCFNNRGFYNKTTNKYFRPDVLFPILHGGTGEDGTLQGVFELMNIPYVGCGVTPSAICMDKYLLHEFAQSVGVKSAPTLIIRTRNCKDEIDKFIEKNDFPIFVKPNEAGSSKGINKVNEPDKLEDALTEAFKYSKSVIIQKAIIGREIGCAVLGNEKLLVGECDEVSLNSDFFDYTEKYQMISAKVNIPASISVEFSNEMKKQAQLLYRLLGCSGLARIDFFLSDNNEILLNEINTLPGFTEHSRYPKMMEAVGVTYKEIITKLINLAEEKYYG ->ARGMiner~~~OXA-435~~~AJT57878.1~~~beta_lactam unknown +>ARGMiner~~~OXA-435~~~AJT57878.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLSGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~CTX-M-63~~~BAD90119.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-63~~~BAD90119.1~~~beta_lactam~~~unknown MRHRVKRMMLMTTACISLLLGSAPLYAQANDVQQKLAALEKSSGGRLGVALIDTADNAQTLYRADERFAMCSTSKVMAAAAVLKQSETQKNVLSQKVEIKSSDLINYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAHLGGPDKVTAFARAIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLRHLTLGSALGETQRAQLVTWLKGNTTGAASIQAGLPTSWVVGDKTGSGDYGTTNDIAVIWPEGRAPLILVTYFTQPEQKAENRRDVLAAAAKIVTDGY ->ARGMiner~~~FosB~~~BAC12781~~~fosfomycin unknown +>ARGMiner~~~FosB~~~BAC12781~~~fosfomycin~~~unknown MLFSVSNLEQSIDFYQQVFDAKLLVKGRSTAYFDLNGIWLALNEEKHIPRNEINESYTHTAFSIDESELESAIQHLKALNVNILEGRERAEQDKQSIYFTDPDGHKFEFHTGTLQERLKYYRDQKKHMTFY ->ARGMiner~~~mdtG~~~ZP_04618869~~~multidrug unknown +>ARGMiner~~~mdtG~~~ZP_04618869~~~multidrug~~~unknown MTPNPAPINWKRNLFVAWLGCFLTGAAFSLIMPFLPLYVEVLGVSGHQSLNMWSGLVFSITFLFSAIAAPFWGSLADRKGRKIMLLRSALGMGIVMILMGLAQNIWQFLALRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALIGPLIGGLLADSYGLRPVFFITGSVLFACFTMTWFYVREQFAPVLKKDMLNGRQVFNSLKKPKLILSLFVTTMIIQIATGSIAPILTLYVRELAGDIQNLAFVSGMIASVPGVAALMSAPRLGKLGDRIGPERILIAMLALSVLILIPMAFVQTPLQLGILRFLLGATDGALLPAVQTLLIYNCTNQVAGRIFSYNQSFRDIGNVSGPLLGAAVSASYGFRAVFCVTAVVVLFNALYSYRCLQRQPLKARCDAEQQ ->ARGMiner~~~TEM-107~~~AAM52215.1~~~beta_lactam unknown +>ARGMiner~~~TEM-107~~~AAM52215.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~oleI~~~ABA42118.2~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~oleI~~~ABA42118.2~~~macrolide-lincosamide-streptogramin~~~unknown MTSEHRSASVTPRHISFFNIPGHGHVNPSLGIVQELVARGHRVSYAITDEFAAQVKAAGATPVVYDSILPKESNPEESWPEDQESAMGLFLDEAVRVLPQLEDAYADDRPDLIVYDIASWPAPVLGRKWDIPFVQLSPTFVAYEGFEEDVPAVQDPTADRGEEAAAPAGTGDAEEGAEAEDGLVRFFTRLSAFLEEHGVDTPATEFLIAPNRCIVALPRTFQIKGDTVGDNYTFVGPTYGDRSHQGTWEGPGDGRPVLLIALGSAFTDHLDFYRTCLSAVDGLDWHVVLSVGRFVDPADLGEVPPNVEVHQWVPQLDILTKASAFITHAGMGSTMEALSNAVPMVAVPQIAEQTMNAERIVELGLGRHIPRDQVTAEKLREAVLAVASDPGVAERLAAVRQEIREAGGARAAADILEGILAEAG ->ARGMiner~~~Aac2I~~~YP_001068559~~~aminoglycoside unknown +>ARGMiner~~~Aac2I~~~YP_001068559~~~aminoglycoside~~~unknown MAGTPRWYNDGVLPQLSSEVRGHGVIHTARLVHTADLDNETREGARRMVSEAFRGDFTDDDWEHALGGMHALICSHGVLIAHAAVVQRRLLYRDAALRCGYIEAVAVREDCRGQGLGSAVMDACEQVLRGAYQLGALATSTMARPMYRARGWVPWRGPTSVLSPGGRISTPEDDGSVFVYPVGSALGSTDLDTTAELTCDWRHGDVW ->ARGMiner~~~arnA~~~Q0T2M8~~~polymyxin unknown +>ARGMiner~~~arnA~~~Q0T2M8~~~polymyxin~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERDIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEIFQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQMLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFLPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSCYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~AcrB~~~ZP_04635098~~~multidrug unknown +>ARGMiner~~~AcrB~~~ZP_04635098~~~multidrug~~~unknown MKLPVAQYPTIAPPAITISANYPGADATTVQNTVTQVIEQNMNGIDNLLYMSSSSDSSGNVQLTLTFNSGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVAGFISEDGTMQQEDIADYVGSNVKDPISRTLGVGDVQLFGSQYAMRIWMDPHKLNNFKLTPVDVINAIKVQNNQVAAGQLGGTPPVPGQELNSSIIAQTRLTNAEEFSQILLKVNTDGSQVRLKDVAIVKLGAESYNIIARYNGKPAAGIGIKLATGANALDTSAAVKAELAKLQPFFPNGLKVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMQEEGLPPKEATKKSMEQIQGALVGIAMVLSAVFIPMAFFGGATGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPITKGEHGPSTGFFGWFNRMFEKSTHHYTDSVANILRSTGRYLVIYLVIVIGMGVLFLRLPTSFLPEEDQGVFLTMVQMPAGATQERTQKVLNQVTDYYLDKEKDVVNSVFTVNGFGFSGQGQNTGLAFVSLKNWDERPGDQNKVPAIVGRASAAFSQIKDGLVFAFNLPAIVELGTATGFDFQLIDQGNLGHQKLTEARNQLLGMAAQHADMLVGMRPNGLEDTPQFKVEVDQEKAQALGVSISDINTTLGSAMGGSYVNDFIDRGRVKKVYVQADAPFRMLPDDIDKWYVRNSTGQMVSFNNFSTAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMDLMQELAAKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVESTLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSRKSEDVEHSHAVDHKAK ->ARGMiner~~~KsgA~~~A7FMC1~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~A7FMC1~~~kasugamycin~~~unknown MNNRVHQGHFARKRFGQNFLNDQFVIDSIVSAIHPVPGEAVVEIGPGLGALTEPVAARMDHMTVIELDRDLAARLASHPQLKDKLTIHQQDAMKVNFSELSEQAGQPLRVFGNLPYNISTPLMFHLFSYTDAIRDMHFMLQKEVVNRLVAGPNSKAYGRLTVMAQYYCNVIPVLEVPPTAFTPAPKVDSAVVRLIPHVQMPHPVGDVRMLSRITTQAFNQRRKTVRNSLGDLFTSEQLIELGIDPILRAENISVAQYCKLANWLSAQSTPQK ->ARGMiner~~~MdtL~~~B7NR12~~~multidrug unknown +>ARGMiner~~~MdtL~~~B7NR12~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIAILMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIIALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFIAPGRPVAAHEEIHHHA ->ARGMiner~~~QnrB68~~~AGL43629.1~~~quinolone unknown +>ARGMiner~~~QnrB68~~~AGL43629.1~~~quinolone~~~unknown MTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVMGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~ACT-37~~~AJG06172.1~~~beta_lactam unknown +>ARGMiner~~~ACT-37~~~AJG06172.1~~~beta_lactam~~~unknown MMKKSFCCALLLAISGAALAAPVSEKQLAEVVANTVTPLMKAQAIPGMAVAVIYQGKPHYYTFGEADIAAKKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVIKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPEEVTDNASLLRFYQHWQPQWKPGTTRLYANASIGLFGALAVKPSGMRYEQAMTKRVFKPLRLNHTWINVPKAEAAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEAKTVIEGSDNKVALAPLPVAEVNPPVPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILDALQ ->ARGMiner~~~AAC(2')-Ib~~~AAC44793.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(2')-Ib~~~AAC44793.1~~~aminoglycoside~~~unknown MPFQDVSAPVRGGILHTARLVHTSDLDQETREGARRMVIEAFEGDFSDADWEHALGGMHAFICHHGALIAHAAVVQRRLLYRDTALRCGYVEAVAVREDWRGQGLATAVMDAVEQVLRGAYQLGALSASDTARGMYLSRGWLPWQGPTSVLQPAGVTRTPEDDEGLFVLPVGLPAGMELDTTAEITCDWRDGDVW ->ARGMiner~~~VatB~~~YP_001785579~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~VatB~~~YP_001785579~~~macrolide-lincosamide-streptogramin~~~unknown MNKFGPNPNSIYPNENINSVCYIKNVIKNPNIQVGDYTYYDDINGAEKFEEHVTHHYEFIGDKLIIGKFCAIAKGIEFVMNGANHRMKSITTYPFNIMGGGWEKAMPTLEDLPLKGDTVVDNDVWIGQNVTVMPGVHIGDGSIIAANSVVAKDVPPYHIAGGNPCKIIKKRFDDELIDYLLNLKWWNWSEEKIFKNLEVLCSPDLDKIKSIK ->ARGMiner~~~pbp2~~~ZP_03837173~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~ZP_03837173~~~beta_lactam~~~unknown MTFRDFEAEEKLFQRRVIVAFGLVVICFGILIYNLYNLQICQHQYYTTRSNANDIKMLPVAPTRGMIYDRNGIPLVRNVTWYDISVTPYKIKDMDALIGQLTPIVDLTPDDIDQFRHELKSSSRYRPVVLKNALTDVEIARFSVNQFHFSGVNINSYEDRQYPYGAALAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENELHGKTGYQEVEVDNHGRIVRLIKDVPPVAGKDIHLTLDLHLQQYIESLLAGQRAAVLVEDPHDGSVLAMVSTPSYDPNPFVKGISYQDYNKLLQDKDLPLINRVTQGLYPPASTVKPYMAMSALLDGVITPQTSFFGAPTWTLPGTERHYRDWKKSGHGMLDVTKAIEESADTFFYQVAYMMGIDRINSMLSQFGYGKPTGIDLDEEYNGLLPSREWKQKVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIVPHLLLDEESGKTHTPYQAPEVPSQIASASSPYWGLVRQAMFGMANEPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAVALILENGGSDGVTAAPVMRQIMDHLFVPQDNAAQTETTEQAGPIATAPPDAGIKNTYASIR ->ARGMiner~~~OKP-A-9~~~CAJ19607.1~~~beta_lactam unknown +>ARGMiner~~~OKP-A-9~~~CAJ19607.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPPPLEQITRSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKALLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHALSDRSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATMAERNQQIAKIGAALIEHWQR ->ARGMiner~~~smeF~~~CAG34251~~~multidrug unknown +>ARGMiner~~~smeF~~~CAG34251~~~multidrug~~~unknown MEVIPMKSASLFLSIAAALALAGCSTLVPKNTAVAPAIPAQWPAEATQGQVADVAAVGWRDFFTDARLQQVIDQSLQNNRDLRVAVLNVERARGQYRVQRADRVPGVAVTGQMDRRGTDAGVTEQFTAGVGVAEFELDLFGRVRNLSEAALQQYFAVAANRRNAQLSLVAETATAWLTYGADAQRLKIADATLKTYEDSLRLAEARHERGGSSALELTQTRTLVETARTDAARLRGQLAQDRNALALLAGGQLDPALLPDSIEPQLLALAPPPAGLPSDVLLQRPDIMAAEHQLLAANANIGAARAAFFPSISLTGSIGSGSSELSNLFDSGTRVWSFLPKITLPIFQGGKLRANLAIANADRDIALAQYEKSIQVGFRETADALALNVSLDEQVSSQQRLVEAAEQANRLSQARYDAGLDSFVTLLDARRTAYNAQQTQLQAQLAQQANRITLYKVLGGGWHERG ->ARGMiner~~~mdtl~~~A0A023Z4Y1~~~multidrug multi-drug efflux pumps +>ARGMiner~~~mdtl~~~A0A023Z4Y1~~~multidrug~~~multi-drug efflux pumps MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGVGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAIMGIAVLMLSLFILKETRPAAPAASDKSRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLTVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~PmrA~~~YP_001694417~~~quinolone unknown +>ARGMiner~~~PmrA~~~YP_001694417~~~quinolone~~~unknown MTEINWKDNLRIAWFGNFLTGASISLVVPFMPIFVENLGVGSQQVAFYAGLAISVSAISAALFSPIWGILADKYGRKPMMIRAGLAMTITMGGLAFVPNIYWLIFLRLLNGVFAGFVPNATALIASQVPKEKSGSALGTLSTGVVAGTLTGPFIGGFIAELFGIRTVFLLVGSFLFLAAILTICFIKEDFQPVAKEKAIPTKELFTSVKYPYLLLNLFLTSFVIQFSAQSIGPILALYVRDLGQTENLLFVSGLIVSSMGFSSIMSAGVMGKLGDKVGNHRLLVVAQFYSVIIYLLCANASSPLQLGLYRFLFGLGTGALIPGVNALLSKMTPKAGISRVFAFNQVFFYLGGVVGPMAGSAVAGQFGYHAVFYATSLCVAFSCLFNLIQFRTLLKVKEI ->ARGMiner~~~BacA~~~ZP_03930663~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_03930663~~~bacitracin~~~unknown MIVDFFKVLILSLVEGVTEFLPVSSTGHLILVNQFVKMEGETFSNAFNVIIQLGAILSVVVIYFKRLNPWDREKTSKYFPKNYDKLNGQSRAYYRLTHPDRKTIELWKRVIVGVLPAMVLGLLFDDFIDEHLFKPMVVAAMLLVWGLVIIFVEKKNKRENRVKHDNIAMVSYKTILAIGFFQTLAMIPGTSRSAATIMGAMILGLSRSAAAEFSFFLAIPTMLGATLLKVVKNFSGFTGYQWVLILVGMLLSFLVAYFVIKKFMAYIRNNDFIPFGIYRIILSVLVLVYFLIF ->ARGMiner~~~SHV-30~~~AAT75225.1~~~beta_lactam unknown +>ARGMiner~~~SHV-30~~~AAT75225.1~~~beta_lactam~~~unknown MRYFRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGSVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~AcrB~~~ZP_04612532~~~multidrug unknown +>ARGMiner~~~AcrB~~~ZP_04612532~~~multidrug~~~unknown MAKFFIDRPIFAWVIAIILMLAGALAIMKLPIAQYPTIAPPAISISANYPGADATTVQNTVTQVIEQNMNGIDNLLYMSSSSDSSGNVQLTLTFNSGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVAGFISEDGTMLQEDIADYVGSNVKDPISRTAGVGDVQLFGSQYAMRIWMDPHKLNNFGLTPVDVINAIKVQNNQVAAGQLGGTPPVPGQELNSSIIAQTRLTNAEEFSQIMLKVNTDGSQVRLKDVAIVQLGAENYNIIARYNGKPAAGIGIKLATGANALNTSAAVKAELAKLQPFFPAGLKVVYPYDTTPFVKISINEVVKTLIEAIILVFIVMYLFLQNFRATLIPTIAVPVVLLGTFAILSALGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMQEEGLPPKEATKKSMEQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSVTIVSAMVLSVLVALILTPALCATMLKPIAKGEHGPKTGFFGWFNRMFEKSTDHYTDSVGNILRSTGRYLVIYLAIVIGMGVLFLRLPTSFLPEEDQGVFLTMVQLPAGATQERTQKVLNQVTDYYLDKEKDVVNSVFTVNGFGFSGQGQNTGLAFVSLKNWDERPGDQNKVPAIVGRASAAFSKIKDGLVYAFNLPAIVELGTASGFDFQLIDQGNLGHQKLTEARNQLLGMAAQHPDLLVGLRPNGLEDTPQFKVEVDQEKAQALGVAISDINTTLGSAMGGSYVNDFIDRGRVKKVYVQADAPFRMLPDDIDKWYVRNSSGQMVSFATFSTAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMDMMQELVSKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVESTLEAVRMRLRPILMTSLAFILGVLPLVVSSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSSKSEDLEHPHAVDPKVK ->ARGMiner~~~OXA-205~~~AEO92079.1~~~beta_lactam unknown +>ARGMiner~~~OXA-205~~~AEO92079.1~~~beta_lactam~~~unknown MAIRFLTILLSTFFLTSFVHAQEHVVVRSDWKKFFSDLQAEGAIVIADERQAEHALLVFGQERAAKRYSPASTFKLPHTLFALDAGAVRDEFQVFRWDGVKRSFAGHNQDQDLRSAMRNSAVWVYELFAKEIGEDNARRYLKQIDYGNADPSTIKGNYWIDGNLEISAHEQISFLRKLYRNQLPFQVEHQRLVKYLMITEAGRNWILRAKTGWEGRFGWWIGWVEWPTGPVFFALNIDTPNRTDDLFKREAIARAILRSIDALPPN ->ARGMiner~~~mdtG~~~ZP_04623137~~~multidrug unknown +>ARGMiner~~~mdtG~~~ZP_04623137~~~multidrug~~~unknown MTSVPQPVNWKRNLFVTWLGCFLTGAAFSLIMPFLPLYVEQLGVSGHQSLNMWSGLVFSITFLFSAIAAPFWGSLADRKGRKIMLLRSALGMGIVMVLMGMAQNIWQFLALRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALIGPLIGGLLADNYGLRPVFFITAGVLFACFAMTWLYVREQFAPVLKKDMLNGRQVFNSLKNPKLILSLFVTTMIIQIATGSIAPILTLYVRELAGDIHNLAFVSGMIASVPGVAALISAPRLGKLGDKIGPERILIAMLALSILILIPMAFVQTPMQLGILRFLLGATDGALLPAVQTLLIYNCTNQVAGRIFSYNQSFRDVGNVSGPLLGAAVSASYGFRAVFCVTAVVVLFNALYSYWCLQRQPLKAQRREVQQQQDH ->ARGMiner~~~OXA-67~~~ABF50983.1~~~beta_lactam unknown +>ARGMiner~~~OXA-67~~~ABF50983.1~~~beta_lactam~~~unknown MNIKALLLITSTIFISACSPYIVTANPNHSTSKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEIFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSLKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~ACT-32~~~CAC08444~~~beta_lactam unknown +>ARGMiner~~~ACT-32~~~CAC08444~~~beta_lactam~~~unknown MMKKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDPLPVVEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILEALQ ->ARGMiner~~~mdtF~~~AAC76539.1~~~multidrug unknown +>ARGMiner~~~mdtF~~~AAC76539.1~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~QnrB3~~~ABC17629.1~~~quinolone unknown +>ARGMiner~~~QnrB3~~~ABC17629.1~~~quinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCKFSRAMLKDAIFKSCDLSMADFRNSSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~BacA~~~BAH17153~~~bacitracin unknown +>ARGMiner~~~BacA~~~BAH17153~~~bacitracin~~~unknown MTFFELVKALILGIVEGLTEFAPVSSTGHQILVDDMWLQTKYVLNSQESANTFKIVIQLGSIFAAAWIFRHRFLEVLHIEKTKTEGPRLNLLHIFIGLIPAGIMGLLFDDFIDKHLFSVPTVLIGLALGALLMIAADLFNKKVTHTTTVDEMTYKQALIIGVAQCLALWPGFSRSGSTISAGVLLKMNHKAASDFTFIMAVPIMFAASAKSLASNIQYIHSDQILFYIVGFIAAFIFGVLSIRLFLSLINRVKLMPFAIYRLILVAVIAVLYFGFGIGKGI ->ARGMiner~~~acrB~~~ZP_02902384~~~multidrug unknown +>ARGMiner~~~acrB~~~ZP_02902384~~~multidrug~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKYQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFDKSTHHYTDSVGGILRSTGRYLALYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTDYYLTKEKKNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGDENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLGEAAKHPDLLTSVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPEDIGDWYVRAANGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMALMEELASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDLEHNHTVNHH ->ARGMiner~~~KsgA~~~ZP_02902942~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~ZP_02902942~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTFNFGELAEKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHTTMPYPVKDIRVLSRITTEAFNQRRKTIRNSLGNLFSAEVLTEMGIDPAMRAENISVAQYCQMANYLAENAPLQES ->ARGMiner~~~MOX-1~~~BAA02563.2~~~beta_lactam unknown +>ARGMiner~~~MOX-1~~~BAA02563.2~~~beta_lactam~~~unknown MQQRQSILWGAVATLMWAGLAHAGEASPVDPLRPVVDASIQPLLKEHRIPGMAVAVLKDGKAHYFNYGVANRESGASVSEQTLFEIGSVSKTLTATLGAYAVVKGAMQLDDKASRHAPWLKGSVFDSITMGELATYSAGGLPLQFPEEVDSSEKMRAYYRQWAPVYSPGSHRQYSNPSIGLFGHLAASSLKQPFAQLMEQTLLPGLGMHHTYVNVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANIGGVDDKALQQAISLTHKGHYSVGGMTQGLGWESYAYPVTEQTLLAGNSAKVILEANPTAAPRESGSQVLFNKTGSSNGFGAYVAFVPARGIGIVMLANRNYPIPARVKAAHAILAQLAG ->ARGMiner~~~BacA~~~YP_236080~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_236080~~~bacitracin~~~unknown MDLWTAAQALILGVVEGLTEFLPISSTGHQIIVADLIDFGGERAMAFNIIIQLGAILAVVWEFRRKILDVVVGLPKQQQAQRFTLNLLIAFMPAVVLGVIFADTIHHYLFNAITVATALVIGGVIMLWAERREHTVRTETVDDMSWSDALKIGLVQCLAMIPGTSRSGSTIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRDMFRPDDFAVFAIGFVTSFIFAMIAVRGLLKFIATHSYAVFAWYRIAFGLLILATWQFGWIDWASAKA ->ARGMiner~~~smeE~~~YP_002706077~~~multidrug unknown +>ARGMiner~~~smeE~~~YP_002706077~~~multidrug~~~unknown MARFFIDRPIFAWVIAIIIMLAGGLALFKLPVSMYPNVAPPAVEISATYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIAFVSENGSMDANDIADYVGSNVVDRLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYGLSVAEVTAAVKAQNAQVAIGQLGGAPSVKGQQLNATINAQSRLQTPEQFRNIIVRGAQDGAELRLGDVARVELGAESYDFVTRYNGQPASGLAVTLATGANALDTAAGVDAALQDMKSFFPAGLKAEIPYDTTPFVRVSIKGVVQTLIEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAMLGFSVNMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHKGWSGRFFNGFNHGFDRTSESYQRGVRGIIHRPWRFMGIVAALFVLMGVLFVRLPSSFLPNEDQGVLMALVQAPVGATQERTLESITALENHFLQNEKDAVESVFSVQGFSFAGMGQNAGMAFVKLKDWSERDADNGVMPITGRAMAALGQIKDAFIFAFPPPAIPELGTASGYTFFLKDNSGQGHEALVAARNQLLGLAAGSKKLANVRPNGQEDTPQFRIDIDAAKATSLGLSIDQINGTLAAAWGSSYIDDFVDRGRVKRVFVQADQPFRMVPEDFDLWSVKNDKGEMVPFSAFATKHWDYGSPRLERYNGVSAMEIQGEPAPGVASGDAMAEIEQLAKQLPAGFGIEWTAMSYQERQAGSQTPLLYTLSLMIVFLCLAAMYESWSVPTAVLLAAPLGILGAVLANTFKGLERDIYFQVAMLTTVGLTSKNAILIVEFAKENLEKGASLIESIMHAVRDRLRPIVMTSLAFGMGVVPLAISTGAGSGAKQAIGTGVLGGMIVGTVLGVFFVPLFFVVVQRVFKRKSAT ->ARGMiner~~~OXA-348~~~AGW83446.1~~~beta_lactam unknown +>ARGMiner~~~OXA-348~~~AGW83446.1~~~beta_lactam~~~unknown MYKKALIVATSILFLSACSSNMVKQHQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTDYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPDWEKDMTLSDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDDFWLVGPLKITPQQETQFAYQLAHKTLPFSKNVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~EmeA~~~AAY21388~~~multidrug unknown +>ARGMiner~~~EmeA~~~AAY21388~~~multidrug~~~unknown MTKKNSMMYLAISNLFLVFLGVGLVIPVIPQLKEEMHFSGTTMGMMISIFAIAQLITSPIAGVLSDKIGRKKMIATGMLVFSISELLFGLAQAKSGFYISRGLGGIAPALLMPSVTAFVADMTTISERPKAMGLVSAAISGGFIIGPGVGGFIAYLGIRAPFFAAAFLAFIGFILTLTVLKEPEKRILAAVEAKKGSFMDILRNPMFTSLFVIILISSFGLQAFESIYSIMATINFGFTTSEIAIVITVSGILALICQLFFFDAIVQKIGEMGLIQLTFFASAIFIAVIAFTKNNLVVVFSTFIVFLAFDLFRPAVTTYLSKHAGDQQGTINGLNSTFTSFGNILGPMAAGALFDINHFFPYYVSAVILLGTGFLSLFLNRNKM ->ARGMiner~~~vatA~~~AAA26683.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~vatA~~~AAA26683.1~~~macrolide-lincosamide-streptogramin~~~unknown MNLNNDHGPDPENILPIKGNRNLQFIKPTITNENILVGEYSYYDSKRGESFEDQVLYHYEVIGDKLIIGRFCSIGPGTTFIMNGANHRMDGSTYPFHLFRMGWEKYMPSLKDLPLKGDIEIGNDVWIGRDVTIMPGVKIGDGAIIAAEAVVTKNVAPYSIVGGNPLKFIRKRFSDGVIEEWLALQWWNLDMKIINENLPFIINGDIEMLKRKRKLLDDT ->ARGMiner~~~pp-flo~~~BAA07072.1~~~multidrug unknown +>ARGMiner~~~pp-flo~~~BAA07072.1~~~multidrug~~~unknown MTTLHPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSVLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCGRVGWRCLFAAVLLGIGELYGSLNSSPSSYRCGLSRSVLSSRCPLPRTALLAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVIC ->ARGMiner~~~CTX-M-121~~~AFA51699.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-121~~~AFA51699.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPITEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~Erm(33)~~~NP_899170.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~Erm(33)~~~NP_899170.1~~~macrolide-lincosamide-streptogramin~~~unknown MNKKNIKDSQNFITSKRNIDKIMTNISLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYNIFGNIPYNISTDIVKRITFESQAKYSYLIVEKGFAKRLQNLQRALGLLLMVEMDIKMLKKVPPLYFHPKPSVDSVLIVLERHQPLISKKDYKKYRSFVYKWVNREYRVLFTKNQFRQALKHANVTNINKLSKEQFLSIFNSYKLFH ->ARGMiner~~~BacA~~~YP_001696597~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_001696597~~~bacitracin~~~unknown MEIIELLKALILGFVEGMTEFAPVSSTGHMIIVDDMWLKTEEFLGKYPANTFKIVVQLGSILAVIVVMWKRMLSLVGLYKIDGQSQSINRRFNLLHVIVGMLPAVVLGFAFKDFIDDHLFKVEHVVYALVAGAILMIAADKLAPRKPKVDSLDKISYGLAFKVGLVQCLSLWPGFSRSGATISGGVLFGMSHRVAADFTFIMAVPIMAGASLVSVLKNWDTLSMDYFGFYAVGFISSFIFALLSIKFFLALISKVKLMPFAIYRLVLAAVLCVIIFM ->ARGMiner~~~APH(6)-Ib~~~CAA29136.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Ib~~~CAA29136.1~~~aminoglycoside~~~unknown MSTSKLVEIPEPLAASYARAFGEEGQAWIAALPALVEELLDRWELTADGASASGEASLVLPVLRTDGTRAVLKLQLPREETSAAITGLRTWNGHGVVRLLDHDPRSSTMLLERLDASRTLASVEDDDAAMGVLAGLLARLVSVPAPRGLRGLGDIAGAMLEEVPRAVAALADPADRRLLNDWASAVAELVGEPGDRMLHWDLHYGNVLAAEREPWLAIDPEPLAGDPGFDLWPALDSRWDDIVAQRDVVRVVRRRFDLLTEVLGLDRARAAGWTYGRLLQNALWDIEDGSAALDPAAVTLAQALRGH ->ARGMiner~~~QnrB55~~~AHE41343.1~~~quinolone unknown +>ARGMiner~~~QnrB55~~~AHE41343.1~~~quinolone~~~unknown MTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQLYDRESQKGCNFSRANLKDAIFKSCDLSMADFRNINALGIEIRHCRAQGSDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSSFDWRAANVTHCDLTNSELGDLDIRGVDLQGVKLDSYQASLLLERLGIAVMG ->ARGMiner~~~APH(3')-Vb~~~AAC32025.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Vb~~~AAC32025.1~~~aminoglycoside~~~unknown MESTLRRTYPHHTWHLVNEGDSGAFVYRLTGHGPELYAKIAPRTPENSAFHLDGEADRLDWLARHGISVPRVVERGADDTTAWLVTEAVPGAAASEEWPEDERAAVVDAIAEMARTLHELPVSECPFDRRLDVTGEARHNVREGLVDLDDLQEEPAGWTGDQLLAELDLTRPEKEDLVVCHGDLCPNNVLLDPETHRITGLIDVGRLRLATCHADLALAARELAIDEDPWFGPAYAERFLERYGAHHVDQEKMAFYQLLDEFF ->ARGMiner~~~CMY-39~~~BAF95726.1~~~beta_lactam unknown +>ARGMiner~~~CMY-39~~~BAF95726.1~~~beta_lactam~~~unknown MMKKSLCCALLLTAPLSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADITNNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWIKVPQSEQKDYAWGYREGKAVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~MacB~~~ACB19549~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~ACB19549~~~macrolide-lincosamide-streptogramin~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDYGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~imiH~~~CAD69003.1~~~beta_lactam unknown +>ARGMiner~~~imiH~~~CAD69003.1~~~beta_lactam~~~unknown MMKGWMKCGLAGAVVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYVQENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRQPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDQLVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAAPQS ->ARGMiner~~~AAC(6')-Iaf~~~BAH66386.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iaf~~~BAH66386.1~~~aminoglycoside~~~unknown MDYSICDIAESNELILEAAKILKKSFLDVGNESWGDIKKAIEEVEECIEHPNICLGICLDDKLIGWTGLRPMYDKTWELHPMVIKTEYQGKDFGKVLLRELETRAKGRGIIGIALGTDDEYQKTSLSMIDINERNIFDEIENIKNINNHPYEFYKKCGYMIVGIIPNANGKRKPDIWMWKDIS ->ARGMiner~~~QnrA1~~~ABI50486.1~~~quinolone unknown +>ARGMiner~~~QnrA1~~~ABI50486.1~~~quinolone~~~unknown MDIIDKVFQQEDFSRQDLSDSRFRRCRFYQCDFSHCQLQDASFEDCSFIESGAVEGCHFSYADLRDASFKACRLSLANFSGANCFGIEFRECDLKGANFSRARFYNQVSHKMYFCSAYISGCNLAYTNLSGQCLEKCELFENNWSNANLSGASLMGSDLSRGTFSRDCWQQVNLRGCDLTFADLDGLDPRRVNLEGVKICAWQQEQLLEPLGVIVLPD ->ARGMiner~~~SHV-119~~~AIG51284.1~~~beta_lactam unknown +>ARGMiner~~~SHV-119~~~AIG51284.1~~~beta_lactam~~~unknown MRHIRLCIISLLATLPLAVQASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~PC1~~~ZP_03614478~~~beta_lactam unknown +>ARGMiner~~~PC1~~~ZP_03614478~~~beta_lactam~~~unknown MKKLIFLIAIALVLSACNSNSPHAKELNDLEKKYNAHIGVYALDTKSGKEVKFNSDKRFAYASTSKAINSAILLEQVPYNKLNKKIHINKDDIVAYSPILEKYVGKDITLKELIEASMTYSDNTANNKIIKEIGGIKKVKQRLKELGDKVTNPVRYEIELNYYSPKSKKDTSTPAAFGKTLNKLIANGKLSKENKKFLLDLMLNNKSGDTLIKDGVSKDYKVADKSGQAITYASRNDVAFVYPKGQSEPIVLVIFTNKDNKSDKPNDKLISETAKSVMKEF ->ARGMiner~~~OXA-356~~~AGW83454.1~~~beta_lactam unknown +>ARGMiner~~~OXA-356~~~AGW83454.1~~~beta_lactam~~~unknown MKFKMKGLFCVILSSLAFSGCVYDSKLQRPVISERETEIPLLFNQAQTQAVFVTYDGIHLKSYGNDLSRAKTEYIPASTFKMLNALIGLQNAKATNTEIFHWNGEKRAFSAWEKDMTLAEAMQASAVPVYQELARRIGLELMREEVKRVGFGNAEIGQQVDNFWLVGPLKISPEQEVQFAYQLAMKQLPFDRNVQQQVKDMLYIERRGDSKLYAKSGWGMDVEPQVGWYTGWVEQPNGKVTAFALNMNMQAGDDPAERKQLTLSILDKLGLFFYLR ->ARGMiner~~~SHV-42~~~AAN04884.1~~~beta_lactam unknown +>ARGMiner~~~SHV-42~~~AAN04884.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHSSPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITVSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~TEM-43~~~AAC32889.2~~~beta_lactam unknown +>ARGMiner~~~TEM-43~~~AAC32889.2~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDHWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~CMY-59~~~YP_002847014~~~beta_lactam unknown +>ARGMiner~~~CMY-59~~~YP_002847014~~~beta_lactam~~~unknown MSTRCKSNTLIASDGPGHPFAFNYGTDFMMKKSLCCALLLTASFSTFASAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSNVTDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-102~~~AHA80103.1~~~beta_lactam unknown +>ARGMiner~~~CMY-102~~~AHA80103.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNCTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~OXA-174~~~ADI58618.1~~~beta_lactam unknown +>ARGMiner~~~OXA-174~~~ADI58618.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEKLGIL ->ARGMiner~~~BacA~~~YP_698526~~~bacitracin unknown +>ARGMiner~~~BacA~~~YP_698526~~~bacitracin~~~unknown MGIDFLFILKALIIAIVEGLTEFVPVSSTGHMILVGDLIHFNTQSGGFPEMYEVVIQLGAILAVVVLYWRKISSSVVEFLSYIFSFIGLKASGDKRKYEKRLAESKTGFRFGINVIIGTIPAAILGLLFHDEIKEYLFSTKTVAIGFIVGGILLIVIENNFRKRAKRSKIVKDIDKMTYGQSLLVGCFQCLSLWPGMSRSASTIMGGWISGLSTTVATEFTFFLAIPAMVGASGLDLFKFDYSQMNATNLISLILGFIVAFIVSLVVIDKFINYLKKKPMRIFAIYRVFAGIVLAILIFTKVIS ->ARGMiner~~~APH(6)-Ia~~~CAH94334~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Ia~~~CAH94334~~~aminoglycoside~~~unknown MNHCITQGRRGFADGLRGARRRSRGGRPIPCVRKFPMSSSDHIHVPDGLAESYSRSGGEEGRAWIAGLPALVARCVDRWELKRDGGVRSGEASLVVPVLRADGTRAALKLQMPREETTAALIGLRAWGGDGMVRLLDHDEESSTMLLERLDGSRTLASVEDDDEAMGVLAGLLNRLHSVPAPPGLRGLGEIAGAMVEEVPSAVDSLADPEDRSRLRGWASAVAELVGEPGDRVLHWDLHYENVLAAEREPWLAIDPEPLVGDPGFDLWPALDTGWERIEATGDARRVVRRRFDLLTEALELDRGRAAGWTLARLLQNTLWDIEDGLTAIAPSQIAVAEALAKP ->ARGMiner~~~CTX-M-159~~~AJO16046.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-159~~~AJO16046.1~~~beta_lactam~~~unknown MMRKSVRRAMLMTTACVSLLLASVPLCAQANDVQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAVAAVLKQSETQKGLLSQRVEIKPSDLVNYNPIAEKHVNGTMTFGELSAAALQYSDNTAMNKLIAHLGGPDKVTAFARTIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQALRNLTLGNALGDTQRAQLVMWLKGNTTGAASIQAGLPTSWVVGDKTGSGGYGTTNDIAVIWPEGRAPLVLVTYFTQSEPKAESRRDVLAAAARIVTDGY ->ARGMiner~~~ACT-20~~~AHA80105.1~~~beta_lactam unknown +>ARGMiner~~~ACT-20~~~AHA80105.1~~~beta_lactam~~~unknown MMKKSLCCALLLGLSCSALAAPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKSHYYTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILEALQ ->ARGMiner~~~vanXYN~~~AEP40501.1~~~glycopeptide unknown +>ARGMiner~~~vanXYN~~~AEP40501.1~~~glycopeptide~~~unknown MHNFYLQLVNQQHPWKSFNHSPQLVQATYAEEKILIDSKVNHQFNQLLETLQLTDRIMIVDGHRTVAEQKHLWNYSLNAHGVNYTKSYVASPGCSEHHTGLAIDLGLRKTEHDLIAPRFEGPEAELFLQHMKDYGFILRYPKNKQKITGIAYEPWHFRYVGTPHSQIIMDHGWTLEEYIEFLKHQIEAVS ->ARGMiner~~~OKP-B-1~~~CAG25813.2~~~beta_lactam unknown +>ARGMiner~~~OKP-B-1~~~CAG25813.2~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISEGQLAGRVGYVEMDLASGRMLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CMY-22~~~ABB72431.1~~~beta_lactam unknown +>ARGMiner~~~CMY-22~~~ABB72431.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAYWRILEKLQ ->ARGMiner~~~cmeR~~~YP_002343805.1~~~multidrug unknown +>ARGMiner~~~cmeR~~~YP_002343805.1~~~multidrug~~~unknown MNSNRTPSQKVLARQEKIKAVALELFLTKGYQETSLSDIIKLSGGSYSNIYDGFKSKEGLFFEILDDICKKHFHLIYSKTQEIENGTLKEILTSFGLAFIEIFNQPEAVAFGKIIYSQVYDKDRHLANWIENNQQNFSYNILMGFFKQQNNSYMKKNAEKLAVLFCTMLKEPYHHLNVLINAPLKNKKEQKEHVEFVVNVFLNGINSSKA ->ARGMiner~~~CTX-M-46~~~AAV97956.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-46~~~AAV97956.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTNAVQQKLAALEKSSGGRLGVPLIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~SHV-145~~~AFN88952.1~~~beta_lactam unknown +>ARGMiner~~~SHV-145~~~AFN88952.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGERCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-138~~~ACD45467.1~~~beta_lactam unknown +>ARGMiner~~~OXA-138~~~ACD45467.1~~~beta_lactam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~tcmA~~~YP_002196817~~~tetracenomycin unknown +>ARGMiner~~~tcmA~~~YP_002196817~~~tetracenomycin~~~unknown MTSQTTVEKAPRDPQDSAPAPVKGLRGHPWLTLFSVAIGVMMVALDGTIVAIANPAIQKDLGASLADVQWITNGYLLALAVALITAGKLGDRFGHRQTFIIGIAGFAAASAAIGLSDSIVLVIAFRVLQGLFGALLMPAALGLLRATFPAEKLNMAIGIWGMVIGASTAGGPILGGVLVEHVSWQSVFFINVPVGILAVVLGLVILKDHRAENAPKSFDILGILLLSGAMFSLIWPLIKAGEWGWGSAGTLGWLAGSVVLFGLFAFWESKVDEPLVPLAMFRSVPLSAGVVLMVLMAFAFMGGLFFVTFFLQGVQEMSPVDSGLRLLPLTGMMIVSSPLAGALITKFGPRLPLVGGMVCTAAAMFGMTTLSEGTGTFAMSLWFALLGLGLAPVMVGATEVIVGNAPMELSGVAGGLQQAAMQVGGALGTAVLGAVMSAKVSAEFADNWKAAGIPAPPDPRLEQAAEFGTVPGELAQAPGMTPELVTTIGDVIHDTFMSGMGLAFTVAGIVAVVAALVAVLTKRGENAEAGAGAAHI ->ARGMiner~~~ErmS~~~AAA26742.1~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmS~~~AAA26742.1~~~macrolide-lincosamide-streptogramin~~~unknown MARAPRSPHPARSRETSRAHPPYGTRADRAPGRGRDRDRSPDSPGNTSSRDGGRSPDRARRELSQNFLARRAVAERVARLVRPAPGGLLLEVGAGRGVLTEALAPYCGRLVAHEIDPRLLPALRDRFGGPHHAHVRISGGDFLAAPVPREPFALAGNIPYSRTAGIVDWALRARTLTSATFVTQLEYARKRTGDYGRWSLLTVRTWPRHEWRLLGRVSRREFRPVPRVDSGILRIERRERPLLPSAALGDYHRMVELGFSGVGGSLYASLRRAHRAGPLDAAFRAARLDRSVVVAYVTPEQWLTVFRTLRPVRSRPAGR ->ARGMiner~~~BacA~~~ZP_01172287~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_01172287~~~bacitracin~~~unknown MMKEMIVALILGIVEGLTEFAPVSSTGHMIIVDDILLKSKELFSKEVANTFKVVIQLGSILAVVIVFKDRFIQLLGLGKGGKEQSDGPRLKLTQVIAGLLPAAVLGLLFEDYIDKYLFSVNTVVIGLVAGALLMIAADYYSKRKPAIETVDKITYRQAILIGLFQCIALWPGFSRSGSTISGGVLLGLSHRAASDFTFIMAVPVMLGASGLSLLKNWEYFTPDAIPFFIVGFISAFVFALASIRFFLALINRIKLVPFAIYRIILAAVIYFVFL ->ARGMiner~~~IMP-48~~~AIT76110.1~~~beta_lactam unknown +>ARGMiner~~~IMP-48~~~AIT76110.1~~~beta_lactam~~~unknown MKKLFVLCVFFFCNIAVAEESLPDLKIEKLEEGVYVHTSFEEVKGWSVVTKHGLVVLVKNDAYLIDTPTTAKDTEKLVNWFVERGYKIKGSISTHFHGDSTAGIEWLNSQSIPTYASELTNELLKKDNKVQAKHSFNGVSYSLIKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGYLGDANLEAWPKSAKILMSKYGKAKLVVSSHSDIGDVSLLKRTWEQAVKGLNESKKSSQPSD ->ARGMiner~~~CMY-20~~~AAX58682.2~~~beta_lactam unknown +>ARGMiner~~~CMY-20~~~AAX58682.2~~~beta_lactam~~~unknown MKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDNKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~mdtb~~~AAC75136.1~~~multidrug multi-drug efflux pumps +>ARGMiner~~~mdtb~~~AAC75136.1~~~multidrug~~~multi-drug efflux pumps MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALLIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPREAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~BacA~~~ZP_04173668~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04173668~~~bacitracin~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFEDDRAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGILGVLFHSTIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSSADITLFATGFITAFVVALLAIVSFLKLLARVKLTPFAYYRFVLAAVFYFFIM ->ARGMiner~~~pbp2~~~CAR59037~~~beta_lactam unknown +>ARGMiner~~~pbp2~~~CAR59037~~~beta_lactam~~~unknown MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDIADFRRALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPTPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLSEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTPAQIADPASPYWGLVRQAMYGMANAPNRTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQSGQAP ->ARGMiner~~~MdtL~~~ACI75321~~~multidrug unknown +>ARGMiner~~~MdtL~~~ACI75321~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAIMGIAVLMLSLFILKETRPAAPAASDKSRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLTVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGISAWNMLIGILIACSIVSLLLIMFVAPGRPVTAHEEIHHHA ->ARGMiner~~~TEM-211~~~AHA80960.1~~~beta_lactam unknown +>ARGMiner~~~TEM-211~~~AHA80960.1~~~beta_lactam~~~unknown MSIKHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMTDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDELNRQIAEIGASLIKHW ->ARGMiner~~~VatB~~~ZP_03040189~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~VatB~~~ZP_03040189~~~macrolide-lincosamide-streptogramin~~~unknown MPYGPDPNAIYPNEAIKSICYIKNVVTRPNIIVGDYTYYDDLNGAEKFEEHVTHHYEFIGDKLIIGKFCAIAKGIEFVMNGANHRMGSVTTYPFNIMGNGWEKSTLALADLPLKGDTVIGNDVWIGQNVTVMPGVHIGDGAIIAANSVVVKDVPPYHIAGGNPSRVIKKRFDDELIDHLLAIQWWDWPARKIFDHLETLCSGDLTKIKEI ->ARGMiner~~~smeB~~~YP_002705656~~~multidrug unknown +>ARGMiner~~~smeB~~~YP_002705656~~~multidrug~~~unknown MVRFFIDRPIFAWVIAIAVSLLGLLAILILPVDRYPQIAPPTITIRATYTGASSQTVENAVTQVIEQSQQSLDHLMYMTSTSASDGSAQVNLVFATGTNPDTAQVQVQNQLQAAMATLPQAVQQNGLTITKSSGSIFEVLSFTSEDGSMDNFDVANFMEARIDDQISRVSGVGNIQPIGQEYAMRIWLDPEKMRQYALMPSDVETALQAQNTDVSAGELGGQPALKGQQLDATVTARSRLHTPEQFAQVVLKADANGSVVHLGDVATIGLGPESYDSISTFNGKPSASLGIELNAGANAIAVSKAIDARLQQLQKYWPHGYTAHVAFTTTPFVTISLKEVVITLIEAIILVVLVMYLFLQNWRATLIPTIAVPVVLLGTFGVLAAFGYSINTLTMFALVLAIGLLVDDAIVVVENVERVMTFEGLAPKPATLKAMGQITGALVGIVLVLTAVFLPMAFFSGVTGVIYRQFSVTIAAAMILSVLVAMTITPALCGSILHQIPKGGHPHGDHGGEPSLLGKFFIWFNHRFERTSNGLRHRVDGFLGRRTLGVLFYLVLSVATGLLLWHLPGAFLPDEDQGMLNALVKLPAGSTLEQTRAVMDRLSAAAVKDDSVLSIQATAGFSVTGSGQNVGQAFIRLKDWDDRKDDADTIAARLTAAMASVPDAQVFITSPPAILGLGDAGGFTLELQDEGGAGHAAAVAARNTLLKEAAKDPKLVNVRYASLEDAPVYAVKVDDAKAQAMGVNPQDVNDTLNAALGGDFVNNFIYKGRIKKVFIQGAAEARMQPQDIERWSVRNQAGQMVPLSSLISTHWTSAPAALQRYNGVSAMEITGQPAPGVSSGEAMAEIARLADTLPEGFSHAWSDMAYQEQLSGNQAPMLYAISLLFVFLCLAALYESWAVPFAVMLAVPVGVFGAVLMMNLRGLNNDVYFQVGLLTTIGLAAKNGILIVEFARILEQQGKSTREAILQAVYLRLRPIVMTSLAFLMGVLPLVFATGAGSAARRSLGTGVAGGTVASMVLGMFFVPLFYLLVRRLFPGRAPAEATAPEASP ->ARGMiner~~~SHV-160~~~AFQ23966.1~~~beta_lactam unknown +>ARGMiner~~~SHV-160~~~AFQ23966.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSEGQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~PmrA~~~ZP_01819864~~~quinolone unknown +>ARGMiner~~~PmrA~~~ZP_01819864~~~quinolone~~~unknown MTEINWKDNLRIAWFGNFLTGASISLVVPFMPIFVENLGVGSQQVAFYAGLAISVSAISAALFSPIWGILADKYGRKPMMIRAGLAMTITMGGLTFVPNIYWLIFLRLLNGVFAGFVPNATALIASQVPKEKSGSALGTLSTGVVAGTLTGPFIGGFIAELFGIRTVFLLVGSFLFLAAILTICFIKEDFQPVAKEKAIPTKELFTSVKYPYLLLNLFLTSFVIQFSAQSIGPILALYVRDLGQTENLLFVSGLIVSSMGFSSMMSAGVMGKLGDKVGNHRLLVVAQFYSVIIYLLCANASSPLQLGLYRFLFGLGTGALIPGVNALLSKMTPKAGISRVFAFNQVFFYLGGVVGPMAGSAVAGQFGYHAVFYATSLCVAFSCLFNLIQFRTLLKVKEI ->ARGMiner~~~KsgA~~~ZP_03280821~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~ZP_03280821~~~kasugamycin~~~unknown MTNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDELTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTMDFGELSTKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYFCNVIPVLEVPPTAFTPPPKVDSAVVRLVPHKTMPYPVKELRVLSRITTEAFNQRRKTIRNSLGNLFTVDVLAELGIDPTMRAENISVEQYCKLANYISDNAPPKES ->ARGMiner~~~AAC(6')-Ig~~~AAA21889.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ig~~~AAA21889.1~~~aminoglycoside~~~unknown MNIKPASEASLKDWLELRNKLWSDSEASHLQEMHQLLAEKYALQLLAYSDHQAIAMLEASIRFEYVNGTETSPVGFLEGIYVLPAHRRSGVATMLIRQAEVWAKQFSCTEFASDAALDNVISHAMHRSLGFQETEKVVYFSKKID ->ARGMiner~~~TEM-188~~~AEL17198.1~~~beta_lactam unknown +>ARGMiner~~~TEM-188~~~AEL17198.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~BL2e_y56~~~ZP_04633951~~~beta_lactam unknown +>ARGMiner~~~BL2e_y56~~~ZP_04633951~~~beta_lactam~~~unknown MKHSPLRRSLLLAGITLPLVNFSLPAWAVPTSDLLGNQLAELERNSNGRLGVTMINTANGRKIQYRGTQRFPFCSTFKFMLAAAVLGKSQTQPGLLDKHIKYHESDLLSYAPITRKNLAHGMTVSQLCAATMQYSDNTAANLLIKELGGVASVNAFARSIGDQVFRLDRLEPDLNTALPNDPRDTTTPAAMADSMNKLVLGDALPVAQREQLTLWLKGNTTGANTIQAGAPADWIVGDKTGSGDYGTTNDIAVLWPTQGAPIVLVIYFTQREKDAKPRRDVLAAATKIVLSHLSPAQ ->ARGMiner~~~pbp1a~~~YP_001292390~~~beta_lactam unknown +>ARGMiner~~~pbp1a~~~YP_001292390~~~beta_lactam~~~unknown MRIAKLILNTLLTLCILGLVAGGMLYFHLKSELPSVETLKTVELQQPMQIYTADGKLIGEVGEQRRIPVKLADVPQRLIDAFLATEDSRFYDHHGLDPIGIARALFVAVSNGGASQGASTITQQLARNFFLTSEKTIIRKAREAVLAVEIENTLNKQEILELYLNKIFLGYRSYGVAAAAQTYFGKSLNELTLSEMAIIAGLPKAPSTMNPLYSLKRSEERRNVVLSRMLDEKYISKEEYDAALKEPIVASYHGAKFEFRADYVTEMVRQEMVRRFGEENAYTSGYKVFTTVLSKDQAEAQKAVRNNLIDYDMRHGYRGGAPLWQKNEAAWDNDRIVGFLRKLPDSEPFIPAAVIGIAKGGADILLASGEKMTLSTNAMRWTGRSNPVKVGEQIWIRQRANGEWQLGQIPAANSALVSLNSDNGAIEAVVGGFSYEQSKFNRATQSLVQVGSSIKPFIYAAALEKGLTLSSVLQDSPISIQKPGQKMWQPKNSPDRYDGPMRLRVGLGQSKNMIAIRAIQTAGIDFTAEFLQRFGFKRDQYFASEALALGAASFTPLEMARAYAVFDNGGFLIEPYIIEKIQDNTGKDLFIANPKIACIECNDIPVIYGETKDKINGFANIPLGESALKPTDDSTNGEELDQQPETVPELPELQSNFTALKEDAIDLMAAAKNASSKIEYAPRVISGELAFLIRSALNTAIYGEQGLDWKGTSWRIAQSIKRSDIGGKTGTTNSSKVAWYAGFGANLVTTTYVGFDDNKRVLGRGEAGAKTAMPAWITYMKTALSDKPERKLPLPPKIVEKSIDTLTGLLSPNGGRKEYFIVGTEPTRTYLSEMQERGYYVPTELQQRLNNEGNTPATQPQELF ->ARGMiner~~~IMP-38~~~AEN75249.1~~~beta_lactam unknown +>ARGMiner~~~IMP-38~~~AEN75249.1~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAEPLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVDAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFGGVNYWLVKNKIEVFYPGPGHTPDNLVVWLPERKILFGGCFIKPYGLGNLGDANLEAWPKSAKLLISKYGKAKLVVPGHSEAGDASLLKLTLEQAVKGLNESKKPSKLSN ->ARGMiner~~~OXA-384~~~AHL30282.1~~~beta_lactam unknown +>ARGMiner~~~OXA-384~~~AHL30282.1~~~beta_lactam~~~unknown MNIQALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRIGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNSEMKKGISSSVRKEITYRSLEQLGIL ->ARGMiner~~~qacA~~~BAJ09383.1~~~quinolone unknown +>ARGMiner~~~qacA~~~BAJ09383.1~~~quinolone~~~unknown MISFFTKTTDMMTSKKRWTALVVLAVSLFVVTMDMTILIMALPELVRELDPSGTQQLWIVDIYSLVLAGFIIPLSAFADKWGRKKALLTGFALFGLVSLAIFFAESAEFVIAIRFLLGIAGALIMPTTLSMIRVIFENPKERATALAVWSIASSIGAVFGPIIGGALLEQFSWHSAFLINVPFAIIAVVAGLFLLPESKLSKEKSHSWDIPSTILSIAGMIGLVWSIKEFSKEGLADIIPWVVIVLAITMIVIFVKRNLSSSDPMLDVRLFKKRSFSAGTIAAFMTMFAMASVLLLASQWLQVVEELSPFKAGLYLLPMAIGDMVFAPIAPGLAARFGPKIVLPSGIGIAAIGMFIMYFFGHPLSYSTMALALILVGAGMASLAVASALIMLETPTSKAGNAAAVEESMYDLGNVFGVAVLGSLSSMLYRVFLDISSFSSKGIVGDLAHVAEESVVGAVEVAKATGIKQLANEAVTSFNDAFVATALVGGIIMIIISIVVYLLIPKSLDITKQK ->ARGMiner~~~TEM-193~~~AFC75523.1~~~beta_lactam unknown +>ARGMiner~~~TEM-193~~~AFC75523.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAAHLFLTTIGGPKELTAFLHNMGDHVTRLDCWGPKLTEAIPHDERDTTMPAAVANTLRKLLTGELLTLASRQQLIDWMEADKVAGPILRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~smeB~~~AAD51345.1~~~multidrug unknown +>ARGMiner~~~smeB~~~AAD51345.1~~~multidrug~~~unknown MVRFFIDRPIFAWVIAIAVSLLGLLAILILPVDRYPQIAPPTITIRATYTGASSQTVENAVTQVIEQSQQSLDHLMYMTSTSASDGSAQVNLVFATGTNPDTAQVQVQNQLQAAMATLPQAVQQNGLTITKSSGSIFEVLSFTSEDGSMDNFDVANFMEARIDDQISRVSGVGNIQPIGQEYAMRIWLDPEKMRQYALMPWDIETALQAQNTDVSAGELGGQPALKGQQLDATVTARSRLHTPEQFAQVVLKADANGSVVRLGDVAKIGLGPESYDSISTFNGKPSASLGIELNAGANAIAVSKAIDARLQQLQKYWPHGYTAHVAFTTTPFVTISLKEVVITLIEAIILVVLVMYLFLQNWRATLIPTIAVPVVLLGTFGVLAAFGYSINTLTMFALVLAIGLLVDDAIVVVENVERVMTFEGLAPKPATLKAMGQITGALVGIVLVLTAVFLPMAFFSGVTGVIYRQFSVTIAAAMILSVLVAMTITPALCGSILHQIPKGGHPHGDHGGEPSLLGKFFIWFNHRFERTSNGLRHRVDRFLGRRTLGVLFYLVLSVATGLLLWHLPGAFLPDEDQGMLNALVKLPAGSTLEQTRAVMDRLSAVAVKDDGVLSIQATAGFSVTGSGQNVGQAFIRLKDWDDRKDDADTIAARLTRAMASVPDAQVFITSPPAILGLGDAGGFTLELQDEGGAGHAAAVAARNTLLKEAAKDPKLVNVRYASLEDAPVYAVKVDDAKAQAMGVNPQDVNDTLNAALGGDFVNNFIYKGRIKKVFIQGTAEARMQPQDIERWSVRNQAGQMVPLSSLISTHWTSAPAAVQRYNGISAMEITGQPAPGVSSGEAMAEIARLADTLPEGFSHAWSDMAYQEQLSGNQAPMLYAISLLFVFLCLAALYESWAVPFAVMLAVPVGMFGAVLMMNLRGLNNDVYFQVGLLTTIGLAAKNGILIVEFARILEQQGKSTREAILQAVYLRLRPIVMTSLAFLMGVLPLVFATGAGSAARRSLGTGVAGGTVASMVLGMFFVPLFYLLVRRLFPGRAPADATVPETSP ->ARGMiner~~~MacB~~~YP_001438541~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~MacB~~~YP_001438541~~~macrolide-lincosamide-streptogramin~~~unknown MTALLELRDIRRSYPSGETDVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLNDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVGYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDAARLPAQPQDAPAFGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSSEAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~AAC(6')-Ib-cr~~~ABC17627.1~~~multidrug unknown +>ARGMiner~~~AAC(6')-Ib-cr~~~ABC17627.1~~~multidrug~~~unknown MSNAKTKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGRWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPYGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~TEM-146~~~AAZ14084.2~~~beta_lactam unknown +>ARGMiner~~~TEM-146~~~AAZ14084.2~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLPDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSHGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-235~~~AFH36330.1~~~beta_lactam unknown +>ARGMiner~~~OXA-235~~~AFH36330.1~~~beta_lactam~~~unknown MKTLILLPLLSCLSLTACSLPVSNSSSQITSTQSIQTIAKLFDQAQSSGVLVIQRGPHLQVYGNDLSRAHTEYIPASTFKILNALIGLQHGKATTNEIFKWDGKKRSFAAWEKDMTLGQAMQASAVPVYQELARRIGLELMQQEVQRIRFGNQQIGQHIDNFWLVGPLKITPEQEVEFASALAQEQLAFDPQVQQQVKAMLLLQERQDYRLYAKSGWGMDVEPQVGWLTGWIETPQDEIVAFSLNMQMQSNMDPAIRLKILQQALAELALYPKAEG ->ARGMiner~~~TEM-122~~~AAQ98890.1~~~beta_lactam unknown +>ARGMiner~~~TEM-122~~~AAQ98890.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDEQNRQIAEIGASLIKHW ->ARGMiner~~~OXA-244~~~AGC60012.1~~~beta_lactam unknown +>ARGMiner~~~OXA-244~~~AGC60012.1~~~beta_lactam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTGIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~SHV-144~~~AFK93491.1~~~beta_lactam unknown +>ARGMiner~~~SHV-144~~~AFK93491.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPVGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-362~~~AHA11125.1~~~beta_lactam unknown +>ARGMiner~~~OXA-362~~~AHA11125.1~~~beta_lactam~~~unknown MKILILLPLLSCLGLTACTSPVSSFPSHITSTQSTQAIAQLFDQAQSSGVLVIQRGQKVQVYGNDLSRAGTEYVPASTFKMLNALIGLQHGKATTNEIFKWDGKKRSFAAWEKDMTLGEAMQASAVPVYQELARRIGLELMQQEVRRIQFGNQQIGQQVDNFWLVGPLKITPKQEVEFVSALAREQLAFDPQVQQQVKAMLLLQERKAYRLYAKSGWGMDVEPQVGWLTGWVETPQAEIVAFSLNMQMQNGMDPAIRLEILQQALAELGLYPKAEG ->ARGMiner~~~mdtG~~~A7ZZ10~~~multidrug unknown +>ARGMiner~~~mdtG~~~A7ZZ10~~~multidrug~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~Bcr~~~ZP_03837521~~~multidrug unknown +>ARGMiner~~~Bcr~~~ZP_03837521~~~multidrug~~~unknown MTTRQNSSIAIVFILGLLAMLMPLSIDMYLPALPVISEQFGVPAGSAQMTLSTYILGFAVGQLLYGPMADSIGRKPVILGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLLAPIVGGWVLVWLSWHYIFWILAVAAILASVMIFTLIKETLPVERRQPFRLRTTLGNFASLFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVSPQHFGYYFALNIVFLFVMTIINSRFVRRVGALNMFRAGLWIQFVMAGWMVFSALFGVGFWALVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFYLYASRAKKR ->ARGMiner~~~oprN~~~CAA67865~~~multidrug unknown +>ARGMiner~~~oprN~~~CAA67865~~~multidrug~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPNIRAAERRVAASTADVGVATADLFPAGQPQRLPRLHRRAGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~Aac2Ic~~~YP_905232~~~aminoglycoside unknown +>ARGMiner~~~Aac2Ic~~~YP_905232~~~aminoglycoside~~~unknown MHTEVHTARLVHTADLDSETRQGIRQMVTAAFAGDFTDHDWGHALGGMHALIWHRGAIIAHGAVVQRRLIYRGSALRCGYVEGVAVREDWRGQGLATAVLDATEQVIRGAYELGALSSSAGARRLYTSRGWLPWHGPTSVLSPTGPTPTPDDDGTVFVLPVDASLDTSAELMCDWRAGDVW ->ARGMiner~~~ACT-4~~~CAC85157~~~beta_lactam unknown +>ARGMiner~~~ACT-4~~~CAC85157~~~beta_lactam~~~unknown MMTKSLCCALLLSTSCSVLAAPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVADNASLLRFYQNWQPQWKPGTTRLYANTSIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMVNMKPDSLQDNSLRQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILSALQ ->ARGMiner~~~SHV-31~~~AAP33454.2~~~beta_lactam unknown +>ARGMiner~~~SHV-31~~~AAP33454.2~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXY-1-6~~~CAB42615.1~~~beta_lactam unknown +>ARGMiner~~~OXY-1-6~~~CAB42615.1~~~beta_lactam~~~unknown MLKSSWRKTALMAAAAVPLLLASGSLWASADAIQQKLADLEKRSGGRLGVALINTADDSQTLYRGDERFAMCSTGKVMAAAAVLKQSESNPEVVNKRLEIKKSDLVVWSPITEKHLQSGMTLAELSAAALQYSDNTAMNKMISYLGGPEKVTAFAQSIGDVTFRLDRTEPALNSAIPGDKRDTTTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPASWAVGDKTGAGDYGTTNDIAVIWPENHAPLVPVTYFTQPQQDAKSRKKVLAAAAKIVTEGL ->ARGMiner~~~OXA-31~~~AAK52604.1~~~beta_lactam unknown +>ARGMiner~~~OXA-31~~~AAK52604.1~~~beta_lactam~~~unknown MKNTIHINFAIFLIIANIIYSSASASTDISTVASPLFEGTEGCFLLYDVSTNAEIAQFNKAKCATQMPPDSTFKIALSLMAFDAEIIDQKTIFKWDKTPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVKNSAIENTIENMYLQDLENSTKLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYVFVSALTGNLGSNLTSSIKAKKNAITILNTLNL ->ARGMiner~~~PmrE~~~AAC75089.1~~~polymyxin unknown +>ARGMiner~~~PmrE~~~AAC75089.1~~~polymyxin~~~unknown MKITISGTGYVGLSNGLLIAQNHEVVALDILPSRVAMLNDRISPIVDKEIQQFLQSDKIHFNATLDKNEAYRDADYVIIATPTDYDPKTNYFNTSSVESVIKDVVEINPYAVMVIKSTVPVGFTAAMHKKYRTENIIFSPEFLREGKALYDNLHPSRIVIGERSERAERFAALLQEGAIKQNIPMLFTDSTEAEAIKLFANTYLAMRVAYFNELDSYAESLGLNSRQIIEGVCLDPRIGNHYNNPSFGYGGYCLPKDTKQLLANYQSVPNNLISAIVDANRTRKDFIADAILSRKPQVVGIYRLIMKSGSDNFRASSIQGIMKRIKAKGVEVIIYEPVMKEDSFFNSRLERDLATFKQQADVIISNRMAEELKDVADKVYTRDLFGSD ->ARGMiner~~~TEM-102~~~AAK82652.1~~~beta_lactam unknown +>ARGMiner~~~TEM-102~~~AAK82652.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFVRPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPVAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAAVGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tetA~~~YP_195816~~~tetracycline unknown +>ARGMiner~~~tetA~~~YP_195816~~~tetracycline~~~unknown MNCEFKLIYCILKIRKVKIEKSEMNSSTKIALVITLFDAMGIGLIMPVLPTLLREFIASEDIANHFGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPTTVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLTNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~mdtF~~~YP_002409908~~~multidrug unknown +>ARGMiner~~~mdtF~~~YP_002409908~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~pbp2b~~~ZP_03749779~~~beta_lactam unknown +>ARGMiner~~~pbp2b~~~ZP_03749779~~~beta_lactam~~~unknown MLIKNEYKPQMLSGTTKTKKPLTSNGRIGLVLGAVALAFTGLLVRGVYLQTSQHEFLKNQGDQRFVRTLPLPASRGMITDRNGATLALSAPTESLYAMPSGMEEMPTDEQLEKLSAIADVPVEVLKNKLSKKDKGFIYLKRQLSYEKAEEIKALGIKGIAFQKELKRHYPMGNLFAHVIGFTNIDGKGQEGLELSREDSLRGEDGAKVVLRDNKGNIVDSLDSPRNSVPKNGQDMILSLDQRIQTLAYDELNKAVAYHKAKAGTVVVLDAQTGEILALVNSPAYDPNQPGQANSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGPATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQKIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVGTFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVAGPVFKEVMSGSLNILGVSPTKPLSNTATVKVPS ->ARGMiner~~~TEM-89~~~AAK71474.1~~~beta_lactam unknown +>ARGMiner~~~TEM-89~~~AAK71474.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMGDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEI ->ARGMiner~~~OXA-147~~~ACO72579.1~~~beta_lactam unknown +>ARGMiner~~~OXA-147~~~ACO72579.1~~~beta_lactam~~~unknown MKTFAAYVITACLSSTALASSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNNLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLSLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFLLEGQLRISAVNQVEFLESLFLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKGTEVYFFAFNMDIDNENKLPLRKSIPTKIMASEGIIGG ->ARGMiner~~~OKP-B-7~~~CAJ19615.1~~~beta_lactam unknown +>ARGMiner~~~OKP-B-7~~~CAJ19615.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~BacA~~~ZP_04055725~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04055725~~~bacitracin~~~unknown MTILESIILAIVEGLTEFLPVSSTGHMILTQGALGMESTPFLRAFTVMIQFGAILSVVVLYYKRFFTFRVEEGARQLPQGWQWVGRFSFYIKLLVGLIPAAVIGLLLEKQIDQLLDNVYVVATTLFLGGIFMLFIDRIWPAQSQTAERPSYRNAFVIGCFQTIAMIPGVSRSMATIVGGLQQRLTRRAATEFSFFLAVPTMLGATLLKGYKLLSDPVSSQMLQENWMALLVGNVVAFVVALLAIKFFINYVTRYGFKLFGYYRIVVGLLVLILLLCGVPLAMH ->ARGMiner~~~OKP-A-6~~~CAJ19603.1~~~beta_lactam unknown +>ARGMiner~~~OKP-A-6~~~CAJ19603.1~~~beta_lactam~~~unknown MRYVRLCLISLIAALPLAAFASPQPLEQVTRSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDERLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKRVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHALSDRSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATMAERNQQIAKIGAALIEHWQR ->ARGMiner~~~KsgA~~~YP_002396141~~~kasugamycin unknown +>ARGMiner~~~KsgA~~~YP_002396141~~~kasugamycin~~~unknown MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTFNFGELAAKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPHPVKDVRVLSRITTEAFNQRRKTIRNSLGNLFSAEVLTGMGIDPAMRAENISVAQYCQMANYLAENAPLQES ->ARGMiner~~~ceoA~~~AAB58160.1~~~multidrug unknown +>ARGMiner~~~ceoA~~~AAB58160.1~~~multidrug~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVSANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQTDWQRAQRLIGDNAIAKRDYDEKQNAAREAKREPEGRRSRAGNGAHQSRLYAHHRAGVGPRVARGNHARQRRVGRRVGRAADDAGIGVADLRVVRRRRADLPAIHQRRAQRPQGAGRARPRERNRLLAQRRDRFGRQPARHVVRHDPRARPLRQRGRHPGPGPLRTREGGRQRAARGAARRRRGDQHRPGQEVRVRRRPAGPRVVSRSAARDAARQPARDRERAVGRRPRGRERHAARASGRAGEAAHGPDDGRRCAVRAVASTAKPAAPAKADS ->ARGMiner~~~MdtH~~~ZP_03836256~~~multidrug unknown +>ARGMiner~~~MdtH~~~ZP_03836256~~~multidrug~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLLMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYVGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSLKRPTRGMLEPDA ->ARGMiner~~~OKP-A-7~~~CAJ19605.1~~~beta_lactam unknown +>ARGMiner~~~OKP-A-7~~~CAJ19605.1~~~beta_lactam~~~unknown MRCVRLCLISLIAALPLAVFASPQPLEQITLSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDERLDRRIRYPQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHALSDRSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPAAMAERNQQIAKIGAALIEHWQR ->ARGMiner~~~AmrB~~~ZP_02374815~~~multidrug unknown +>ARGMiner~~~AmrB~~~ZP_02374815~~~multidrug~~~unknown MARFFIDRPVFAWVISLFIMLGGIFAIRALPVAQYPDIAPPVVSLYATYPGASAQVVEESVTAVIEREMNGVPGLLYTSATSSAGQASLSLTFKQGVSADLAAVDVQNRLKTVEARLPEPVRRDGISIEKAADNAQIIVSLTSEDGRLSGVELGEYASANVLQALRRVEGVGKVQFWGAEYAMRIWPDPVKMAALGLTASDIASAVRAHNARVTIGDVGRSAVPDSAPIAATVLADAPLTTPDAFGAIALRARADGSTLYLRDVARIEFGGNDYNYPSFVNGKTATGMGIKLAPGSNAVATEKRVRATMDELAKFFPPGVKYQIPYETASFVRVSMSKVVTTLVEAGVLVFAVMFLFMQNFRATLIPTLVVPVALLGTFGAMLAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEKLPPYEATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFAFALAVSIGFSAFLALSLTPALCATLLKPVAGDHHEKDGFFGWFNRFVARSTHRYTQRVGRVLKRPLRWLVVYGALTAAAALLITKLPAAFLPDEDQGNFMVMVIRPQGTPLAETMQSVRRVEEYVRTHSPSAYTFALGGYNLYGEGPNGGMIFVTMKDWKERKRAQDQVQAIIAGINAHFAGTPNTMVFAINMPALPDLGLTGGFDFRLQDRGGLGYGAFVAAREKLLADGRKDSVLTDLMFAGTQDAPQLKLDIDRAKASALGVSMEEINATLAVMFGSDYIGDFMHGSQVRRVIVQADGQHRLDPGDVTKLRVRNAKGEMVPLAAFATLHWTMGPPQLTRYNGFPSFTINGAASAGHSSGEAMAAIERIASALPEGIGYAWSGQSYEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVAGVTLRGMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQGMSLADAALEAARLRLRPIVMTSLAFGVGVLPLAFATGAASGAQIAIGTGVLGGVISATLFAIFLVPLFFVCVGRVVDIVPRRRGGARAALEIK ->ARGMiner~~~LRA-3~~~ACH58987.1~~~beta_lactam unknown +>ARGMiner~~~LRA-3~~~ACH58987.1~~~beta_lactam~~~unknown MKSKLLLAAALAALAGTSMAAQAAELQYKPPPITNKEWETPFPGFKIVGNMYYVGTYDLGCYLIDTGAGLILINSGADGSYPLIKANIEKLGFKTSDIKIITSTHGHGDHVGDLAAFQKDAPAAKTYMNFRDAPTIESGGNIDYRRPEGRGFYPYHPVKVDVRTKPGDHIKLGNTDLTLHQAYGHTPGATSFTFTVQDGGRNYNVLIVNMNGINAGVKLLGSPGYPTIVEDFASTLKEQATYTPDLWVSSHAGQFNLHQVYKPGDPYNPARFGDLAAYKLKIANATKAYEKQLAEERAAKAK ->ARGMiner~~~dfrA16~~~AAK60186.1~~~trimethoprim unknown +>ARGMiner~~~dfrA16~~~AAK60186.1~~~trimethoprim~~~unknown MKLSLMAAKSKNGIIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSNFSTNDEGVMVFSSIQDALINLEEITDHVIVSGGGEIYKSLISKVDTLHISTVDIERDGDIVFPEIPDTFKLVFEQDFESNINYCYQIWQKS ->ARGMiner~~~SHV-20~~~AAF34334.1~~~beta_lactam unknown +>ARGMiner~~~SHV-20~~~AAF34334.1~~~beta_lactam~~~unknown MLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEAFPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASERGARGIVALLGPNNKAERIVVIYLRDTPASMAERN ->ARGMiner~~~SHV-22~~~AAF34336.1~~~beta_lactam unknown +>ARGMiner~~~SHV-22~~~AAF34336.1~~~beta_lactam~~~unknown MLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDKVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERN ->ARGMiner~~~MdfA~~~ZP_02700344~~~multidrug unknown +>ARGMiner~~~MdfA~~~ZP_02700344~~~multidrug~~~unknown MQNRLQSGGRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQACLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLATLLAKNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFILFAALAAIAFFGLQRAMPETATRRGETLSFKALGRDYRLVIKNRRFVAGALALGFVSLPLLAWIAQSPIIIISGEQLSSYEYGLLQVPVFGALIAGNLVLARLTSRRTVRSLIVMGGWPIVAGLIIAAAATVVSSHAYLWMTAGLSVYAFGIGLANAGLVRLTLFSSDMSKGTVSAAMGMLQMLIFTVGIEVSKHAWLSGGNGLFSLFNLANGILWLLLMLVFLKDKRTGNLQTV ->ARGMiner~~~VEB-4~~~ABM54868.1~~~beta_lactam unknown +>ARGMiner~~~VEB-4~~~ABM54868.1~~~beta_lactam~~~unknown MKIVKRILLVLLSLFFTIVYSNAQADNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKMWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~OXA-212~~~AEV91551.1~~~beta_lactam unknown +>ARGMiner~~~OXA-212~~~AEV91551.1~~~beta_lactam~~~unknown MKTLQSGLIALITTFGSACTTISPSVETAKNQQQQSAQQQIQQAFDQLQTTGVIVVKDKHSLHSYGNDLSRAQTPYIPASTFKMLNALIGLEHGKATSTEVFKWDGQKRSFPTWEKDMTLGQAMQASAVPVYQELARRIGLDLMKKEVQRIGYGNQQIGTVVDNFWLVGPLQITPVQEVLFVEKLANTQLAFKPDVQHAVQDMLLIEQKPNYKLYAKSGWGMDLEPQVGWWAGWVETSTGEKVYFALNMHMKTGISASVREQLVKQSLTALGII ->ARGMiner~~~MIR-12~~~AIT76116.1~~~beta_lactam unknown +>ARGMiner~~~MIR-12~~~AIT76116.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVTSSAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEVALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWLIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~Aac2I~~~YP_637442~~~aminoglycoside unknown +>ARGMiner~~~Aac2I~~~YP_637442~~~aminoglycoside~~~unknown MAGTPRWYNDGVLPQLSSEVRGHGVIHTARLVHTADLDNETREGARRMVSEAFRGDFTDDDWEHALGGMHALICSHGVLIAHAAVVQRRLLYRDAALRCGYIEAVAVREDCRGQGLGSAVMDACEQVLRGAYQLGALATSTMARPMYRARGWVPWRGPTSVLSPGGRISTPGDDGSVFVYPVGSALGSTDLDTTAELTCDWRHGDVW ->ARGMiner~~~OpcM~~~YP_001116030~~~multidrug Multi-drug efflux pumps +>ARGMiner~~~OpcM~~~YP_001116030~~~multidrug~~~Multi-drug efflux pumps MLEDNKMDNMHNTNGLVRVAKLAAASTLLATLLAACAVGPDYKRPDVATPAAFKEAPTLAAGEQAGTWKTAEPADAEHRGEWWKVFGDPVLDALESQALAANQNLKAAAARVEEARAATRTARSQWFPQVGVGFGPTREGASSASQFQPQGTGPTNATLWRAQGTVSYEADLFGRVSRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYRRTVELREEALKLVQRRFNEGDISELDVSRAKNELASAQADAVGVARRRAASEHALAILLGKAPADFAFKETPIVPVAVKIPAGLPSALLERRPDVAAAERAMAAANARIGLAKSAYFPKLDITGAFGYEASTLGDLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQDAAVNASRRSATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGPAPTAVGDAATGKAEVAAR ->ARGMiner~~~MdtN~~~YP_001572361~~~multidrug unknown +>ARGMiner~~~MdtN~~~YP_001572361~~~multidrug~~~unknown MESLPKKIPHNKVPALVLVLLALAVMVFVIWRVDSAPSTSDAYASADTIDVVPEVSGRIVELAVVDNQQVKKGDLLFRIDPRPYEASLAKAEASLAALDKQIMLTQRSVDAQKYAASSVEATVAKARAAAKQASDTLRRTEPLLSEGFVSAEDVNRARTAQRAAEADLNAVLLQAQQAASAVSGVDALVAQRVAVQADIALTKLHLEMATVRAPFDGRVVSLKTSIGQFASAMKPIFTLIDTDHWYIIANFRETELKAIQAGTPAAIRLMSDSSKTFQGKVDSIGFGVLPDDGGMVIGGLPRVSRSINWVRVAQRFPVKIMVEKPDASLFRIGASAVATLEPQ ->ARGMiner~~~OXA-21~~~CAA71699.2~~~beta_lactam unknown +>ARGMiner~~~OXA-21~~~CAA71699.2~~~beta_lactam~~~unknown MAIRIFAILFSTFVFGTFAHAQEGMRERSDWRKFFSEFQAKGTIVVADERQTDRVILVFDQVRSEKRYSPASTFKIPHTLFALDAGAARDEFQVFRWDGIKRSFAAHNQDQDLRSAMRNSTVWIYELFAKEIGEDKARRYLKQIDYGNADPSTSNGDYWIDGNLAIAAQEQIAFLRKLYHNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGPVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~SHV-52~~~AEJ08681.1~~~beta_lactam unknown +>ARGMiner~~~SHV-52~~~AEJ08681.1~~~beta_lactam~~~unknown MRYIRLCIISLLAALPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMISTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLAIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-24~~~AAN38836.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-24~~~AAN38836.1~~~beta_lactam~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAERRRDVLASAARIIAEGL ->ARGMiner~~~MIR-5~~~AJO16037.1~~~beta_lactam unknown +>ARGMiner~~~MIR-5~~~AJO16037.1~~~beta_lactam~~~unknown MMTKSLSCALLLSVASSAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWLIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~ErmG~~~ZP_03644637~~~macrolide-lincosamide-streptogramin unknown +>ARGMiner~~~ErmG~~~ZP_03644637~~~macrolide-lincosamide-streptogramin~~~unknown MNKVNIKDSQNFITSKYHIEKIMNCISLDEKDNIFEIGAGKGHFTAELVKRCNFVTAIEIDSKLCEVTRNKLLNYPNYQIVNDDILKFTFPSHNPYKIFGSIPYNISTNIIRKIVFESSATISYLIVEYGFAKGLLDTNRSLALLLMAEVDISILAKIPRYYFHPKPKVDSALIVLKRKPAKMAFKERKKYETFVMKWVNKEYEKLFTKNQFNKALKHARIYDINNISFEQFVSLFNSYKIFNG ->ARGMiner~~~SHV-158~~~AFQ23964.1~~~beta_lactam unknown +>ARGMiner~~~SHV-158~~~AFQ23964.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLAAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~PEDO-3~~~AJP77076~~~beta_lactam unknown +>ARGMiner~~~PEDO-3~~~AJP77076~~~beta_lactam~~~unknown MRYLLSFLLCLSAFASFAQNPKIKIKHLTGDLYVYTTYNTYKGALTDANAVYLVTNKGVVVIDAPWDATQFQPFLDSIQTKHHQKVVLAIATHSHGDRAGGLAFFKSKGIKTYTNKLTDEILKTNKEPRAAYTFTNDTTFTVGQYKINTYYAGKGHTKDNLVVWFPKDKVLFGGCLIKSIEANDLGYIGESDLAAWPKSIEKLKQKYPDTKIVITGHAAWGNRESLDHTLKLLREKK ->ARGMiner~~~tcmA~~~YP_002188856~~~tetracenomycin unknown +>ARGMiner~~~tcmA~~~YP_002188856~~~tetracenomycin~~~unknown MTSQTTVGPKDGTPLAPPGKGARGSLRGRPWLTLFGVAIGVMMVALDGTIVAIANPAIADDLDTSLAGVQWITNGYLLALAVALITAGKLGDRFGHRQTFLIGVAGFALASGIIGLSSSVSLVVAFRVLQGLFGALLMPAALGLLRATFPAEKLNMAIGIWGMVIGASTAGGPIVGGLLVEHVSWQSVFFVNVPVGVAALVLGLFILKDHRAENAPRSFDVPGIVLLSGAMCSLIFAIIKTGDGWSWSDGRTWGLLGFSVVCFAAFAFWQTRAKEPLVPLAMFRSVALSAGTVLMVLMAIAFLGGIFFVTFYLQGVHRLSAVDSGLHLLPLTTMMIITSPIAGVLITRFGPRVPLVGGMLATAASMFGLSTLEADTGTVTMSLWFALLGLGLAPVMVGATEVIVGNAPLELSGVAGGLQQSAMQVGGALGTAVLGAVMAARVNKDLPGNWTDAGLPKLTGAQLDQTSQAVQLGVPPIPPHTPKEVAAQITTVAHDTFMSGMGLACTVAGIVALVAAAVATLTKRGDNAVEGAGAAHV ->ARGMiner~~~BcII~~~ABK86352~~~beta_lactam unknown +>ARGMiner~~~BcII~~~ABK86352~~~beta_lactam~~~unknown MKERVEKMKNTLLKLGVCVSLLGITPIVSTISSVQAERTVEHKVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLILNTSKGLVLVDSSWDDKLTKELIEMAEKKFKKRVTDVIITHAHADRIGGIKTLKEKGIKAHSTALTAELAKKNGYEEPIGDLQAITKLKFGNMKIETFYPGKGHTEDNIVVWLSQYNILAGGCLVKSASAKDLGNVADAYVNEWSTSIENVLTRYRNINAVVPGHGEVGDRGLLLHTLELLK ->ARGMiner~~~tetE~~~AAA71915.1~~~tetracycline unknown +>ARGMiner~~~tetE~~~AAA71915.1~~~tetracycline~~~unknown MNRTVMMALVIIFLDAMGIGIIMPVLPALLREFVGKANVAENYGVLLALYAMMQVIFAPLLGRWSDRIGRRPVLLLSLLGATLDYALMATASVVWVLYLGRLIAGITGATGAVAASTIADVTPEESRTHWFGMMGACFGGGMIAGPVIGGFAGQLSVQAPFMFAAAINGLAFLVSLFILHETHNANQVSDELKNETINETTSSIREMISPLSGLLVVFFIIQLIGQIPATLWVLFGEERFAWDGVMVGVSLAVFGLTHALFQGLAAGFIAKHLGERKAIAVGILADGCGLFLLAVITQSWMVWPVLLLLACGGITLPALQGIISVRVGQVAQGQLQGVLTSLTHLTAVIGPLVFAFLYSATRETWNGWVWIIGCGLYVVALIILRFFHPGRVIHPINKSDVQQRI ->ARGMiner~~~spd~~~AGW81558.1~~~aminoglycoside unknown +>ARGMiner~~~spd~~~AGW81558.1~~~aminoglycoside~~~unknown MEEPNKQIDNVLIELKRLFSKDLLGVYLYGSYVKGGLKKDSDVDFLVIINRDMTKEEKRILISKIMPISKEIGEDTSLKYIELTVLNYHENENWSYPPIEEFIYGEWLREDYLNYFIPEKNNNIDLTILLYQAKLSSISIYGENNINNLIPDVPFIDLQKAIKESSKELIKDFYGDETNVILTLCRMIVTYETGKFYSKDLAGSMIIENLSENLSIEENNLISLAISSYKNGNSVDWELFPVKSVIKKLYAYLNYKL ->ARGMiner~~~OXA-323~~~AGW16405.1~~~beta_lactam unknown +>ARGMiner~~~OXA-323~~~AGW16405.1~~~beta_lactam~~~unknown MYKKALIVATSILFLSACSSNTVKQNQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTAYVPASTFKMLNALIGLEHHKATITEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDNFWLVGPLKITPQQETQFAYQLAHKTLPFSQDVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~MdfA~~~ZP_02903427~~~multidrug unknown +>ARGMiner~~~MdfA~~~ZP_02903427~~~multidrug~~~unknown MQNRLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYRAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVAWFIVTCLAILLAQNIEQFTVLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHMLPWEGMFVLFAVLAAISFFGLQRAMPETATRIGEKLSLKDLGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPILIGLVVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIEISKHAYLNGGNGLFNLFNLANGILWLLLMVIFLKDKRVGNSREG ->ARGMiner~~~SHV-9~~~AAB37395.2~~~beta_lactam unknown +>ARGMiner~~~SHV-9~~~AAB37395.2~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLRTVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRNVLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~Bcr~~~YP_001437159~~~multidrug unknown +>ARGMiner~~~Bcr~~~YP_001437159~~~multidrug~~~unknown MTTRQNSSLGIVFILGLLAMLMPLSIDMYLPALPVIASEFGVEAGSAQMTLSTYILGFAIGQLFYGPMADSLGRKPVILGGTLIFAAAAIACALAQSIDQLIYMRFLHGLSAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLLAPMAGGAVLVWFNWHVIFWILAVLALLACAMIFFLIRETLPVERRQKFHFRTTLGNFATLFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIELNHVSPQHFGYYFALNIVFLFVMTIINSRFVRRVGALKMFRAGLFIQFAMAVWLVISAQFDIGFWSLVLGVAAFVGCVSLVASNAMAVILDEFPHMAGTASSLAGTFRFGIGAIMGALLSMATFNSAWPMLLSIFFCATCSILFYLYASRPRRRAV ->ARGMiner~~~BacA~~~ZP_04049838~~~bacitracin unknown +>ARGMiner~~~BacA~~~ZP_04049838~~~bacitracin~~~unknown MIIDFIKVLILSIVEGVTEFLPVSSTGHLILVNQFVKLEPEGFSNAFNIIIQLGAILSVVVIYFKRLNPWDREKTERYFPRNYDKLNGQSRFYFRLTHPDKKTIELWKRVIVGILPAMVLGLLFDDFIDEHLFNPMVVATMLLVWGLIIIFVEKRNKGNKGFRHDNIAMVSYKTVLAIGLFQTLAMIPGTSRSAATIMGAMILGLSRSAAAEFSFFLAIPTMLGATFLKVIKNFGGFTAYQWILILLGMVLSFLVAYFVIKKFMAYIRNNDFIPFGIYRIILAIIVFIYFLFV ->ARGMiner~~~OXA-359~~~AGZ83155.1~~~beta_lactam unknown +>ARGMiner~~~OXA-359~~~AGZ83155.1~~~beta_lactam~~~unknown MYKKAFIVATSLLFLSACSSNTVEQHQIYSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRASTEYVPASIFKMLNALIGLEHHKATATEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDNFWLVGPLKITPQQEAQFAYQLAHKTLPFSKDVQEQVQSMVFIEEKNGRKIYAKSGWGWDVEPQVGWLTGWVIQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~SHV-154~~~AFQ23960.1~~~beta_lactam unknown +>ARGMiner~~~SHV-154~~~AFQ23960.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGSVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-391~~~AHN53381.1~~~beta_lactam unknown +>ARGMiner~~~OXA-391~~~AHN53381.1~~~beta_lactam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~NorA~~~ZP_03612813~~~multidrug unknown +>ARGMiner~~~NorA~~~ZP_03612813~~~multidrug~~~unknown MRKQLFTLYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGVLVAAFALSQMVISPFGGTLADKLGKKLIICIGLVLFSISEFMFAAGQTFTILMISRVLGGFSAGMVMPGVTGMIADISKGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYFAGSLGAIAFTMSLVLIHNPKKETTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTSEKANYSPKDISIAITGGGIFGAVFQVFFFDKFMKYMTELNFIASSLLYSAIVLVMLILANGYWTIMVISFIVFIGFDMIRPALTNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIVIIFIEKWLRTRRKQSQSS ->ARGMiner~~~SHV-149~~~AFQ23955.1~~~beta_lactam unknown +>ARGMiner~~~SHV-149~~~AFQ23955.1~~~beta_lactam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESRLSGSVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTASLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~TEM-149~~~ABC96711.1~~~beta_lactam unknown +>ARGMiner~~~TEM-149~~~ABC96711.1~~~beta_lactam~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGVRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tcr3~~~BAA07390.1~~~tetracycline unknown +>ARGMiner~~~tcr3~~~BAA07390.1~~~tetracycline~~~unknown MGMANATSQTGEAVADEAGGPAGFTHRQIITALSGLLLAVLLAALDQTIVSTALRTIGDQLHGQTVQAWVITGYLVSSTIAMPFYGKLSDIYGRKPLYLAAIAVFIVGSAACAMANSMETLAIARVLQGFGGAGLMSLPTAVIADLAPVRERGRYFSYLMMAWVAASVLGPLVGGLFAGAGEILGVTGWRWAFLINVPLGLVALLSVRKALNLPHRRVDHPIDFRGALTLALCLVPLLIVAEEGLDWGWGSARSLTLFAVSLIGLVLFVLAERARGLEAMVPLRLFRRGGITMATAVNFTIGVGIFGTVSTLPLFLQLVQGRSATVAGLVIIPVMTGAIVSQTICAKIIKKWNRYKKPAIVGLGSMAGALLSLSAAGADTPLAVIVVIAAWLGFGIGLSQTVITLAIQSSAPKSELGVANAASGLFRQLGGTSGAAVFMSVLFGVAAGRLDGADPDEAVRRALSDPGSTGGLSASAVDAFTSGFDTMFLVGGLILAVGFLLTFPLRELRDEE ->ARGMiner~~~bcrA~~~AAD21213~~~bacitracin unknown +>ARGMiner~~~bcrA~~~AAD21213~~~bacitracin~~~unknown MSTIIKTTDLTKMYGSQKSVDHLNINVKQGDIYGFLGRNGAGKTTTIRMLLGLIKPTSGQIEIFGENFFKNKKEILRRIGSIVEVPGFYANLTARENLLINAKIIGIHKKNAIDEVLEIVGLQHETKKLVGKFSLGMKQRLGIARALLHYPELSILDEPTNGLDPIGIKEMRRLIHSLAKERNITIFISSHILSEIEQLVDHVGIIHEGKLLEEIPFDHLKKRNRKYLEFQLSDQNKAVVLMEQHSDIHDYEVHQDGIIRVYSHLGQQGKLNKLFVENGIDVLKITMSEDSLEDYFVKLIGGGTIG ->ARGMiner~~~ANT(6)-Ia~~~YP_008997281.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(6)-Ia~~~YP_008997281.1~~~aminoglycoside~~~unknown MRSEKEMMDLVLSLAEQDERIRIVTLEGSRANINIPKDEFQDYDITYFVSDIEPFISNDDWLNQFGNIIMMQKPEDMELFPPEEKGFSYLMLFDDYNKIDLTLLPLEELDNYLKGDKLIKVLIDKDCRIKRDIVPTDIDYHVRKPSAREYDDCCNEFWNVTPYVIKGLCRKEILFAIDHLNQILRFELLRMMSWKVGIKTEFSLSVGKNYKYINKYIDEDLWNRLLSTYRMDSYENIWKSLFICHQLFREVSKEVAELLGFDYPEYGKNITRYTEDMYKKYVENDYF ->ARGMiner~~~EmrD~~~YP_002846930~~~multidrug unknown +>ARGMiner~~~EmrD~~~YP_002846930~~~multidrug~~~unknown MKRHRSVNLLLMLVLLVAVGQMAQTIYIPAIADMARELNVREGAVQSVMAAYLLTYGLSQLIYGPLSDRVGRRPVILAGMSIFMLATLVAITTHSLTVLIIASAIQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGMLDTLWNWRACYIFLLVLCAGVTFSMARWMPETRPAGAPRPLLIASYKTLFGNSSFTCYVLMLIGGLAGVAVFEACSGVLLGARLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLVAGLMMWIPGLFDVMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWFSAMLPQTGQASLGLLMTLMGLLIFVCWLPLASRVSHQGQAV ->ARGMiner~~~smeF~~~YP_002706078~~~multidrug unknown +>ARGMiner~~~smeF~~~YP_002706078~~~multidrug~~~unknown MPAAGRHHLLPSGSTDGSHPMKSASLFLSIAAALALAGCSTLVPKNTAVAPAIPAQWPAEATQGQVADVAAVGWRDFFTDARLQQVIDQSLQNNRDLRVAVLNVERARGQYRVQRADRVPGVAVTGQMQRQGTDAGVTEQFSAGVGVAEFELDLFGRVRNLSEAALQQYFAVAANRRNAQLSLVAETATAWLTYGADAQRLKIADATLKTYEDSLRLAEARHERGGSSALELTQTRTLVETARTDAARLRGQLAQDRNALALLAGGQLDPSLLPDSIEPQLLALAPPPAGLPSDVLLQRPDIMAAEHQLLAANANIGAARAAFFPSISLTGSIGSGSSELSNLFDSGTRVWSFLPKITLPIFQGGKLRANLAIANADRDIALAQYEKSIQVGFRETADALALNVSLDEQVSSQQRLVDAAEQANRLSQARYDAGLDSFVTLLDARRTAYNAQQTQLQAQLAQQANRITLYKVLGGGWHERS ->ARGMiner~~~Bcr~~~ZP_02827405~~~multidrug unknown +>ARGMiner~~~Bcr~~~ZP_02827405~~~multidrug~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAVAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLMVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR ->ARGMiner~~~IMP-30~~~ABF70513.1~~~beta_lactam unknown +>ARGMiner~~~IMP-30~~~ABF70513.1~~~beta_lactam~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFKEVNGWGVVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~MdtL~~~ZP_03044893~~~multidrug unknown +>ARGMiner~~~MdtL~~~ZP_03044893~~~multidrug~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIADDLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~OKP-A-15~~~ACN65419.1~~~beta_lactam unknown +>ARGMiner~~~OKP-A-15~~~ACN65419.1~~~beta_lactam~~~unknown MRYVRLCLFSLIAALPLAVFASPPPLEQITRSESQLAGRVGYVEMDLLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHTLSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATMAERNQQIARIGAALIEHWQR ->ARGMiner~~~Ant3Ia~~~YP_001144148~~~aminoglycoside unknown +>ARGMiner~~~Ant3Ia~~~YP_001144148~~~aminoglycoside~~~unknown MRSRNWSRTLTERSGGNGAVAVFMACYDCFFVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTKLNIMREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~acrS~~~AAC76296.1~~~multidrug unknown +>ARGMiner~~~acrS~~~AAC76296.1~~~multidrug~~~unknown MAKRTKAEALKTRQELIETAIAQFAQHGVSKTTLNDIADAANVTRGAIYWHFENKTQLFNEMWLQQPSLRELIQEHLTAGLEHDPFQQLREKLIVGLQYIAKIPRQQALLKILYHKCEFNDEMLAEGVIREKMGFNPQTLREVLQACQQQGCVANNLDLDVVMIIIDGAFSGIVQNWLMNMAGYDLYKQAPALVDNVLRMFMPDENITKLIHQTNELSVM ->ARGMiner~~~CMY-40~~~ACA30422.1~~~beta_lactam unknown +>ARGMiner~~~CMY-40~~~ACA30422.1~~~beta_lactam~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGTLAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMAHWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGYTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~oprJ~~~ZP_01363657~~~multidrug unknown +>ARGMiner~~~oprJ~~~ZP_01363657~~~multidrug~~~unknown MNEMRKPAFGVSALLIALTLGACSMAPTYERPAAPVADSWSGAAAQRQGAAIDTLDWKSFIVDAELRRLVGVALDNNRSLRQTLLDIEAARAQYRIQRADRVPGLNAAATGNRQRQPADLSAGNRSEVASSYQVGLALPEYELDLFGRVKSLTDAALQQYLASEEAARAARIALVAEVSQAYLSYDGALRRLALTRQTLVSREYSFALIDQRRAAGAATALDYQEALGLVEQARAEQERNLRQKQQAFNALVLLLGSDDAAQAIPRSPGQRPKLLQDIAPGTPSELIERRPDILAAEHRLRARNADIGAARAAFFPRISLTGSFGTSSAEMSGLFDGGSRSWSFLPTLTLPIFDGGRNRANLSLAEARKDSAVAAYEGTIQTAFREVADALAASDTLRREEKALRALANSSNEALKLAKARYESGVDNHLRYLDAQRSSFLNEIAFIDGSTQRQIALVDLFRALGGGWDEGRSLVVHRGGRS ->ARGMiner~~~arnA~~~YP_002115369~~~polymyxin unknown +>ARGMiner~~~arnA~~~YP_002115369~~~polymyxin~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLDWEPSIAMRDTVEETLDFFLRSVDIAERAS ->ARGMiner~~~OXA-36~~~AAG24866.1~~~beta_lactam unknown +>ARGMiner~~~OXA-36~~~AAG24866.1~~~beta_lactam~~~unknown MFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNAYPSTSNGDYWIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAIL ->ARGMiner~~~mdtF~~~YP_002400010~~~multidrug unknown +>ARGMiner~~~mdtF~~~YP_002400010~~~multidrug~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNTLFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAAGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~CeoA~~~YP_002100653~~~multidrug unknown +>ARGMiner~~~CeoA~~~YP_002100653~~~multidrug~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVSANAPDKAAAPLTEVDVATVVPQTVTDWQTYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAPPLTTLVSVSPIYASFDADEQTYLQYINGARNGRNVPVELGLANETGYSRSGVIDSVDNRLDTSSGTIRVRARFDNADGTLVPGLYARVKVGGSAPHQALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQPGMQHGNRRVIVSGLAAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDDPAAPLASTAKPAAPAKADS ->ARGMiner~~~VEB-7~~~ACO56763.1~~~beta_lactam unknown +>ARGMiner~~~VEB-7~~~ACO56763.1~~~beta_lactam~~~unknown MKIVKRILLVLLSLFFTVEYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKTWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGITAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~mdtG~~~YP_002215984~~~multidrug unknown +>ARGMiner~~~mdtG~~~YP_002215984~~~multidrug~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIHENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGDVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~CTX-M-72~~~AAV97957.1~~~beta_lactam unknown +>ARGMiner~~~CTX-M-72~~~AAV97957.1~~~beta_lactam~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDGTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~OXA-366~~~AJO16038.1~~~beta_lactam unknown +>ARGMiner~~~OXA-366~~~AJO16038.1~~~beta_lactam~~~unknown MNKYFTCYVVASLFLSGCTAQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~LEN-20~~~CAP12348.2~~~beta_lactam unknown +>ARGMiner~~~LEN-20~~~CAP12348.2~~~beta_lactam~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTVGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPVSMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~clbB~~~WP_015892743.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin unknown +>ARGMiner~~~clbB~~~WP_015892743.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin~~~unknown MKLTSKYETIRRILSECKQPEYRYAQIMDAIFKQNIGEYERMTILPKFLRDELNRILGPNVCSIAPVKELTSKQVSKVLFAIPGDEQVEAVRLTYERGWKSYCISTQCGCGFRCKFCATGTIGLKRNLTADEITDQLLYFRLNGHSLDSISFMGMGEALANPHIFEAMTILTDPYLFGLGHRRITISTIGLLPGIDKLTREFPQVNLTFSLHSPFDDQRSELMPINDRFPVRDVLIALDRHIRETGRKVYIAYILLRGVNDSTAHAEAVAELLRGRGAWEHLYHVNLIPFNSTEVTPDSYRQSDPSRIKAFVRILKSRGISVTVRTQFGSDINAACGQLYRSE ->ARGMiner~~~cml~~~CAA42594.1~~~phenicol unknown +>ARGMiner~~~cml~~~CAA42594.1~~~phenicol~~~unknown MPLPLYLLAVAVCAMGTSEFMLAGLVPDIASDLGVTVGTAGTLTSAFATGMIVGAPLVAALARTWPRRSSLLGFILAFAAAHAVGAGTTSFPVLVACRVVAALANAGFLAVALTTAAALVPADKQGRALAVLLSGTTVATVAGVPGGSLLGTWLGWRATFWAVAVCCLPAAFGVLKAIPAGRATAAATGGPPLRVELAALKTPRLLLAMLLGALVNAATFASFTFLAPVVTDTAGLGDLWISVALVLFGAGSFAGVTVAGRLSDRRPAQVLAVAGPLLLVGWPALAMLADRPVALLTLVFVQGALSFALGSTLITRVLYEAAGAPTMAGSYATAALNVGAAAGPLVAATTLGHTTGNLGPLWASGLLVAVALLVAFPFRTVITTAAPADATR ->ARGMiner~~~OXA-27~~~AAG35609.2~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-27~~~AAG35609.2~~~cephalosporin;penam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKADINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALKMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~catB3~~~YP_006965431.1~~~phenicol unknown +>ARGMiner~~~catB3~~~YP_006965431.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSEAMVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPMLCSSNIVGLHKYWLEFAV ->ARGMiner~~~adeF~~~CAJ77856.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeF~~~CAJ77856.1~~~fluoroquinolone;tetracycline~~~unknown MNISKFFIDRPIFAGVLSVLILLAGLLSVFQLPISEYPEVVPPSVVVRAQYPGANPKVIAETVASPLEESINGVEDMLYMQSQANSDGNLTITVNFKLGIDPDKAQQLVQNRVSQAMPRLPEDVQRLGVTTLKSSPTLTMVVHLTSPDNRYDMTYLRNYAVLNVKDRLARLQGVGEVGLFGSGDYAMRVWLDPQKVAQRNLTATEIVNAIREQNIQVAAGTIGASPSNSPLQLSVNAQGRLTTEQEFADIILKTAPDGAVTRLGDVARVELAASQYGLRSLLDNKQAVAIPIFQAPGANALQVSDQVRSTMKELSKDFPSSIKYDIVYDPTQFVRASIKAVVHTLLEAITLVVVVVILFLQTWRASIIPLLAVPVSIIGTFALMLAFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIEAGLNPREATYRAMREVSGPIIAIALTLVAVFVPLAFMTGLTGQFYKQFAMTIAISTVISAFNSLTLSPALAALLLKGHDAKPDALTRIMNRVFGRFFALFNRVFSRASDRYSQGVSRVISHKASAMGVYAALLGLTVGISYIVPGGFVPAQDKQYLISFAQLPNGASLDRTEAVIRKMSDTALKQPGVESAVAFPGLSINGFTNSSSAGIVFVTLKPFDERKAKDLSANAIAGALNQKYSAIQDAYIAVFPPPPVMGLGTMGGFKLQLEDRGALGYSALNDAAQNFMKAAQSAPELGPMFSSYQINVPQLNVDLDRVKAKQQGVAVTDVFNTMQIYLGSQYVNDFNRFGRVYQVRAQADAPFRANPEDILQLKTRNSAGQMVPLSSLVNVTQTYGPEMVVRYNGYTSADINGGPAPGYSSSQAEAAVERIAAQTLPRGIKFEWTDLTYQKILAGNAGLWVFPISVLLVFLVLAAQYESLTLPLAVILIVPMGILAALTGVWLTAGDNNIFTQIGLMVLVGLACKNAILIVEFARELEMQGATAFKAAVEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGVAVFFGMIGVTFFGLFLTPAFYVLIRTLNSKHKLHSAAVHEAPLASPHDH ->ARGMiner~~~mgrA~~~WP_001283444.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_001283444.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~tsnR~~~AAA99931.1~~~peptide unknown +>ARGMiner~~~tsnR~~~AAA99931.1~~~peptide~~~unknown MANLDVIVDRSDPAVQRIVDVTKHSRSVVRTVLIEDIEPLTQSIRAGVEFTEVYGLDTVPFPGDLLAACEKRGIRVRLLSAAVANQVFKTEKKPKVFGIAKVPPAGRFADLESLSGDVVLLDGVKIVGNIGAIVRTRSALGAAGIVLVDSGLGTIADRRLIRASRGYVFSLPIVLATRDEALAFFRDGGMRPVVFEADGKLSIGELDGIDERLVLVFGSEKTGPSGEFAGVATESVSIPMNPAAESLNVSVSAGIALHRRARRNLSRPRG ->ARGMiner~~~LEN-9~~~WP_032735535.1~~~penam;penem unknown +>ARGMiner~~~LEN-9~~~WP_032735535.1~~~penam;penem~~~unknown MRYVRLCVISLLATLPLVVYAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~cmlA5~~~AAM77075.1~~~phenicol unknown +>ARGMiner~~~cmlA5~~~AAM77075.1~~~phenicol~~~unknown MRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWASQSVLGFIAPMWLVGIGVATAVSVSPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRVKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~ErmN~~~CAA66307.2~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmN~~~CAA66307.2~~~macrolide;lincosamide;streptogramin~~~unknown MPSRPRTDSPHRHEGPAGPARLDRDEARRVWGQNFFRSAGSARRFARQLTGAESAGNDSVTVEVGPGAGRITKELVRDGHPIVAVEVDPHWADRLAELELPNLTVVNDDFTTWPLPDGPLRFIGNLPFGTGTRMLRRCLALGPDRCREGVFLLQKQYTRKRTGAYGGNLFNAQWEPWYTFRRGLGFPRQEFAPVPGSDTETLLVRSRPRPLAPWSRHAAYQRFVEDVFNTSRLTIGEAARALDRRAGPGWLRGARVPPGLRVKDITAEQWADLFHACTPPPARRISPQRRR ->ARGMiner~~~SHV-180~~~AJO16040.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-180~~~AJO16040.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGHVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMTATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~Rhodococcus fascians cmr~~~CAA78046.1~~~phenicol unknown +>ARGMiner~~~Rhodococcus fascians cmr~~~CAA78046.1~~~phenicol~~~unknown MPFAIYVLGIAVFAQGTSEFMLSGLIPDMAQDLQVSVPTAGLLTSAFAIGMIIGAPLMAIVSMRWQRRRALLTFLITFMVVHVIGALTDSFGVLLVTRIVGALANAGFLAVALGAAMSMVPADMKGRATSVLLGGVTIACVVGVPGGALLGELWGWRASFWEVVLISAPAVAAIMASTPADSPTDSVPNATRELSSLRQRKLQLILVLGALINGATFCSFTYLAPTLTDVAGFDSRWIPLLLGLFGLGSFIGVSVGGRLADTRPFQLLVAGSAALLVGWIVFAITASHPVVTLVMLFVQGTLSFAVGSTLISRVLYVADGAPTLGGSFATAAFNVGAALGPALGGVAIGIGMGYRAPLWTSAALVALAIVIGAATWTRWREPRPALDTVPP ->ARGMiner~~~mdsA~~~NP_459347.3~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;phenicol;penem unknown +>ARGMiner~~~mdsA~~~NP_459347.3~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;phenicol;penem~~~unknown MRRTFKIMLIAGVIAAIGGVIYMAGEALWDKDNAVGPPASAPPPPSVPVAKALSRTLAPTAEFTGFLAAPETVELRSRVGGTLDAISVPEGRLVSRGQLLFQIDPRPFEVALDTAVAQLRQAEVLARQAQADFDRIQRLVASGAVSRKNADDVTATRNARQAQMQSAKAAVAAARLELSWTRITAPIAGRVDRILVTRGNLVSGGVAGNATLLTTIVSHNPMYVYFDIDEATWLKALRHTRSDKNPPVVNMGLTTDNGLPYQGVLDFMGNQMNRSTGTIRARAVIPDPDGMLSPGLFARISLPIGEPRETVLIDDLAVSADQGKNYVLIVGKENQVEYRPVELGQMVDGFRVVTQGVLPGEKIILKGLVRPGMTVAPRLVPMRQNVTDKQTATLTKADGDSAPKAVRQ ->ARGMiner~~~catB8~~~YP_009077553.1~~~phenicol unknown +>ARGMiner~~~catB8~~~YP_009077553.1~~~phenicol~~~unknown MKNYFNSPFKGELLSEQVKNPNIRVGRYSYYSGYYHGHSFDECARYLLPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRHDWASSFPFFYMQEEPAFSRALDAFQRAGDTVIGNDVWIGSEAMIMPGIKIGDGAVIGSRSLVTKDVEPYAIIGGNPAKQIKKRFSDEEISLLMEMEWWNWPLDKIKTAMPLLCSSNIFGLHKYWREFAV ->ARGMiner~~~amrB~~~YP_108402.1~~~aminoglycoside unknown +>ARGMiner~~~amrB~~~YP_108402.1~~~aminoglycoside~~~unknown MARFFIDRPVFAWVISLFIMLGGIFAIRALPVAQYPDIAPPVVSLYATYPGASAQVVEESVTAVIEREMNGVPGLLYTSATSSAGQASLSLTFKQGVSADLAAVDVQNRLKIVEARLPEPVRRDGISIEKAADNAQIIVSLTSEDGRLSGVELGEYASANVLQALRRVEGVGKVQFWGAEYAMRIWPDPVKMAALGLTASDIASAVRAHNARVTIGDVGRSAVPDSAPIAATVLADAPLTTPDAFGAIALRARADGSTLYLRDVARIEFGGNDYNYPSFVNGKTATGMGIKLAPGSNAVATEKRVRATMEELAKFFPPGVKYQIPYETASFVRVSMSKVVTTLVEAGVLVFAVMFLFMQNFRATLIPTLVVPVALLGTFGAMLAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEKLPPYEATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFAFALAVSIGFSAFLALSLTPALCATLLKPVADDHHEKDGFFGWFNRFVARSTHRYTRRVGRVLERPLRWLVVYGALTAAAALLITKLPAAFLPDEDQGNFMVMVIRPQGTPLAETMQSVRRVEEYVRTHSPSAYTFALGGYNLYGEGPNGGMIFVTMKDWKERKRARDQVQAIIAEINAHFAGTPNTMVFAINMPALPDLGLTGGFDFRLQDRGGLGYGAFVAAREKLLAEGRKDPVLTDLMFAGTQDAPQLKLDIDRAKASALGVSMEEINATLAVMFGSDYIGDFMHGSQVRRVIVQADGRHRLDAADVTKLRVRNAKGEMVPLAAFATLHWTMGPPQLTRYNGFPSFTINGAASAGHSSGEAMAAIERIASTLPAGTGYAWSGQSYEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVAGVTLRGMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLADAALEAARLRLRPIVMTSLAFGVGVLPLAFATGAASGAQIAIGTGVLGGVISATLFAIFLVPLFFVCVGRVFDVVPRRRGGAQAALEAK ->ARGMiner~~~catD~~~AAB51421.1~~~phenicol unknown +>ARGMiner~~~catD~~~AAB51421.1~~~phenicol~~~unknown MVFEKIDKNSWNRKEYFDHYFASVPCTYSMTVKVDITQIKEKGMKLYPAMLYYIAMIVNRHSEFRTAINQDGELGIYDEMIPSYTIFHNDTETFSSLWTECKSDFKSFLADYESDTQRYGNNHRMEGKPNAPENIFNVSMIPWSTFDGFNLNLQKGYDYLIPIFTMGKYYKEDNKIILPLAIQVHHAVCDGFHICRFVNELQELINS ->ARGMiner~~~AAC(3)-IIa~~~CAA31895.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIa~~~CAA31895.1~~~aminoglycoside~~~unknown MHTQKAITEALQKLGVQSGDLLMVHASLKSIGPVEGGAETVVAALRSAVGPTGTVMGYASWDRSPYEETLNGARLDDNARRTWPPFDPATAGTYRGFGLLNQFLVQAPGARRSAHPDASMVAVGPLAETLTEPHELGHALGEGSPNERFVRLGGKALLLGAPLNSVTALHYAEAVADIPNKRWVTYEMPMPGRDGEVAWKTASDYDSNGILDCFAIEGKQDAVETIANAYVKLGRHREGVVGFAQCYLFDAQDIVTFGVTYLEKHFGTTPIVPAHEAIERSCEPSG ->ARGMiner~~~tetR~~~CAD09823.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetR~~~CAD09823.1~~~glycylcycline;tetracycline~~~unknown MMSRLDKSKVINSALELLNEVGIEGLTTRKLAQKLGVEQPTLYWHVKNKRALLDALAIEMLDRHHTHFCPLEGESWQDFLRNNAKSFRCALLSHRDGAKVHLGTRPTEKQYETLENQLAFLCQQGFSLENALYALSAVGHFTLGCVLEDQEHQVAKEERETPTTDSMPPLLRQAIELFDHQGAEPAFLFGLELIICGLEKQLKCESGS ->ARGMiner~~~cmlB~~~AAD22144.1~~~phenicol unknown +>ARGMiner~~~cmlB~~~AAD22144.1~~~phenicol~~~unknown MRSKNCNWRYSLAVTVLLLSPFDLLASLGMDMYLPAVPFMPHALGTTAGTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLAGGAAYVAASIGLVVTSSAGVFLGFRILQACGASACLVATFATVRDIYAGRKESNVIYGLLGSMLAMVPAIGPLLGAVIDTWFGWRAIFAFLGLGMIAALTAAWRLWPETRVQRPAALQWSQLLLPIKHLNFWLYTVCYAAGMGSFFVFFSIAPGLMMGRQGMSQFGFSLLFATVAIAMMLAARFMGRVIAKWGSLSALRMGMGCLIAGAVLLVITELWIPQSVLGFIAPMWLVGVGVATAVSVAPNGALRGFDHIAGAVTAVYFCLGGLLLGSVGTLIISLLPRDTAWPVIAYCLVLATIVLGLSCVSRARDLRGHGEYDAVART ->ARGMiner~~~adeH~~~CAJ77855.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeH~~~CAJ77855.1~~~fluoroquinolone;tetracycline~~~unknown MVITSKQNWLLSSLMGSLLLAGCSLAPEYQPAKVIVPVKFKESDPKLEDNNWKIAQPADQQTRGEWWRIYNDAQLNELEQQAIAGNQNLKAVAANIQASRALRSAAQAERLPSIDAGFGPTRQKPSPASLGLDDNAHTSAQTLWRAQANVSYELDLFGRVASSVNAATADLQQQEALYQSALLALQADVAQGYFLIRQLDTEQAIYNRTIKLLGETRDLMQLRFKNGLVSELDVSRAQTELATAQTTALNIARNRASAEHALAVLLGKPPADFNLAVQPLTANSIRLPAGLPSTLLERRPDIAAAERAMAADNARIGIARAAFFPKLSLTGALGYESSSLSELGKWSSRTFLLGPVAGTILSLPLFDGGQRKAGVAQARAAYEESVANYRQTVLNAFREVENGLSDQRILDQQIQAQNQALSSSRHANQLSHLRYREGAISYLDVIDSDRTILQQEQLAAQLKGSQIIASINLIRALGGGWSS ->ARGMiner~~~clbA~~~AGZ55247.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin unknown +>ARGMiner~~~clbA~~~AGZ55247.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin~~~unknown MQQKNKYIRIQEFLKQNKFPDFRMNQIKNAVFQGRINHFNEITVLPKSLRKLLIEEFGESILNIAPLKVQHSEQVTKVLFEISGDEKIETVNMKYKAGWESFCISSQCGCHFGCKFCATGDIGLKRNLTSDEMTDQILYFHLKGHSIDSISFMGMGEALANVQVFDALHVLTNPELFALSPRRLSISTIGIIPGIKKITQDYPQVNLTFSLHSPFNEQRSKLMPINERYPLLEVMDTLDEHIRVTSRKVYIAYIMLPGVNDSIDHANEVVNLLRSRYKRGNLFHVNIIRYNPTVSSPMRFEEVNEKQVVNFYKKLKSAGINVTVRSQFGIDIDAACGQLYGNYQKNKNQ ->ARGMiner~~~abeS~~~ACJ59254.1~~~macrolide;aminocoumarin unknown +>ARGMiner~~~abeS~~~ACJ59254.1~~~macrolide;aminocoumarin~~~unknown MSYLYLAIAIACEVIATSALKASQGFTVPIPSIITVVGYAVAFYLLSLTLKTIPIGIAYAIWSGAGIILISAIGWIFYKQHLDLAACIGLALMIAGIVIINVFSKNTHL ->ARGMiner~~~CTX-M-40~~~AAV28215.2~~~cephalosporin unknown +>ARGMiner~~~CTX-M-40~~~AAV28215.2~~~cephalosporin~~~unknown MRHRVKRMMLMTTACISLLLGSAPLYAQANDVQQKLAALEKSSGGRLGVALIDTADNAQTLYRADERFAMCSTSKVMAAAAVLKQSETQKNVLSQKVEIKSSDLINYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAHLGGPDKVTAFARAIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLRHLTLGSALGETQRAQLVTWLKGNTTGAASIQAGLPTSWVVGDKTGSGDYGTTNDIAVIWPEGRAPLILVTYFTQPEQKAESRRDVLAAAAKIVTDGY ->ARGMiner~~~cfrA~~~CAL64019.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin unknown +>ARGMiner~~~cfrA~~~CAL64019.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin~~~unknown MNFNNKTKYGKIQEFLRSNNEPDYRIKQITNAIFKQRISRFEDMKVLPKLLREDLINNFGETVLNIKLLAEQNSEQVTKVLFEVSKNERVETVNMKYKAGWESFCISSQCGCNFGCKFCATGDIGLKKNLTVDEITDQVLYFHLLGHQIDSISFMGMGEALANRQVFDALDSFTDPNLFALSPRRLSISTIGIIPSIKKITQEYPQVNLTFSLHSPYSEERSKLMPINDRYPIDEVMNILDEHIRLTSRKVYIAYIMLPGVNDSLEHANEVVSLLKSRYKSGKLYHVNLIRYNPTISAPEMYGEANEGQVEAFYKVLKSAGIHVTIRSQFGIDIDAACGQLYGNYQNSQ ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~ABX30738.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~ABX30738.1~~~penam~~~unknown MKKLIFLIVIALVLSACNSNSSHAKELNDLEKKYNAHIGVYALDTKSGKEVKFNSDKRFAYASTSKAINSAILLEQVPYNKLNKKVHINKDDIVAYSPILEKYVGKDIALKELIEASMKYSDNTANNKIINEIGGIKKIKKRLKKLGDKVTNPVRYEIELNYYSPKSKKDTSTPAAFGKTLNKLIANGKLSKKNKNFLLDLMLNNKNGDTLIKDGIPKDYKVADKSGQAITYASRNDVAFVYPKGQSEPIVLVIFTNKDNKSDKPNDKLISETAKSVMKEF ->ARGMiner~~~SHV-122~~~ADR80609.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-122~~~ADR80609.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDPPGGTELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-131~~~AEW46676.3~~~cephalosporin unknown +>ARGMiner~~~CTX-M-131~~~AEW46676.3~~~cephalosporin~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGGYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~fexA~~~CAD70268.1~~~phenicol unknown +>ARGMiner~~~fexA~~~CAD70268.1~~~phenicol~~~unknown MKKDSKSKEMIQSEKRGSTRLLMMVLSLSVLVGAITADLVNPVLPLISKDLEASKSQVSWIVSGIALVLAIGVPIYGRISDFFELRKLYIFAIMILASGSLLCAIAPNLPLLVLGRMVQGAGMSAIPVLSIIAISKVFPQGKRGGALGIIAGSIGVGTAAGPIFGGVVGQYLGWNALFWFTFLLAIMIVIGAYYALPTIKPAESVGSNKNFDFIGGLFLGLTVGLLLFGITQGETSGFSSFSSLTSLIGSVVALVGFIWRIVTAENPFVPPVLFNNKDYVNTVIIAFFSMFAYFAVLVFVPLLVVEVNGLSSGQAGMILLPGGVAVAILSPFVGRLSDRFGDKRLIITGMTLMGLSTLFLSTYASGASPLLVSVGVLGVGIAFAFTNSPANNAAVSALDADKVGVGMGIFQGALYLGAGTGAGMIGALLSARRDATEPINPLYILDAMSYSDAFLAATGAILIALIAGLGLKKRG ->ARGMiner~~~IMP-8~~~AAK13430.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-8~~~AAK13430.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCVCFLCSITAAGAALPDLKIEKLEEGVYVHTSFEEVNGWGVVSKHGLVVLVNTDAYLIDTPFTATDTEKLVNWFVERGYKIKGTISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFSGVSYWLVKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGNLGDANLEAWPKSAKILMSKYGKAKLVVSSHSEIGDASLLKRTWEQAVKGLNESKKPSQPSN ->ARGMiner~~~tet(C)~~~AAK97755.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~AAK97755.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRGWMAFPIMILLASGGIGMPALQAMLSRQVDDDHQGQLQGSLAALTSLTSIIGPLIVTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~cmlA4~~~AAF27726.1~~~phenicol unknown +>ARGMiner~~~cmlA4~~~AAF27726.1~~~phenicol~~~unknown MRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTVQLTLATYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLAFTSLAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVTGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFFIAPGLIMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWASQSVLGFIAPMWLVGIGVATAVSVAPNGALQGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRAKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~AAC(6')-Ib4~~~AAL38577.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib4~~~AAL38577.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~cmlA1~~~AAO15535.1~~~phenicol unknown +>ARGMiner~~~cmlA1~~~AAO15535.1~~~phenicol~~~unknown MRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWASQSVLGFISPMWLVGIGVATAVSVAPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRAEGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~cmlB1~~~CAL30186.1~~~phenicol unknown +>ARGMiner~~~cmlB1~~~CAL30186.1~~~phenicol~~~unknown MRSKDFSWRYSLAATLLLLSPFDLLASLGMDMYLPVVPFMAGALGAGAGTIQLTLTVYLVLLGAGQLLFGPLSDLLGRRPVLLGGGITYILASFGLAAASSPEVFLSFRILQACGASACLVSTFATVRDIYSGSEESNVIYGLLGSMLAMVPAIGPLLGALVDAWLGWRAIFGLLGIAMIGAVTAAWRFWPETRRQRTADLQWSQLLLPVKCLNFWLYTLCYSAGMGSFFVFFSTAPWLMMGRQALSQLSFSLLFATVAIAMMATARIMGRLIPRWGSLNTLRVGMGCLVVGALLLAVGETLIPNSVLGFIAPMWLVGVGIATAASVAPNGALRGFDHIAGTATAVYFCLGGLLLGGIGTFIIALLPSDTTWPIIAYCLILAIAVLCLSCFNPNRHHPSDDEHDSLATQDIGRSQSGHGHD ->ARGMiner~~~Erm(38)~~~AAN86837.2~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(38)~~~AAN86837.2~~~macrolide;lincosamide;streptogramin~~~unknown MSTPHHGRHELGQNFLSDRRVIADIVEIVSRTNGPIIEIGAGDGALTIPLQRLARPLTAVEVDARRARRLAQRTARSAPGPASRPTEVVAADFLRYPLPRSPHVVVGNLPFHLTTAILRRLLHGPGWTTAVLLMQWEVARRRAAVGGATMMTAQWWPWFEFGLARKVSAASFTPRPAVDAGLLTITRRSRPLVDVADRARYQALVHRVFTGRGHGMAQILQRLPTPVPRTWLRANGIAPNSLPRQLSAAQWAALFEQTRLTGAQRVDRPRDVQHGRAHRRRGGEVDRPATHHKQTGPVVGQRQPQRGRDADADPDDQRTAPPVTRHHQGERRDEDQADHQDRPLTGEHLAGEFLWRHASFDSSASTTLVSRKARVNGPTPPGLGDT ->ARGMiner~~~OXA-2~~~AAB59082.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~OXA-2~~~AAB59082.1~~~carbapenem;cephalosporin;penam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNADPSTSNGDYWIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~mdsC~~~NP_459345.3~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;phenicol;penem unknown +>ARGMiner~~~mdsC~~~NP_459345.3~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;phenicol;penem~~~unknown MRTALIRMISKHNDGNGIMKITFTGYRQTATLATLAFVTTLAGCTMAPKHERPASPTAMVYPYATSTVSGAPDAADIGWRDFFHDPLLQELIAIALRNNRDLRKAGLNVEAARALYRIQRAEMLPTLGIATAMDASRTPADLSVMDESEINRRYEAAGATTAWELDLWGRVRSLSDQALAAYMALDETYIAARMSLVSEVASAWLTLRADRELLRLTEDTLAAQKSSYTLTTQLARTGNATQLDLRMAEIALRSAEINRAAYTRQLARDRNALELLLGQPLTPELSRRLNEAVTLTEGAIPTTLPGGLPSDLLVRRPDIRAAEYRLRGANARIGAARAAFFPTISLTGSAGTASASLSGLFEPGSGSWRFLPQITLPLFHGGALRADLDRAHVQKQIEIARYENVIQQAFRDVADGLAGQRTLNDQVQSEQRAVEASQIAYELAGLRFQEGVDDYLTLLDTHRMLYGAQQRLVRTRLMQQLNIINLYKALGGGWREYSEKKQG ->ARGMiner~~~VEB-1~~~WP_000706731.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~VEB-1~~~WP_000706731.1~~~monobactam;cephalosporin~~~unknown MKIVKRILLVLLSLFFTIVYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKTWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~msrA~~~YP_008709794.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~YP_008709794.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIDHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETNGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLNNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRKYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALERSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSIHDIT ->ARGMiner~~~adeG~~~CAJ77857.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~CAJ77857.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~mel~~~WP_000420313.1~~~macrolide;streptogramin unknown +>ARGMiner~~~mel~~~WP_000420313.1~~~macrolide;streptogramin~~~unknown MELILKAKDIRVEFKGRDVLDINELEVYDYDRIGLVGANGAGKSTLLRVLLGELTPPGCKMNRLGELAYIPQLDEVTLQEEKDFALVGKLGVEQLNIQTMSGGEETRLKIAQALSAQVHGILADEPTSHLDREGIDFLIGQLKYFTGALLVISHDRYFLDEIVDKIWELKDGKITEYWGNYSDYLRQKEEERKSQAAEYEQFIAERARLERAAEEKRKQARKIEQKAKGSSKKKSTEDGGRLAHQKSIGSKEKKMYNAAKTLEHRIAALGKVEAPEGIRRIRFRQSKALELHNPYPIVGAEINKVFGDKALFENASFQIPLGAKVALTGGNGIGKTTLIQMILNHEEGISISPKAKIGYFAQNGYKYNSNQNVMEFMQKDCDYNISEIRSVLASMGFKQNDIGKSLSVLSGGEIIKLLLAKMLMGRYNILIMDEPSNFLDIPSLEALEILMKEYTGTIVFITHDKRLLENVADVVYEIRDKKINLKH ->ARGMiner~~~tet32~~~CAC41371.2~~~tetracycline unknown +>ARGMiner~~~tet32~~~CAC41371.2~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLTEAYRSLSVLDGAVLVISAKDGVQAQTRILFHALQKMNIPTIIFINKIDQNGIDLQRVYQSIKDKLTSDMIVMQEVSLSPKITMTDISDLDKWDMIISGSDELLERYVAEDSLDIQELQYEKCKRTRCCSLFPVYHGSAKDNLGTEKLIEAITETFITETDDIQSELCGYVFKVEYTERKKRLSYLRLYHGTLHLRDTLLLSKKEKIKITEMCIPSNGEIVPVDHACPGEIVILADDTLKLNDILGNEKLLPHKTRIDNPMPLLRTTVEPQKPEQREALLNALTEIADTDPLLHFDIDTVTHEIILSFLGKVQLEVICSLLEEKYHVGVAMKEPSVIYLERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~mphA~~~BAA03776.1~~~macrolide unknown +>ARGMiner~~~mphA~~~BAA03776.1~~~macrolide~~~unknown MTVVTTADTSQLYALAARHGLKLHGPLTVNELGLDYRIVIATVDDGRRWVLRIPRRAEVSAKVEPEARVLAMLKNRLPFAVPDWRVANAELVAYPMLEDSTAMVIQPGSSTPDWVVPQDSEVFAESFATALAALHAVPISAAVDAGMLIRTPTQARQKVADDVDRVRREFVVNDKRLHRWQRWLDDDSSWPDFSVVVHGDLYVGHVLIDNTERVSGMIDWSEARVDDPAIDMAAHLMVFGEEGLAKLLLTYEAAGGRVWPRLAHHIAERLAFGAVTYALFALDSGNEEYLAAAKAQLAAAE ->ARGMiner~~~adeA~~~AAL14439.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~AAL14439.1~~~glycylcycline;tetracycline~~~unknown MQKHLLLPLFLSIGLILQGCDSKEVAQAEPPPAKVSVLSIQPQSVNFSENLPARVHAFRTAEIRPQVGGIIEKVLFKQGSEVRAGQALYKINSETFEADVNSNRASLNKAEAEVARLKVQLERYEQLLPSNAISKQEVSNAQAQYRQALADVAQMKALLARQNLNLQYATVRAPISGRIGQSFVTEGALVGQGDTNTMATIQQIDKVYVDVKQSVSEYERLQAALQSGELSANSDKTVRITNSHGQPYNVTAKMLFEDINVDPETGDVTFRIEVNNTERKLLPGMYVRVNIDRASIPQALLVPAQAIQRNISGEPQVYVINAQGTAEIRPIEIGQQYEQFYIANKGLKVGDRVVVEGIERIKPNQKLALAAWKAPAVANHASSVETKTSIAEGAQP ->ARGMiner~~~cmx~~~AAG03380.1~~~phenicol unknown +>ARGMiner~~~cmx~~~AAG03380.1~~~phenicol~~~unknown MPFALYMLALAVFVMGTSEFMLAGLLPAIATELDVSVGTAGLLTSAFAVGMVVGAPVMAAFARRWPPRLTLIVCLLVFAGSHVIGAMTPVFSLLLITRVLSALANAGFLAVALSTATTLVPANQKGRALSILLSGTTIATVVGVPAGALLGTALGWRTTFWAIAILCIPAAVGVIRGVTNNVGRSETSATSPRLRVELSQLATPRLILAMALGALINGGTFAAFTFLAPIVTETAGLAEAWVSVALVMFGIGSFLGVTIAGRLSDQRPGLVLAVGGPLLLTGWIVLAVVASHPVALIVLVLVQGFLSFGVGSTLITRVLYAASGAPTMGGSYATAALNIGAAAGPVLGALGLATGLGLLAPVWVASVLTAIALVIMLLTRRALTKTAAEAN ->ARGMiner~~~oleD~~~ABA42119.2~~~macrolide unknown +>ARGMiner~~~oleD~~~ABA42119.2~~~macrolide~~~unknown MTTQTTPAHIAMFSIAAHGHVNPSLEVIRELVARGHRVTYAIPPVFADKVAATGARPVLYHSTLPGPDADPEAWGSTLLDNVEPFLNDAIQALPQLADAYADDIPDLVLHDITSYPARVLARRWGVPAVSLSPNLVAWKGYEEEVAEPMWREPRQTERGRAYYARFEAWLKENGITEHPDTFASHPPRSLVLIPKALQPHADRVDEDVYTFVGACQGDRAEEGGWQRPAGAEKVVLVSLGSAFTKQPAFYRECVRAFGNLPGWHLVLQIGRKVTPAELGELPDNVEVHDWVPQLAILRQADLFVTHAGAGGSQEGLATATPMIAVPQAVDQFGNADMLQGLGVARKLATEEATADLLRETALALVDDPEVARRLRRIQAEMAQEGGTRRAADLIEAELPARHERQEPVGDRPNGG ->ARGMiner~~~arlR~~~WP_000192137.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~arlR~~~WP_000192137.1~~~fluoroquinolone;acridinedye~~~unknown MTQILIVEDEQNLARFLELELTHENYNVDTEYDGQDGLDKALSHYYDLIILDLMLPSINGLEICRKIRQQQSTPIIIITAKSDTYDKVAGLDYGADDYIVKPFDIEELLARIRAILRRQPQKDIIDVNGITIDKNAFKVTVNGAEIELTKTEYDLLYLLAENKNHVMQREQILNHVWGYNSEVETNVVDVYIRYLRNKLKPYDRDKMIETVRGVGYVIR ->ARGMiner~~~armA~~~ADC55560.1~~~aminoglycoside unknown +>ARGMiner~~~armA~~~ADC55560.1~~~aminoglycoside~~~unknown MDKNDVVKKILESKKYENLDSDIVEKVVSISEKKYKLKEVENYSKKKLHQIWGSYYSAYPNWDKLLKKYNQGQLSIEDLLKIHSSTNERVATLNDFYTYVFGNIKHVSSILDFGCGFNPLALYQWNENEKIIYHAYDIDRAEIAFLSSIIGKLKTTIKYRFLNKESDVYKGTYDVVFLLKMLPVLKQQDVNILDFLQLFHTQNFVISFPIKSLSGKEKGMEENYQLWFESFTKGWIKILDSKVIGNELVYITSGFQK ->ARGMiner~~~Erm(35)~~~AAK07612.2~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(35)~~~AAK07612.2~~~macrolide;lincosamide;streptogramin~~~unknown MTKKKLPVRFTGQHFTIDKVLIKDAIKESNINQHDTVLDIGAGKGFLTVHLLKNVDKVIAIENDVALSQHLRKKFIHAQNVQVVSCDYRNFVVPKVPFKVVSNIPFGITSDIFSSLMFENVEYFLCGSIILQSEPAKKLFSSKVYNPLTVLYHTYYDLKFLYEINPESFLPPPTVKSALLRIERKQISLDIGLKVKYLNFVSYMLQKPDLTVKTAMKSIFRKKQVRSISEKFGVDLNSKIVCLTPNQWKNCFLEMLEVVPEKFHPS ->ARGMiner~~~cmlA6~~~AAK52606.1~~~phenicol unknown +>ARGMiner~~~cmlA6~~~AAK52606.1~~~phenicol~~~unknown MRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAIVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWALQSVLGFIAPMWLVGIGVATAVSVAPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRVKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~amrA~~~YP_108403.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~YP_108403.1~~~aminoglycoside~~~unknown MKYEWARTRRLSAALAVAAFVAAGCGKHESEHDAAAPREASVVTVKKTSVPLSVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKARAAHLAALDKRRRYDELVRDRAVSERDHTEALADERQAKAAVASARAELARAQLQLDYATVTAPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVESLRRAVKSGRAAGIAQQDVEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAVARDAILVPRDALLRTADSATVKVVGQNGKIRDVTVEAAQMKGRDWIVTRGLAGGERVVVVDAAQFEAGTTVKALERGAAAQPASGAAAASAPGRRST ->ARGMiner~~~dfrE~~~EOD99669.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrE~~~EOD99669.1~~~diaminopyrimidine~~~unknown MLAAIWAQDEQGVIGKEGKLPWHLPNDLKFFKEKTIHNTLVLGRATFEGMGCRPLPNRTTIVLTSNPDYRAEGVLVMHSVEEILAYADNYEGVTVIGGGSVVFKELIPACDVLYRTMIHETFEGDTFFPEIDWFVWEKVATVPGVVDEKNLYAHDYETYHRNDK ->ARGMiner~~~MexT~~~NP_251182.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~NP_251182.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MPVSDPMPLRHLARPRPVSHARLDGEPPRLQPLAPGNEERHEPKRPAPRRSEPADRVRDPDARTQRDPRRRETVPRPAGQPAISAALSRLRTLFDDPLFVRTGRSMEPTARAQEIFAHLSPALDSISTAMSRASEFDPATSTAVFRIGLSDDVEFGLLPPLLRRLRAEAPGFVLVVRRANYLLMPNLLASGEISVGVSYTDELPANAKRKTVRRSKPKILRADSAPGQLTLDDYCARPHALVSFAGDLSGFVDEELEKFGRKRKVVLAVPQFNGLGTLLAGTDIIATVPDYAAQALIAAGGLRAEDPPFETRAFELSMAWRGAQDNDPAERWLRSRISMFIGDPDSL ->ARGMiner~~~clbC~~~BAD63613.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin unknown +>ARGMiner~~~clbC~~~BAD63613.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin~~~unknown MKVVNHATKYERLKHFLNALNEPTYRYKQITEAIFKHRIGAFNKMTTLPKALRESLINEFGPSILTVEPVLETTSQQVTKVLLKVAGNNQVEAVRMHYEAGWESFCISSQCGCGLGCTFCSTGAIGLKQNLSADEMTDQLLYFYLKGHSLDSVSFMGMGEALANVRIFDALNVLVDRQLFALSPRRITVSTVGIIPNIQRMTSSFPQMNLTFSLHSPFHDQRSELMPINNKYPLDQVMNVLDQHIHETGRKVYIAYVMLRGVNDSEKHAEALVKRILNNRYPHLYHVNLIRYNPTVGTPENYGQTIEEKLQTFYRVVKSARIPVTIRSQFGREIDAACGQLYGQYQAKKR ->ARGMiner~~~AAC(6')-Ip~~~WP_069453146.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ip~~~WP_069453146.1~~~aminoglycoside~~~unknown MNYSICDIAESNELILEAAKMLKKSFLDAGNESWGDIKNAIEEVEECIEHPNICLGICLDDKLIGWTGLRPMYDKTWELHPMVIKTEYQGKGFGKVLLRELETRAKSRGIIGIALGTDDEYQKTSLSMIDINERNIFDEIGNIKNVNNHPYEFYKKCGYMIVGIIPNANGKRKPDIWMWQILARKNSPTIAST ->ARGMiner~~~cmrA~~~AAC45805.1~~~phenicol unknown +>ARGMiner~~~cmrA~~~AAC45805.1~~~phenicol~~~unknown MPFAIYVLGLAVFAQGTSEFMLSGLIPDMARDLGVSVPAAGLLTSAFAVGMIIGAPLMAIASMRWPRRRALLTFLITFMLVHVIGALTSSFEVLLVTRIVGALANAGFLAVALGAAMAMVPADMKGRATSVLLGGVTIACVAGVPGGAFLGEIWGWRAAFWAVVVISAPAVVAIMFATPAEPPAESTPNAKRELSSLRSRKLQLMLVLGALINGATFCSFTYMAPTLTDISGFDSRWIPLLLGLFGLGSFIGVSVGGRLADTRPFQLLAVGSAALLTGWIVFALTASHPAVTLVMLFVQGALSFAVGSTLISQVLYAADAAPTLGGSFATAAFNVGAALGPALGGLAIGMGLSYRAPLWTSAALVTLAIVIGAATLSLWRRPASVQETVPA ->ARGMiner~~~OXA-66~~~ABK34774.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-66~~~ABK34774.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~linG~~~ABG65740.1~~~lincosamide unknown +>ARGMiner~~~linG~~~ABG65740.1~~~lincosamide~~~unknown MLQLKMIELFKEGCHEDARIIAALMFGSFAIGEGDEFSDIEFAVFIQDDHFENFDQRSWLNAVSPVAAYFPDDFGHHTALFENGIRGEFHFMRKSDIPVISTWQGYGWFPSLEAAVLLDRSGELSRYASALVGGPPIREGAPLVEGLVLNLISLMLFGANLLNRGEYARAWALLSKAHENLLKLVRLHEGATDHWPTPSRALEKDISEDSYNRYLACTSSAEPRALCAAYHQTWTWSLELFKSVTEPLNIELPRTVIAQAKRLLNESATPHNK ->ARGMiner~~~tet(A)~~~WP_001089072.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tet(A)~~~WP_001089072.1~~~glycylcycline;tetracycline~~~unknown MNSSTKIALVITLLDAMGIGLIMPVLPTLLREFIASEDIANHFGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLTNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~tetO~~~AAA23033.2~~~tetracycline unknown +>ARGMiner~~~tetO~~~AAA23033.2~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGTRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMYVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMIQTTIAVKKSEQREILLGALTEISDCDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~mtrR~~~ACF30254.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~ACF30254.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQAAGVTRGALYWHFKNKEDLFDALFQRICDDIENCIAQDAADAEGGSWTVFRHTLLHFFERLQSNDIYYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITAVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWFSSGESFDLGKTAPRIIGIMMDNLENHPCLRRK ->ARGMiner~~~AAC(6')-Ib7~~~AKN19287.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib7~~~AKN19287.1~~~aminoglycoside~~~unknown MRSRNWSRTLTERSGGNGAVAVFMACYDCFFVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~tet(33)~~~CAD12227.2~~~tetracycline unknown +>ARGMiner~~~tet(33)~~~CAD12227.2~~~tetracycline~~~unknown MSSLTSARGSLATVLITASLDAAGMGLVMPILPALLHEAGVTADAVPLNVGVLIALYAVMQFIFAPVLGTLSDRFGRRRVLLVSLAGATVDYLVLATTSALSVFYIARAVAGITGATNAVTATVIADITPPHQRAKRFGLLSACYGGGMIAGPAMGGLFGAISPHLPFLLAALLSASNLALTFILLRETRPDSPARSASLAQHRGRPGLSAVPGITFLLIAFGLVQFIGQAPGATWVLFTEHRLDWSPVEVGISLSVFGIVQVLVQALLTGRIVEWIGEAKTVIIGCITDALGLVGLAIVTDAFSMAPILAALGIGGIGLPALQTLLSQRVDEQHQGRLQGVLASINSVTSIFGPVAFTTIFALTYINADGFLWLCAAALYVPCVILIMRGTAASPKFGSWASGDSM ->ARGMiner~~~Escherichia coli soxR with mutation conferring antibiotic resistance~~~AAC77033.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli soxR with mutation conferring antibiotic resistance~~~AAC77033.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MEKKLPRIKALLTPGEVAKRSGVAVSALHFYESKGLITSIRNSGNQRRYKRDVLRYVAIIKIAQRIGIPLATIGEAFGVLPEGHTLSAKEWKQLSSQWREELDRRIHTLVALRDELDGCIGCGCLSRSDCPLRNPGDRLGEEGTGARLLEDEQN ->ARGMiner~~~Escherichia coli soxS with mutation conferring antibiotic resistance~~~NP_418486.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;rifamycin;phenicol;triclosan;penem unknown +>ARGMiner~~~Escherichia coli soxS with mutation conferring antibiotic resistance~~~NP_418486.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;rifamycin;phenicol;triclosan;penem~~~unknown MSHQKIIQDLIAWIDEHIDQPLNIDVVAKKSGYSKWYLQRMFRTVTHQTLGDYIRQRRLLLAAVELRTTERPIFDIAMDLGYVSQQTFSRVFRRQFDRTPSDYRHRL ->ARGMiner~~~Escherichia coli marR mutant conferring antibiotic resistance~~~AAC74603.2~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli marR mutant conferring antibiotic resistance~~~AAC74603.2~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKSTSDLFNEIIPLGRLIHMVNQKKDRLLNEYLSPLDITAAQFKVLCSIRCAACITPVELKKVLSVDLGALTRMLDRLVCKGWVERLPNPNDKRGVLVKLTTGGAAICEQCHQLVGQDLHQELTKNLTADEVATLEYLLKKVLP ->ARGMiner~~~mphG~~~BAL43359.1~~~macrolide unknown +>ARGMiner~~~mphG~~~BAL43359.1~~~macrolide~~~unknown MKNRDIQKLAERNGLILSDEMSFNEMGIDFKVGFATDRDGTKWLLRIPRRTTLGEQIANEKRILQLVSKYLSVQVPDWRIANEKLVAYPLLDGKPALTYDAETYEVTWNMSKENDLYIPSLAKALIELHSIPTEEVLRNNLKILTPEQVRNEISERLILVKSELGINAELELRYQKWLDNDALWPNFTKFIHGDLYAGHTLTHHNGEVCGIIDWSTAQVSDIAQDFSGHVTVFGEESLKNLIAAYEKQGGEVWDKLFEQAVERAAAAPLAYGYFALETQDEIHLSSAKLQLGVE ->ARGMiner~~~vanI~~~KTE89608.1~~~glycopeptide unknown +>ARGMiner~~~vanI~~~KTE89608.1~~~glycopeptide~~~unknown MTKLKIAIIFGGCSEEHPVSVKSAGEVAKNLDPEKYEPFYIGITKDGVWQLCHYPEVNWEKGSCRPAILSPDRSVQGLLVLEQGQYQRIPLDLVFPVLHGKFGEDGAMQGLLELSGIPYVGCDIPSSALCMDKSLAYIVAGKAGIATPKFRTVTVKETIDAERLTYPVYVKPARSGSSFGVTKVCRQEELLNAVEIASQYDSKVLIEETVIGTEIGCAIFGNDLDLIAGEVDQIRLSHGFFRIHQENEPEKGSENSTLIVPAGISAEARSRVQETAKAIYRALGCRGLARVDMFLKEDGTVILNEVNTLPGMTSYSRFPRMMAAAGLPFAEVIDRLVSLALKGKTGTN ->ARGMiner~~~vanKI~~~WP_011461306.1~~~glycopeptide unknown +>ARGMiner~~~vanKI~~~WP_011461306.1~~~glycopeptide~~~unknown MLNVDKISEETYYAFISQADLGNFMQYPSWAKVKTEWTSDLLGWFTPDNKLAGCGLILYRKMPCLNRYLAYCPRGPLIDWQSPNLREWFEPLVAYLRSKQVFSIKIDPPVVQAKWSAPTIKTFLGQAREQGSKGKVLRDLPPDEDYTTVQQVQQQLRQMGWRKQRGDTGFAATQPQYVYRLPLEGRSLEEVFAGFHTNWRRNVKKAERLGVKVRVGTEQDLPAFYELLKVTSERDHFKVRSFSYFSNLYRSLKAEAADRIALYLAEDEEELLAATLAVHSNAHSWYLYGASSNVKREKAPNHAIQWRMIQDAYQLKAYTYDFRGISPTLDESDPLFGLLRFKLGFGGEACEMISAWDYPLQPLLYRAFQLYLKRR ->ARGMiner~~~vanRI~~~WP_011461303.1~~~glycopeptide unknown +>ARGMiner~~~vanRI~~~WP_011461303.1~~~glycopeptide~~~unknown MAANILIVDDEQAIADLVEVYLKNENYNLFKFYNGKDALDCIEKEKLDLAILDVMLPDVDGFSICRQIREKHNFPVIMLTAKEEEIDKITGLTLGADDYITKPFRPLELIARVKAQLRRFTKYNSAEPNQEEHVIAFSGLVLDMDTHECTLNEKKLSLTPTEFSILWVLCSNRGRVVSSEELFSEVWGDKYFTNSNNTVMVHIRHLREKMQDSAEHPKYIKTVWGVGYKIEK ->ARGMiner~~~mexZ~~~NP_250710.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexZ~~~NP_250710.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARKTKEESQKTRDGILDAAERVFLEKGVGTTAMADLADAAGVSRGAVYGHYKNKIEVCLAMCDRAFGQIEVPDENARVPALDILLRAGMGFLRQCCEPGSVQRVLEILYLKCERSDENEPLLRRRELLEKQGQRFGLRQIRRAVERGELPARLDVELASIYLQSLWDGICGTLAWTERLRDDPWNRAERMFRAGLDSLRSSPYLLLADA ->ARGMiner~~~mefC~~~BAL43360.1~~~macrolide unknown +>ARGMiner~~~mefC~~~BAL43360.1~~~macrolide~~~unknown MENRKWFKTYMFIWAGQFASMLTSYAVQFAIVIWLSLEYKSAEVLAYAGIAAMLPQALIGLIAGVYVDRLNRKYVMIFSDAFIALCALLLLVILQNENVNLIWIYILLGLRSVGNAFHAPALQAIAPLIVPQNELIKVAGINQVLHSVCRIGGPAIGTLAIAYLPISKVLYLDLIGALLAILSLVMVKIPNVVAKSKSSAHSIATEFSEGFQTVSKNKGLRYLFLYAMAITFVIMPAAIMFPLLTTGHFAGGKWEIGIVEVVWGGGMLIGGVILSIFKLKGSKVVAVNVMYVLLGLTFILSGVLPASWFVGFVMVTAIGGISLSVFNGCFTAIVQTEVSPEKLGRVFSLYYSLAVLPSVIGLLFTGLIAEVIGVNITFIISGCLAILVGILSFSTRNLMQLGKIKNI ->ARGMiner~~~Bifidobacteria intrinsic ileS conferring resistance to mupirocin~~~YP_003971446.1~~~mupirocin unknown +>ARGMiner~~~Bifidobacteria intrinsic ileS conferring resistance to mupirocin~~~YP_003971446.1~~~mupirocin~~~unknown MSETTNSHVYPKANEGGETASVAPNPSFPNMEETVLKYWDKDDTFNKSVERNPSGDHSQNEFVFFDGPPFANGLPHYGHLLTGYAKDVIPRYQTMKGRKVNRVFGWDTHGLPAELEAQKELGIDSVDQIEKMGIDKFNDACRASVLKYTHEWQDYVHRQARWVDFEHGYKTLNIPYMESVMWAFKQLYEKGLAYQGYRVLPYCPKDQTPLSAHELRMDADVYQDRQDTTVSVAVKLRDEEDAYAVFWTTTPWTVPTNFAIVVGADIDYVEVRPTQGKYAGKKFYFGKPLLSKYEKELGEDYEVVRELKGSEMAGWRYWPVFPYFAGDKAESEGNVPGPEGYQIFTADYVDTVEGTGLVHQAPYGEDDMNTLNAHGIKSTDVLDAGCRFTAQCPDYEGMYVFDANKPILRNLRNGDGPLAEIPAEHRAILFQEKSYVHSYPHCWRCATPLIYKPVSSWFVSVTKIKPRLLELNQQINWIPENVKDGQFGKWLANARDWSISRNRFWGSPIPVWVSDDPKYPRVDVYGSLEELKADFGDYPRDKDGNVNMHRPWIDNLTRVNPDDPTGKSHMHRISDVLDCWFESGSMSFAQFHYPFENKEKFEQHFPADYIVEYIGQTRGWFYLLHVMATALFDRPAFKNVICHGIVLGSDGQKMSKHLRNYPDVNGVFDKYGSDAMRWFLMSSPILRGGNLIVTAEGIRDTVRQVMLPVWSSYYFFTLYANAANGGAGFDARQLRADEVAGLPEMDRYLLARTRRLVERVEKSLDEFAISDACDAASDFIDVLTNWYIRNTRDRFWKEDVNAFNTLYTVLEVFMRVLAPLAPMESESVWRGLTGGESVHLADWPYVADEKTGEATELGRVLVDDPALVDAMEKVREIVSGALSLRKAAQIRVRQPLAKLTVVVEDVDAVKAYDEILKSELNIKDIEFCTMEDAGSQGLKIVHELKVNARAAGPRLGKQVQFAIKASKTGAWHVDAATGAPVVETPNGEVALEAGEYELINRVEEENAAEADASVSAALPTGGFVILDTVLTADLEAEGYARDVIRAVQDARKAADLDIADRIALVLTVPSANVADVERFRDLIAHETLATSFAVKEGAELGVEVAKA ->ARGMiner~~~Listeria monocytogenes mprF~~~NP_465220.1~~~peptide unknown +>ARGMiner~~~Listeria monocytogenes mprF~~~NP_465220.1~~~peptide~~~unknown MKEKLMQAYAWFQKNSTVVKIVFITFVMAFVIFEIINIATGIDYPSLKENLTSQSPEQIFIMFIVGLIAVTPMLLYDYVIVKLLPGKFSPSHVIASGWITNTFTNIGGFGGVLGASLRASFYGKNASHKEILLAISKIALFLVSGLSIYCLVSLATLLIPGFADHFVNYWPWLLAGGLYFPILFTITKWKSKSLFVDLPIKRELTLIIASLLEWGFAFGCFAIIGTLMGEPVDIFKVFPLFVIASVIGIASMVPGGVGTFDVVMILGLSQLGVSQELALAWMLFYRIFYYIIPFVVGLLFFVQKAGKKVNDFLEGLPLLFLQKVAHRFLVIFVYGSGLLLILSSAVPNAIYHVPFLYKIMPFNFLFTSQITIVAFGFLLLGLARGIECKTKKAYIITVIVLGCAIFNTLARVFSMKQAIFLGIVLLCLFLARNEFYREKLVYTWSKVIIDSIIFIVCLAGYIVIGIYNSPNIKHSKEIPDYLRIASEHLWLVGFVGVFIAVVSLVIIYIYLSTTKEKLGSPFEAVKVREHLAKWGGNEVSHTMFLRDKLLFWAAEGEVLFSYRIIADKMVIMGEPTGNMDKMEAAIEEVMMNADRFGYRPVFYEVRGTMIPYLHDHGFDFIKLGEEGFVDVQNFTMSGKKKKGERALMNKLEREGYTFEIIEPPFNHDTWTTLRAVSDEWLDGREEKGFSLGFFDTYYLEQAPIAIAKNGEGTIVGFASMMPSYTDEMTSIDLMRYSKEAPSGIMDFLFINLFEKAKEDGFQTFNAGMAPLANVGESKYAFLGERLAGLVYRYSQGFYGFKGLRNFKSKYVTEWEQKFVAFRKRSSIAFTMLQLMILVGKKRPLANSQVVLDFPLEEETKKPDSE ->ARGMiner~~~Clostridium perfringens mprF~~~ABG86067.1~~~peptide unknown +>ARGMiner~~~Clostridium perfringens mprF~~~ABG86067.1~~~peptide~~~unknown MWDSLKKSYRHLKNILGFVTDKRNYENIKKLLKNYKILSDISNIIVSVLVFLSGILLIISGIYPSIFYKIKFLDNIYSLSFLRFSHRASILIGLMLIMTSKEVFFKVKRAYYVTLTLLIVGGAFAFIKDLDYKEGIFILGVIILLILSKKSFYRKSIPIKVTKLSGILIVLSIVMIIFASFIHKFNIHFSKNYKYYIDFFHSTKGYLRIALFTYISFIIFVIIWYLTMPKIEDDERYMDADLEKVSKFFKEIDYGTIFSHLVYLKDKKVFWANEGESLIMYSKYKDKIIVLGDPIATKENLYSCIEEFQAFTNLYGYDVVFYEIEEKNFSTYHDAGYYFFKLGEEARIDLEEFNLIGSKKSAFRNTLRRVEREGYNFSIIEPPFNNEVVSQLKEISDKWLGDRKEKGFSLGWFSEDYIQRSPIAILKNEEENKIMGFVTIMDANDGGETVAIDLMRIDKDAPNASMDYLMLNLFLTFKEKGYKYFSLGEAPLSNVGFNTHSHLQEKLARLVYNSGNIFYSFDGLRRYKSKFSPIWQPRYLAYPKFMSLPEVFINLCLLIANSKERVEKK ->ARGMiner~~~Streptococcus agalactiae mprF~~~AAN00989.1~~~peptide unknown +>ARGMiner~~~Streptococcus agalactiae mprF~~~AAN00989.1~~~peptide~~~unknown MLKKLIEKVKSLTSVIKIVFFISVLVLIIVEMIHLKRTISVEQLKSVFGQLSPMNLFLIILVGVIAVLPTTGYDFVLNGLLRTDKSKRYILQTSWCINTFNNLSGFGGLIDIGLRMAFYGKKGQEKSDLREVTRFLPYLISGLSFISVIALIMSHIFHAKASVDYYYLVLIGASMYFPVIYWISGHKGSHYFGDMPSSTRIKLGVVSFFEWGCAAAAFIIIGYLMGIHLPVYKILPLFCIGCAVGIVSLIPGGLGSFELVLFTGFAAEGLPKETVVAWLLLYRLAYYIIPFFAGIYFFIHYLGSQINQRYENVPKELVSTVLQTMVSHLMRILGAFLIFSTAFFENITYIMWLQKLGLDPLQEQMLWQFPGLLLGVCFILLARTIDQKVKNAFPIAIIWITLTLFYLNLGHISWRLSFWFILLLLGLLVIKPTLYKKQFIYSWEERIKDGIIIVSLMGVLFYIAGLLFPIRAHITGGSIERLHYIIAWEPIALATLILTLVYLCLVKILQGKSCQIGDVFNVDRYKKLLQAYGGSSDSGLAFLNDKRLYWYQKNGEDCVAFQFVIVNNKCLIMGEPAGDDTYIREAIESFIDDADKLDYDLVFYSIGQKLTLLLHEYGFDFMKVGEDALVNLETFTLKGNKYKPFRNALNRVEKDGFYFEVVQSPHSQELLNSLEEISNTWLEGRPEKGFSLGYFNKDYFQQAPIALVKNAEHEVVAFANIMPNYEKSIISIDLMRHDKQKIPNGVMDFLFLSLFSYYQEKGYHYFDLGMAPLSGVGRVETSFAKERMAYLVYHFGSHFYSFNGLHKYKKKFTPLWSERYISCSRSSWLICAICALLMEDSKIKIVK ->ARGMiner~~~fusC~~~WP_001033157~~~fusidicacid unknown +>ARGMiner~~~fusC~~~WP_001033157~~~fusidicacid~~~unknown MNKIEVYKFVKVKQLVYQLIKLYRTNDMNSHKTQKDFLLNEINDIFKEKDIDISDFITSIDDVKLTKKKAEHLLNELKVYIQDFEIPSSSQLEKIFRKVKKLKRPDINLIDTKEISYLGWNDNSSNRKYIVYKNLDDKFEGIYGEISPNKVKGFCKICNQESDTSLFLNKTKHNKSSGTYTKKGDYICYDSFKCNQNLDDINNLYEFIVKIK ->ARGMiner~~~optrA~~~AKA86814~~~oxazolidinone unknown +>ARGMiner~~~optrA~~~AKA86814~~~oxazolidinone~~~unknown MSKATFAIASTNAKEDMKMQYKIINGAVYYDGNMVLENIGIEINDNEKIAIVGRNGCGKTTLLKAIIGEIELEEGTGESEFQVIKTGNPYISYLRQMPFEDESISMVDEVRTVFKTLIDMENKMKQLIDKMENQYDDKIINEYSDISERYMALGGLTYQKEYETMIRSMGFTEADYKKPISEFSGGQRTKIAFIKILLTKPDILLLDEPTNHLDIETIQWLESYLRSYKSTLVIISHDRMFLNRIVDKVYEIEWGETKCYKGNYSAFEEQKRENHIKQQKDYDLQQIEIERITRLIERFRYKPTKAKMVQSKIKLLQRMQILNAPDQYDTKTYMSKFQPRISSSRQVLSASELVIGYDTPLAKVNFNLERGQKLGIVGSNGIGKSTLLKTLMGGVAALSGDFKFGYNVEISYFDQQLAQISGDDTLFEIFQSEYPELNDTEVRTALGSFQFSGDDVFRPVSSLSGGEKVRLTLCKLLYKRTNVLILDEPTNHMDIIGKENLENILCSYQGTIIFVSHDRYFTNKIADRLLVFDKDGVEFVQSTYGEYEKKRMNSEKPFNNIKVEQKVEKNNTVKGDRNSIEKEKVKKEKRIEKLEVLINQYDEELERLNKIISEPNNSSDYIVLTEIQKSIDDVKRCQGNYFNEWEQLMRELEVM ->ARGMiner~~~mupB~~~AEY83581~~~mupirocin unknown +>ARGMiner~~~mupB~~~AEY83581~~~mupirocin~~~unknown MENENIIEEQKILNFWKEENIFKKSIDNRKNDNPFVFYDGPPTANGLPHTGHVLGRVIKDLFARYKTMQGFYVERKAGWDTHGLPVELGVEKKLGIKDKNEIEKYGIEKFINECKNSVFMYEKQWREFSELIGYWVDMEKPYKTMDNTYIESIWYILSDFHKKGLLYKGHKVTPYCPSCETSLSSHEVAQGYKEVKDISVILKFPILDSDENFLVWTTTPWSLPGNIALAINAEEIYVKVNYDNEIFIIMESLLQSVFKDEDNIDIVSKHKGKEFVGKEYLAPFPNKSLMNNENSYKVLPADFVTNKDGTGIVHIAPAYGEDDYKLVQENNIPFINVIDSRGKYNQDSPIFKGELAKESDINIIKELTHLNLLFKKEKYEHSYPFCWRCDNPLIYYAMEGWFIKTTAYKNEIKENNQKIEWYPDHIKNGRFGNFLDNMIDWNIGRKRYWGTPLNIWKCSTCSHEFSPKSINDLIQHSIEDIPSDIELHRPYIDNVKCKCQNCGGDMCREEEVIDVWFDSGSMPFAQNHYPFSGPIQNSYPADFIAEGVDQTRGWFYSLLVISTIFKGEAPYKNALSLGHILDSNGQKMSKSKGNVIDPISMIKTYGADSLRWTLVSDSVPWTNKRFSENMVAQSKSRVIDTLKNIFNFYNMYQKIDNYDYTRDTPKQLNLLDNWAISRMNSVIKEVELHLEKYNPTNASRAIGEFINEISNWYIRRSRSRFWSSEMNEDKKSAYFTLRLILINTCKIIAPFTPFTSEEIHLNLTKKSVHLEDFPQAKEEYINLKLEEDMNKVLDIVEKSRSIRNNINIKTKQPLSNMYIYDNNNLDNEFLRKYKDIIKDEINVKKINIVSDLDNFLEYDVKPNFSTLGPKLGKDMKQFQILFKNIKKEEMNKLINDFDKLQKVFDSLGVTIEEKDFIISKIPKKGFSLSSNDSDRLIILDTNLTQELIREGFVRELIRVIQQLRKQQNFNIEERINVVIDIDSDGLLSIKNNINILKENVLINNLKFEKRETMKYFKINQKEIGIQLMSSFTN ->ARGMiner~~~mupA~~~CAA53189~~~mupirocin unknown +>ARGMiner~~~mupA~~~CAA53189~~~mupirocin~~~unknown MTKKYLNTQNEISAFWNTQKIFKKSIDNRKGQESFVFYDGPPTANGLPHAGHVLGRVIKDLVARLKTMQGFYVERKAGWDTHGLPVELEVEKKIGIKGKQDIEKYGIENFINECKKSVFNYEKEWRDFSKDLGYWVDMDSPYITLENNYIESVWNILSTFHKKGLLYKGHKVTPYCTHDQTALSSHEVAQGYKNVKDLSAVVKFQLTNSKDTYFLSWTTTPWTLPANVALAINKDLNYSKIRVENEYYILATDLINSIITEKYEIIDTFSGSNLINLKYIPPFESDGLVNAYYVVDGEFVTNSEGTGIVHIAPAHGEDDYQLVLERDLDFLNVITREGVYNDRFPELVGNKAKNSDIEIIKLLSKKQLLYKKQKYEHNYPHCWRCGNPLIYYAMEGWFIKTTNFKNEIINNNNNIEWFPSHIKEGRMGNFLENMVDWNIGRNRYWGTPLNVWICNDCNHEYAPSSIKDLQNNSINKIDEDIELHRPYVDNITLSCPKCNGKMSRVEEVIDVWFDSGSMPFAQHHYPFDNQKIFNQHFPADFIAEGVDQTRGWFYSLLVISTILKGKSSYKRALSLGHILDSNGKKMSKSKGNVINPTELINKYGADSLRWALISDSAPWNNKRFSENIVAQTKSKFIDTLDNIYKFYNMYNKIDHYNPNNEITKSRNTLDNWALSRLNTLIKESNIYVNNYDFTSAARLINEYTNTISNWYIGDSRGRFWEQGISNDKKDAYNTLYEILTTLSRLVAPFVPFISEKIHYNLTGKSVHLQDYPQYKESFINQALEDEMHTVIKIVELSRQARKNADLKIKQPLSKMVIKPNSQLNLSFLPNYYSIIKDELNIKNIELTDNINDYITYELKLNFSSVGPKLGNKTKNIQTLIDSLSEYDKKSLIESNNFKSLSSDAELTKDDFIIKTLPKDSYQLSEDNDCVILLDKNLSPELIREGHARELIRLIQQLRKKKNLPINQRIDIYIGVTGELLESIKTNKNMFKENFVIKNIHLNVIDEYENTIHFNNKEIKISLLY ->ARGMiner~~~Streptomyces rishiriensis parY mutant conferring resistance to aminocoumarin~~~AAO47226.2~~~aminocoumarin unknown +>ARGMiner~~~Streptomyces rishiriensis parY mutant conferring resistance to aminocoumarin~~~AAO47226.2~~~aminocoumarin~~~unknown MSTFPAPAAGSRPQDGSDYTARHLMVLDGLEAVRKRPGMYIGSSDSRGLMHCLWEIIDNSVDEALAGACDHIEVILHDDGSVEVTDNGRGIPVDTEPRTGLSGVELAYTKLHAGGKFGGGSYAASGGLHGVGASVVNALSARLDIEVDRHGRTHAISFRRGAPGTYSAPGPDAPFTRAQGLHRTARIPKSRTGTRVRYWADRQIFLKDAKLSLENLHQRARQTAFLVPGLTIVVRDEYGLGEGGSKGEESFRFDGGISEFCEYLAADKPVCDVLRLTGQGTFKETVPVLDEHGQMTPTEVTRELGVDVALRWGTGYDTTLRSFVNIIATPKGGTHVAGFEQAVAKTMNEVLRAKKLLRVAEDDIVKDDALEGLTAVVTVRLAEPQFEGQTKEVLGTSAARRIVNTVISRELKAFLTSTKRDAAAQARVVMEKAVAAARTRIAARQHKDAQRRKTALESSSLPAKLADCRSDDVERSELFIVEGDSALGTAKLARNSEFQALLPIRGKILNVQKSSVTDMLKNAECGAIIQVIGAGSGRTFDIDAARYGKIIMMTDADVDGSHIRTLLLTLFHRYMRPMVESGRVFAAVPPLHRIELVQPKKGQDRYVYTYSDRELRDRLMEFQSKGVRYKDSIQRYKGLGEMDADQLAETTMDPRHRTLRRINLSDLEAAEQVFDLLMGNDVAPRKEFISSSAATLDRSRIDI ->ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~ACJ42146.1~~~cephalosporin;penam unknown +>ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~ACJ42146.1~~~cephalosporin;penam~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNRSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~Escherichia coli acrR with mutation conferring multidrug antibiotic resistance~~~NP_414997.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrR with mutation conferring multidrug antibiotic resistance~~~NP_414997.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MARKTKQEAQETRQHILDVALRLFSQQGVSSTSLGEIAKAAGVTRGAIYWHFKDKSDLFSEIWELSESNIGELELEYQAKFPGDPLSVLREILIHVLESTVTEERRRLLMEIIFHKCEFVGEMAVVQQAQRNLCLESYDRIEQTLKHCIEAKMLPADLMTRRAAIIMRGYISGLMENWLFAPQSFDLKKEARDYVAILLEMYLLCPTLRNPATNE ->ARGMiner~~~CarO~~~AKL79742.1~~~carbapenem unknown +>ARGMiner~~~CarO~~~AKL79742.1~~~carbapenem~~~unknown MKVLRVLVTTTALLAAGAAMADEAVVHDSYAFDKNQLIPVGARAEVGTTGYGGALLWQANPYVGLALGYNGGDISWRDDLSINGTKYDVDMDNNNVYLNAEIRPWGASTNRWAQGLYVAAGAAYLDNDYDLTKRSQDGTIKVNGNNYNFNGSVDGKLSYKNDIAPYLGFGFAPKINKNWGVFGEVGAYYTGNPTVNLKSNGTFVNVNGADFDKDLRAEENKIRNDDKYQWLPVGKVGVNFFW ->ARGMiner~~~Acinetobacter OprD conferring resistance to imipenem~~~AHB92962.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Acinetobacter OprD conferring resistance to imipenem~~~AHB92962.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MLKAQKLTLAVLISAAIISSAQASEQSEAKGFVEDANGSILFRTGYISRDKKNGVDDTSSFAQTAIVNIESGFTPGIVGFGVGVVGDGSFKIGANKNAGNNMIPRETGFNDEGVLTKGAGDSYDHWARGGGSVKARFSNTTVRYGTQVLDLPVLASNTARLVPEYFTGTLLTSHEIKDLEVIAGKFTKDQYSDQVNTDGRHLDRAIVWGAKYKFDDNLNASYYGLDSKDKLERHYLNVNYKQPLANDSSLTYDFSGYHTKFDEGASTYSQTTDDLSNRKNNIWAISTAYNTGPHNIMVAYQQNSGNVGYDYGENADGGQSIYLPNSYLSDFIGNDEKSAQIQYSLDFGKLGVLPGLNWTTAFVYGWDIKVKGLTDDAEEREFFNQVKYTVQSGFAKDASLRIRNSYYRASNAYQTNAYIGDTNEWRIFLDIPVKLF ->ARGMiner~~~nalC~~~NP_252410.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~NP_252410.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIGEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQSPAQG ->ARGMiner~~~ADC-1~~~WP_004714775.1~~~cephalosporin unknown +>ARGMiner~~~ADC-1~~~WP_004714775.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLGAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNRFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-3~~~WP_063857798.1~~~cephalosporin unknown +>ARGMiner~~~ADC-3~~~WP_063857798.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFFFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIYANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLSAIKK ->ARGMiner~~~ADC-4~~~WP_063857801.1~~~cephalosporin unknown +>ARGMiner~~~ADC-4~~~WP_063857801.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIYANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNRFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-6~~~WP_017725267.1~~~cephalosporin unknown +>ARGMiner~~~ADC-6~~~WP_017725267.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTLFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPAMLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-7~~~WP_063857816.1~~~cephalosporin unknown +>ARGMiner~~~ADC-7~~~WP_063857816.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYADNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSNTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTSGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYVVLNAIKK ->ARGMiner~~~ADC-8~~~CAL25116.3~~~cephalosporin unknown +>ARGMiner~~~ADC-8~~~CAL25116.3~~~cephalosporin~~~unknown MMKDILGNLDNVPFKIMTGCIAGLLSCGTVAQSTVQQSIQQSVDRHFKPLMAQYQISGMAIAVSINGQHYYQNYGVASKQTEQNVSEHTLFELGSVSKLFNATLTGYAQAQGQLKLSDHPAQYFPELKNTAVNQATLLNLGTYTAGGFPLQFPEQIKTTQDMTQYFQHWQPKAAPRRIREYSNPSIGLMGYVTALAMKNSYSNLIENTLFPALGMHHSYINVPAAQMSNYAWGYQADQAIRVSPGMFDAEAYGIKSNTADMLKFLDAQINPQNLKPTLRKAIQTTQMGYFRVGQMRQGLGWEQYTYPVSLTTLLAGNSAKMALQPQPVTGISKPITAPQQALLNKTGATNGFSAYVVVIPSQKIGLVMLANRNFPNDARVKAAYATLQQILNADIQK ->ARGMiner~~~ADC-5~~~WP_038405930.1~~~cephalosporin unknown +>ARGMiner~~~ADC-5~~~WP_038405930.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLTPQKYPTDIQRAINETHQGFYQVGTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-12~~~WP_063857787.1~~~cephalosporin unknown +>ARGMiner~~~ADC-12~~~WP_063857787.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDREIKKLVDQNFKPLLDKYDVPGMAVGVIQNNKKYETYYGLQSVQDKKSVSSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKEWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPGLGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFINANLNPQKYPKDTQRAINETHQGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGSYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~Klebsiella pneumoniae OmpK35~~~YP_005226137.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK35~~~YP_005226137.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MGGDGWNYTDNYMTGRTNGVATYRNSDFFGLVDGLSFALQYQGKNDHDRAIRKQNGDGFSTAATYAFDNGIALSAGYSSSNRSVDQKADGNGDKAEAWATSAKYDANNIYAAVMYSQTYNMTPEEDNHFAGKTQNFEAVVQYQFDFGLRPSIGYVQTKGKDLQSRAGFSGGDADLVKYIEVGTWYYFNKNMNVYAAYKFNQLDDNDYTKAAGVATDDQAAVGIVYQF ->ARGMiner~~~Klebsiella pneumoniae OmpK36~~~YP_005228001.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK36~~~YP_005228001.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKVKVLSLLVPALLVAGAANAAEIYNKDGNKLDLYGKIDGLHYFSDDKSVDGDQTYMRVGVKGETQINDQLTGYGQWEYNVQANNTESSSDQAWTRLAFAGLKFGDAGSFDYGRNYGVVYDVTSWTDVLPEFGGDTYGSDNFLQSRANGVATYRNSDFFGLVDGLNFALQYQGKNGSVSGEGALSPTNNGRTALKQNGDGYGTSLTYDIYDGISAGFAYSNSKRLGDQNSKLALGRGDNAETYTGGLKYDANNIYLATQYTQTYNATRAGSLGFANKAQNFEVVAQYQFDFGLRPSVAYLQSKGKDLEGYGDQDILKYVDVGATYYFNKNMSTYVDYKINLLDDNSFTHNAGISTDDVVALGLVYQF ->ARGMiner~~~ADC-31~~~WP_001211223.1~~~cephalosporin unknown +>ARGMiner~~~ADC-31~~~WP_001211223.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPLDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTSGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-39~~~WP_063857800.1~~~cephalosporin unknown +>ARGMiner~~~ADC-39~~~WP_063857800.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNRSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~KPC-1~~~AAK70220.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-1~~~AAK70220.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~bcr-1~~~ALV80601.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~ALV80601.1~~~bicyclomycin~~~unknown MPASASRIQVGSGERRLLLLLSALVAFGPLSIDMYLPSLPAIAADLGASDAQVQRSISGFLVGFCVGMLFYGPLSDRFGRRPVLLAGIALYLFSSLACALADSAGQLVLLRVLQALGGGAASVLARAMVRDLYPLGEAARMLALMHMVTMLAPLAAPLLGGYLMLWAGWRALFVVLALFAGLCLLAVWRVAESHPPERRGGSLAQAFLAYGRLLGDRRALGYVLCMGLAFAGMFAYISAAPFVFIEHFGVRAERFGWFFGLNILGVMLATWCSARLVRRHGPRPLLRAGSLLACVSGLFLLGYAALGERGGLWALVPGLLCFVSVTGLLGANCIASLLALYPGQAGAASAVAVSGQFGLGCLASLAVGWLALPGVLPMALVMAVCGVGSLLALGLALHGGNR ->ARGMiner~~~mexR~~~NP_249115.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~mexR~~~NP_249115.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNYPVNPDLMPALMAVFQHVRTRIQSELDCQRLDLTPPDVHVLKLIDEQRGLNLQDLGRQMCRDKALITRKIRELEGRNLVRRERNPSDQRSFQLFLTDEGLAIHQHAEAIMSRVHDELFAPLTPVEQATLVHLLDQCLAAQPLEDI ->ARGMiner~~~MvaT~~~NP_253005.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MvaT~~~NP_253005.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MSLINEYRATEEAIKELQERLKSLEQDDKLKKELEFEEKLRTLMGTYQKSLRDVISLLDPDAKIGKSTRTAKAPAGKRARKVKQYKNPHTGEVIETKGGNHKTLKEWKAKWGPEAVESWATLLG ->ARGMiner~~~ADC-18~~~WP_002118772.1~~~cephalosporin unknown +>ARGMiner~~~ADC-18~~~WP_002118772.1~~~cephalosporin~~~unknown MRFKKISCLLLPPLFIFSTSIYAGNTPKEQEVKKLVDQNFKPLLDKYDVPGMAVGVIQNNKKYEIYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKAKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKTKNAIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPPLHLKNSYVNVPKTQMQNYAYGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLTFINANLNPQKYPKDIQRAISETHQGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGSYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-19~~~WP_063857793.1~~~cephalosporin unknown +>ARGMiner~~~ADC-19~~~WP_063857793.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFNTSIYAGNTSKEQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKKLKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPDLGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFINANLNPQKYPKDIQRAINETHQGFYQVGTMYQALGWEEFSYPALLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-20~~~WP_063857794.1~~~cephalosporin unknown +>ARGMiner~~~ADC-20~~~WP_063857794.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYADNTPKDQEIKKLVDQNFKPLLDKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKTVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTSGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPGLGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFINANLNPQKYPKDIQRAINETHQGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-21~~~WP_063857795.1~~~cephalosporin unknown +>ARGMiner~~~ADC-21~~~WP_063857795.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLDKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVSSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKLKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPDLGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFINANINPQKYPADIQRAINETHQGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSIKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-22~~~WP_063857796.1~~~cephalosporin unknown +>ARGMiner~~~ADC-22~~~WP_063857796.1~~~cephalosporin~~~unknown MRFKKISCLLLPPLFIFSTSIYAGNTPKDREIKKLVDQNFKPLLDKYDVPGMAVGVIQNNKKYETYYGLQSVQDKKAVSSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPDLGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFINANLNTQKYPKDIQRAINETHQGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-23~~~WP_063857797.1~~~cephalosporin unknown +>ARGMiner~~~ADC-23~~~WP_063857797.1~~~cephalosporin~~~unknown MRFKKISCLLLPPLFIFSTSIYAGNTPKEQEVKKLVDQNFKPLLDKYDVPGMAVGVIQNNKKYEIYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKAKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKTKNAIGEYRQYSNPSIGLFGKIVALSMNKPFDQVLEKTIFPPLHLKNSYVNVPKTQMQNYAYGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLTFINANLNPQKYPKDIQRAINETHQGFYQVGTMYQALGWEEFSYPASLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~lnuG~~~APU52409.1~~~lincosamide unknown +>ARGMiner~~~lnuG~~~APU52409.1~~~lincosamide~~~unknown MLKQKELMARVKELVQSDERISACMMYGSFTKGEGDQYSDIEYYVFLKDDTISTFDSAKWLNEVASYTLLYQNEYGTEVVIFENLIRGEFHFLSENEMNIIPSFKESGYIPDTKAMFIYDETGQLELYLSELEGPGPNRLTEENVNFLLNNFSNLWLMGINVLKRGENARSLELLSQLQKNILQLIRIAEENADNWFNMTKNLEKEISPENYEKFKKTTARLNELELYEAYKNSLLLVMELRNLVEKQYQLTISDDFLGKLFNYMNE ->ARGMiner~~~ANT(3'')-IIa~~~EEX02086.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-IIa~~~EEX02086.1~~~aminoglycoside~~~unknown MPDFIQLEYLQEKLQQLLAESLFAIYLYGSAVDGGLGPESDLDVLVVVTQPLTSALREQLAQELLKISQPVGELQRPLEVTILLKDEIQAGNYPLSYEMQFGEWLREELKEGGTLSSQKDPDISILLRKARFHHTVLFGPALDQWAPEISDQELWQAMSDTYPEIVAHWDEDADERNQILALCRIYFSLVMKDIASKDNAARWVMPQLPPEQKFVLQRLIQEYRGEIGKQNWQEEHYALQPIVNFLSSKIEEQFEQKRNLIT ->ARGMiner~~~ADC-25~~~WP_001211217.1~~~cephalosporin unknown +>ARGMiner~~~ADC-25~~~WP_001211217.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~LpeA~~~CAH14032.1~~~macrolide unknown +>ARGMiner~~~LpeA~~~CAH14032.1~~~macrolide~~~unknown MLGIQFNRGQWRSNYYWQIPVLIIAVISILFFISKKLFYSKVTPESIPNKLVEVEPIKSHNLQQTIHLLGTIHPKHATTLIAKESGMLDTLIPTGQKVTKGTLIAKINNPDLEKNLQLSLSAVELAKAQYERITPLIKSGYVSTKEVEEKKQAWIDAQKELSKTRIELDNLRFYAPFDGIIGAYKKREGAQVNAGESVVSIYDPSALVVDFDIPCSNLATLNEGQPVYVLGKRYSLSHLQKMLDEDTHMCPADVDIQCDDCLIGATTSVELLVAEKNNTIVIPFQAIFLRNSKPFVYLVKKGKIVLASVKTGLQQEDKIEIVEGLKAGQQLVTKGQERLYPEMTVDIYHPATSSS ->ARGMiner~~~LpeB~~~CAH14033.1~~~macrolide unknown +>ARGMiner~~~LpeB~~~CAH14033.1~~~macrolide~~~unknown MKLTSYFIKHPVITIILNAMIVVLGLLCLYNLSVREYPDINFPTITVSASYPNASPDLVETAITNVLEDRLAGIEGLETITSQSSAGYAQITLMFRSGTSMDRALSTTQDAVGVAKALLPPEVRSPTVERQRKSNGLPFIGISLESTSKDFGELTHYANLNLKNVFRSVQGVASVEVWGQPYTYNITLQPEKLFSFGVNVDEIVNALAKNRISLPAGNYRNKIPSTLNSELKTREDYENLLIKANSKHPILLKSLANVALETDNSQMRVRVNGHAGVVLSINKANEANPIEVSKEIRKVIKGLQQGLPKDLKINTIIDQSDFINASIKNIRSAIGEAIFLVLIIVFLFLRNIKATIIPLITIPISLLGSLLFLKLFGFSINLMTLLAMVLAIGLVVDDAIIVLENIWRHIESGLSPLDAALKGAREIGFAIIAMTFTLSSVYLPIAFIQGMLGQLFVEFAVALAGSVFISGIVALTLSPLMCANLLSASSKNWWPQFDNALEKLTKHYSNILQFILKHQKITLLTALISVVACFGFYNLISHETAPKEDRGLIGIYIPPVAGEDIGMLDNKTGKLEKKLDAIPEANNRLTFIGDWGGSIVLPLKPHAQRHRSANQIVEKLRPSFNHFPSMDPHVWSWDTGLPGVDDAGSGSELTLVISTPDHFRQLFDETEKLKSVLDKSKLFAAVNYDLRLDTMGYNIDLDYNQLAKLGLTANQVAKTIEVFFSGDKSQTFEKDGVVYNISIKGDSSPWTLNELYLTTNEGKSVSLGAITKMQPKAQPATLDHYQQMRSTTLHVQLHKGDSMAKAIEKLWGTTKEVMPQHYKLTWIGAAKAFHESSNSMLFLFFLSLAFIYAILCTQFENFTDPFIILFTVPLACSGALLFTYLFGQSLNIYTQVGLITLIGLISKHGILIVEFANKLHKDGASLPEAIQKSAALRLRPILMTTGAMVFGAIPLVLSHDAGSESRHAIGTVLISGLGLGTLFTLFVLPAVYNIIKSKLIDMQKTGGKKRCSKE ->ARGMiner~~~kamB~~~WP_063964000.1~~~aminoglycoside unknown +>ARGMiner~~~kamB~~~WP_063964000.1~~~aminoglycoside~~~unknown MRRVVGKRVQEFSDAEFEQLRSQYDDVVLDVGTGDGKHPYKVARQNPSRLVVALDADKSRMEKISAKAAAKPAKGGLPNLLYLWATAERLPPLSGVGELHVLMPWGSLLRGVLGSSPEMLRGMAAVCRPGASFLVALNLHAWRPSVPEVGEHPEPTPDSADEWLAPRYAEAGWKLADCRYLEPEEVAGLETSWTRRLHSSRDRFDVLALTGTISP ->ARGMiner~~~QepA2~~~WP_032492277.1~~~fluoroquinolone unknown +>ARGMiner~~~QepA2~~~WP_032492277.1~~~fluoroquinolone~~~unknown MSATLHDTAADRRKATRREWIGLAVVALPCLVYAMDLTVLNLALPVLSRELQPSSAQLLWILDIYGFFVAGFLITMGTLGDRIGRRRLLLIGAAFFAFGSVLAALADTAALLIAARALLGLAGATIAPSTMALIRNMFHDPRQRQFAIGVWIAAFSLGSAIGPLVGGVLLEFFHWGAVFWLNVPVMLLTLALGPRFLPEYRDPDAGHLDLASVLLSLAAVLLTIYGLKQLAEHGAGLASMAALLAGLAVGALFLRRQGHIAYPLLDLRLFAHAPFRAALAAYALAALAMFGVYIFMTQYLQLVLGLSPLQAGLATLPWSLCFVIGSLLSPQLAARWPAARILVVGLSAAAFGFAVLGLGQGLWWLVPATIVMGLGLAPVFTIGNEIIITSAPSERAGAASALSETVSEFSGALGIALFGSVGLVVYRQALTSAALPGLPADALQAAGASLGGAVHLADTLPAWQGAALLAAARAGFTDALQATAWAGAVLVLVAAGLVARLLRKRPALASG ->ARGMiner~~~TMB-1~~~WP_063865147.1~~~carbapenem;cephalosporin;cephamycin unknown +>ARGMiner~~~TMB-1~~~WP_063865147.1~~~carbapenem;cephalosporin;cephamycin~~~unknown MRPFLFLIIFISHFAFANEEIPGLEVEEIDNGVFLHKSYSRVEGWGLVSSNGLVVISGGKAFIIDTPWSESDTEKLVDWIRSKKYELAGSISTHSHEDKTAGIKWLNGKSITTYASALTNEILKREGKEQARSSFKGNEFSLMDGFLEVYYPGGGHTIDNLVVWIPSSKILYGGCFIRSLESSGLGYTGEAKIDQWPQSARNTISKYPEAKIVVPGHGKIGDFELLKHTKVLAEKASNKANHGDR ->ARGMiner~~~TMB-2~~~BAM73613.1~~~carbapenem;cephalosporin;cephamycin unknown +>ARGMiner~~~TMB-2~~~BAM73613.1~~~carbapenem;cephalosporin;cephamycin~~~unknown MRPFLFLIIFISHFAFANEEIPGLEVEEIDNGVFLHKSYSRVEGWGLVSSNGLVVISGGKAFIIDTPWSESDTEKLVDWIRSKKYELAGSISTHSHEDKTAGIKWLNGKSITTYASALTNEILKREGKEQARSSFKGNEFSLMDGFLEVYYPGGGHTIDNLVVWIPSSKILYGGCFIRSLEPSGLGYTGEAKIDQWPQSARNTISKYPEAKIVVPGHGKIGDFELLKHTKVLAEKASNKANHGDR ->ARGMiner~~~Pseudomonas aeruginosa soxR~~~NP_250963.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;acridinedye;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Pseudomonas aeruginosa soxR~~~NP_250963.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;acridinedye;rifamycin;phenicol;triclosan~~~unknown MKNSCASRELSVGELARRAGVAVSALHFYETKGLISSQRNAGNQRRFSRETLRRVVVIKVAQRVGIPLAEIARALQTLPAGRSPSAADWARLSAQWKEDLTERIDKLLLLRDQLDGCIGCGCLSLQACPLRNPGDQLSAEGPGAHWLDAEGREHDG ->ARGMiner~~~MCR-2~~~WP_065419574.1~~~peptide unknown +>ARGMiner~~~MCR-2~~~WP_065419574.1~~~peptide~~~unknown MTSHHSWYRYSINPFVLMGLVALFLAATANLTFFEKAMAVYPVSDNLGFIISMAVAVMGAMLLIVVLLSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNAMQTDQAESKDLMNLAFFVRIIGLGVLPSVLVAVAKVNYPTWGKGLIQRAMTWGVSLVLLLVPIGLFSSQYASFFRVHKPVRFYINPITPIYSVGKLASIEYKKATAPTDTIYHAKDAVQTTKPSERKPRLVVFVVGETARADHVQFNGYGRETFPQLAKVDGLANFSQVTSCGTSTAYSVPCMFSYLGQDDYDVDTAKYQENVLDTLDRLGVGILWRDNNSDSKGVMDKLPATQYFDYKSATNNTICNTNPYNECRDVGMLVGLDDYVSANNGKDMLIMLHQMGNHGPAYFKRYDEQFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFIAKSIDWLKTHEANYDVAMLYVSDHGESLGENGVYLHGMPNAFAPKEQRAVPAFFWSNNTTFKPTASDTVLTHDAITPTLLKLFDVTAGKVKDRAAFIQ ->ARGMiner~~~FosA6~~~AMQ12811.1~~~fosfomycin unknown +>ARGMiner~~~FosA6~~~AMQ12811.1~~~fosfomycin~~~unknown MLSGLNHLTLAVSQLAPSVAFYQQLLGMTLHARWDSGAYLSCGDLWLCLSLDPQRRVTPPEESDYTHYAFSISEADFASFAARLEAAGVAIWKLNRSEGASHYFLDPDGHKLELHVGSLAQRLAACREQQYKGMVFFDQ ->ARGMiner~~~FosA7~~~KKE03230.1~~~fosfomycin unknown +>ARGMiner~~~FosA7~~~KKE03230.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSNLQTSLTFWRDLLGLQLHAEWDTGAYLTCGDLWVCLSYDVSCNYVAPQECDYTHYAFSIAPEDFEPFSYKLKQAGVTVWKDNKSEGQSFYFLDPDGHKLELHVGDLASRLAQCREKPYSGMRFGPGK ->ARGMiner~~~Moraxella catarrhalis M35~~~AAX99225~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Moraxella catarrhalis M35~~~AAX99225~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLALATAVAALSVSAAQATPTVYGKAFLTVDANNTDTTYNSGLVQLSEDTNESGLNSNTSRIGFKGSEALNANTDVVYQLEYKIDIDADRGDNFKSRDTYLGLAHKQYGTLLAGRLTTIDDSVDFASMLEDNNVADIGPTFNAPRANNAFAYVSPEYNGTQFLAMYAFDSDTDKGGLAKDDQFGVGATYSTGPINAGATYIHYGDDSHIRLSGNYAVSPALTVGALYQISEFGVAAKNQKASPLSEGKVGDKKENTLIVSGEMKTATPWTAYGQATLIKNVAGNDGDESVGVGIGGKYAFNKATTGHVYTGYVNSERKNVKYEGSNETHKNAHKDTKFDGYKNSGFGIGAGLEYKF ->ARGMiner~~~porin OmpC~~~APB87301~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~porin OmpC~~~APB87301~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKVKVLSLLVPALLVAGAANAAEVYNKDGNKLDLYGKVDGLHYFSDNKDVDGDQTYMRLGFKGETQVTDQLTGYGQWEYQIQGNSAENENNSWTRVAFAGLKFQDVGSFDYGRNYGVVYDVTSWTDVLPEFGGDTYGSDNFMQQRGNGFATYRNTDFFGLVDGLNFAVQYQGKNGSVSGEGMTNNGRDALRQNGDGVGGSITYDYEGFGIGAAVSSSKRTDAQNTAAYIGNGDRAETYTGGLKYDANNIYLAAQYTQTYNATRVGSLGWANKAQNFEAVAQYQFDFGLRPSVAYLQSKGKNLGVINGRNYDDEDILKYVDVGATYYFNKNMSTYVDYKINLLDDNQFTRDAGINTDNIVALGLVYQF ->ARGMiner~~~Vibrio cholerae OmpU~~~AID70696~~~peptide unknown +>ARGMiner~~~Vibrio cholerae OmpU~~~AID70696~~~peptide~~~unknown MNKTLIALAVSAAAVATGAYADGINQSGDKAGSTVYSAKGTSLEIGGRAEARLSLKDGKAEDKSRVRLNFLGKAEINDSLYGIGFYEGEFTTADNADGSELDNRYTYAGIGGTYGEVTYGKNDGALGVITDFTDIMSYHGNSAADKIAVADRTDNMLAYKGQFGDLGVKASYRFADRDTSTGEFTDNKKEDGYSLSAIYAFGDTGFNIGAGYADQNDNNEYMLAASYRMENVYFGALFTDGEKNFNSKSNGNNSVVKGKFTGVQDYTGYELAAGYKLGQAAFTTTYNNAETANDTSANNVAIDATYYFKPNFRTYISYNFNLLDSGDKLGNSSVSKIDAEDELAIGLRYDF ->ARGMiner~~~Escherichia coli LamB~~~BAB38442~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~Escherichia coli LamB~~~BAB38442~~~fluoroquinolone;tetracycline~~~unknown MMITLRKLPLAVAVAAGVMSAQAMAVDFHGYARSGIGWTGSGGEQQCFQTTGAQSKYRLGNECETYAELKLGQEVWKEGDKSFYFDTNVAYSVAQQNDWEATDPAFREANVQGKNLIEWLPGSTIWAGKRFYQRHDVHMIDFYYWDISGPGAGLENIDVGFGKLSLAATRSSEAGGSSSFASNNIYDYTNETANDVFDVRLAQMEVNPGGTLELGVDYGRANLRDNYRLVDGASKDGWLFTAEHTQSVLKGFNKFVVQYATDSMTSQGKGLSQGSGVAFDNEKFAYNINNNGHMLRILDHGAISMGDNWDMMYVGMYQDINWDNDNGTKWWTVGIRPMYKWTPIMSTVMEIGYDNVESQRTGDKNNQYKITLAQQWQAGDSIWSRPAIRVFATYAKWDEKWGYDYNGDSKVNPNYGKAVPADFNGGSFGRGDSDEWTFGAQMEIWW ->ARGMiner~~~Burkholderia pseudomallei Omp38~~~AAP82271~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Burkholderia pseudomallei Omp38~~~AAP82271~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MNKTLIVAAVAASFATVAHAQSSVTLYGVLDAGITYQSNVATPSGSGKSLWSVGAGVDQSRFGLRGSEDLGGGLKAIFTLESGFNIGNGRFNNGGGMFNRQAFVGLSSNYGTVTLGRQYDATQDYLSPLSATGTWGGTYFAHPLNNDRLNTNGDVAVNNTVKFTSANYAGLQFGGTYSFSNNSQFANNRAYSAGASYQFQGLKVGAAYSQANNAGANTTGATDPLTGFNIGGTNAASIQGRSRVYGAGASYAYGPLQGGLLWTQSRLDNLANGAPTIRADNYEANVKYNLTPALGLGVAYTYTNAKANGESTHWNQVGVQADYALSKRTDVYAQAVYQRSSKNANASIYNGDLSTPFSTSINQTAATVGLRHRF ->ARGMiner~~~Vibrio cholerae OmpT~~~AAC28105~~~peptide unknown +>ARGMiner~~~Vibrio cholerae OmpT~~~AAC28105~~~peptide~~~unknown MKKTLLALAVLAAAGSVNAAEILKSDAGTVDFYGQLRTELKFLEDKDPTIGSGSSRAGVDANYTVNDSLALQGKVEFALKDSGDMYVRNHILGVKTNFGKFSFGKQWTTSDDVYGADYSYFFGGTGLRYGTLSDALHDSQVKYVYEADSFWVKAGYGFPEDNAKQELAELYVGATFGDLAVHAGGGQNRDKAFKVGSNTVGTTTTDIKADVTNSYFEVTGEYTIGDALIGVTYYNAELDVENNPLVIDEDAISVAGTYKVADKTKLYAGYEYVMQEANTGADEDGTLVYLGVEYKFASWARVYAEYGYGDGTTLGYTNKGSDAEVKATKVDSANNFGIGARIYW ->ARGMiner~~~Serratia marcescens Omp1~~~AIA49384.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~AIA49384.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MKRNILAVVIPALLAAGAANAAEIYNKDGNKLDLYGKVDGLHYFSDDKGNDGDQTYVRFGFKGETQITDQLTGYGQWEYNVQANHSESQGTEGTKTRLGFAGLKFADYGSFDYGRNYGVLYDVEGWTDMLPEFGGDTYTYTDNFMTGRTNGVATYRNNNFFGLVDGLNFAVQYQGKNQNDGRNVKKQNGDGWGISSTYDIGEGVSFGAAYASSNRTDDQKLRSNERGDKADAWTVGAKYDANNVYLAAMYAETRNMTPFGGGNFGAGCAATDDKCGGFASKTQNFEVTAQYQFDFGLRPEVSYLQSKGKNLNVPGVGSDQDLVKYVSVGTTYYFNKNMSTYVDYKINLLDDNEFTKATGTATDDIVAVGLVYQF ->ARGMiner~~~Escherichia coli MipA~~~NP_310518~~~fluoroquinolone;aminoglycoside unknown +>ARGMiner~~~Escherichia coli MipA~~~NP_310518~~~fluoroquinolone;aminoglycoside~~~unknown MTKLKLLALGVLIATSAGVAHAEGKFSLGAGVGVVEHPYKDYDTDVYPVPVINYEGDNFWFRGLGGGYYLWNDATDKLSITAYWSPLYFKAKDSGDHQMRHLDDRKSTMMAGLSYAHFTQYGYLRTTLAGDTLDNSNGIVWDMAWLYRYTNGGLTVTPGIGVQWNSENQNEYYYGVSRKESARSGLRGYNSNDSWSPYLELSASYNFLGDWSVYGTARYTRLSDEVTDSPIVDKSWTGLISTGITYKF ->ARGMiner~~~Klebsiella pneumoniae OmpK37~~~CAA09666.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK37~~~CAA09666.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKRKVLALVIPALLAAGAAHAAEIYNKDGNKLDLYGKVDGLHYFSSDSKKDGDQTYLRFGFKGETQINDILTGYGQWEYNVQANNTETSSDQAWTRLAFAGIKVGDYGSFDYGRNYGVLYDVEGWTDILPEFGGDSYTYADNFMAGRANGVATYRNSDFFGLVEGLNFALQYQGKNEGQNAQDINVGTNNRSSDSDVRFDNGDGFGLSTSYDFGMGISAAAAYTSSDRTNDQMTQTNARGDKAEAWTAGLKYDANDIYLATMYSETRNMTPYGNDGVANKTQNFEVTAQYQFDFGLRPAISYLQSKGKDLYNNGRYADKDLVKYMDVGATYYFNRNMSTYVDYKINLLDGNDKFYEDNGISTDNIVALGLVYQF ->ARGMiner~~~MCR-3~~~ASF81896.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~ASF81896.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIVWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNRARNSEGLLDVLQKTGISIFWKENDGGCKGVCDRVPNIEIEPKDHPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYQFAPDDQTRVPMQVWMSPGFTKEKGVDMACLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~OprZ~~~EGP45230~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin unknown +>ARGMiner~~~OprZ~~~EGP45230~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin~~~unknown MKPVAMTLLALALSGCSLAPTHERPAAPVPAQYDTPAQPGQAAAPQDWRAYFNDPALQAWIAAALANNRDLRVAALRIEEARALYGVQQSERLPAIDASGEFSRGRATEPGQPRTPVSNRYRAAVGITAFELDFFGRVRSLSDAALARYLASEEAHRAATLALVAETATAYFNQRSLAEQLRLTDDTLALRETTLKLTQRRYDAGLETAIGLRTAQMLVESSRATRAELTREASLARHALGLLAGDFALPLGVDPTPLESQSLTPLAAGLPSELLTRRPDLRQAEQALRAANADIGAARAAFFPSVQLTTDIGTTADRFSDLFSGGTGGWSFAPRLTLPIFNAGRNRANLSLAETRKHIAVAQYEGSIQAAFRDVADALSARDALRDQIEAQRKVRDADRERQRLAERRYARGVANYLEMLEAQRSLFESEQEFIRLQQRRLVNAVDLYKALGGWDDGSSPAS ->ARGMiner~~~AxyX~~~EGP45232.2~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin unknown +>ARGMiner~~~AxyX~~~EGP45232.2~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin~~~unknown MTHRVPFRTLAFASVLVLVSACSKQEAPEAAKAPAEVGVIVARATPTAVASELPGRLEPYREAEVRARVAGIVTRRLYEEGQEVTRGTPLFQIDPAPLQAAYDSEAAALARAQANLSAAADKLRRYADLVSDRAISERDHAESVAQERQARAEVALAKANLQSARLRLEYARVTSPIDGRARRALVTEGALVGEGQATPLTVVQQLDPIYVNFSQPAAEVMQLQKQIRAGALQGVAPDKMRVRLLLPDGSEYGQGGTLSFADLAVDPGTDNVTMRALFANPGRELLPGMYVRVRLEQAVNRDTFLVPRNALLRNADGAHVLVAGDDGELRSVAVTAHRLLGPNWVVTEGLAGGERVVVENAAQLAPGQKIKPVERTAPSAPVATAGNNEKR ->ARGMiner~~~AxyY~~~EGP45231.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin unknown +>ARGMiner~~~AxyY~~~EGP45231.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin~~~unknown MARFFIDRPVFAWVISLLIALVGLLSIRALPVAQYPDIAPPVVNIGASYPGASAKVVEEAVTAIIEREMNGAPGLMYTSSSSDSTGWASINLTFKQGTNPDIAAVEVQNRLKAVEPRLPESVRRDGVRVEKAADNIQLVVSLKSDGSLDDMQLGELAASNVLQALRRVEGVGKVQSFGAEAAMRIWPDPAKLTALSLTPGDIVSALRSHNARVTIGELGNQAVPKDAPLNASIVAGESLHTPEQFANIPLRALPDGATLRLKDVARVELGGTDYMYLSRVNGLTGTGLGIKLAPGSNAVETTRRIRETMRELAQYFPPGVTWDIPYETSTFVEISIKKVLMTLLEAVALVFCVMYLFMQNLRATLIPTLVVPVALLGTLGVMLGLGYSINVLTMFGMVLAIGILVDDAIVVVENVERIMAEEGLSPHDATVKAMGQISGAIVGITVVLVSVFVPMAFFDGAVGNIYRQFAVTLAVSIAFSAFLALSLTPALCASLLKPVPAGHHEKRGFFGWFNRAFARLTTRYTARVAGVLARPVRFGLAYALVIGVAALLFARLPSSFLPDEDQGSFMAMVILPQGSPQAETMAVVKDVERYMMEHEPVQYVYSVNGFSQYGSGPNSAMFFVTLKDWKERRDASQHVDAVVKRINKAFADRKNLMVFALNSPPLPDLGSTSGFDFRLQDRGGLGYEALTQARQKLLAKAAEHPALTDVVFAGQEEAPQLQLRVDRDKAQAMGVPIDEINTALAVMYGSDYIGDFMLNGQVRRVMVQADGKRRVDVDDISRLHVRNLQGQMVPLSAFATLTWSMGPPQLNRYNGFPSFTINGSAARGHSSGEAMRAMETLAAELPRGIGFDWSGQSYEERLSGNQAPVLFALSVLIVFLALAALYESWSIPLAVILVVPLGVIGALLGVTVRGMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVRDGQGILSATLEAARLRLRPIVMTSLAFGVGVLPLALATGAASGAQAAIGTGVLGGIITATVLAVFLVPLFFLIVGRMVGMRARPARPDGREPLETTP ->ARGMiner~~~cfrC~~~YP_001255356.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol unknown +>ARGMiner~~~cfrC~~~YP_001255356.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol~~~unknown MKQTKTKYGKMKQIASNLKLPDYRYEQLTKAIFHQRIDNFHDMHILPKALRIALVNEFGKNVSSVTPIFSQDSKQAQKLLFELTDGERIEAVGLKYKQGWESFCISSQCGCSFGCRFCATGSAGFKRNLTADEITDQLLYFYFNDHRLNSISFMGMGEAFANPELFDAVKILTDQNLFGLSQRRITISTIGIIPGIQRLTKEFPQVNLAFSLHSPFESQRSDLMPINKRFPLNEVMKTLDEHIIHTGRRVFIAYIMLEGINDSKEHAEAIIGLLRNRGSWEHLYHIDLIPYNSTDKTTFKFQSSSAIKQFCSTLKKASISATVRTQFGSEISAACGQLCYENEL ->ARGMiner~~~mecD~~~AQX82857.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecD~~~AQX82857.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKNIKVKILIVCSLCLISFFLYNLLKENEIDKIFSSIENRNVDEINENITFLSRNTFSKKQRYDRMNHIDNSLGIKKVNITDIKLLEEIVDTRKYSANMHYDSKFGKFTKKGYFEFEKNGESKRWELNWTPEVIIPGLTATNEVRVEELKSSRGEIVDRNGIPLAIDGEHYQVGIDPKNYNKKDSKQIAKLLNINESTLKNKLKQSWVKDGVFVPIKSYVELSDEIKNKIPEYGLSVNKIKGRTYPLKEASAHLLGYIGEINADELNDPKFKGYDSHSIVGKTGIEYMYDKELQNRDGLIVYITDDDGLTDSKEILVHKKPKNGKKIVLSIDSRVQNSIYNHLKDDNGSGTAMNPKTGELLALVSYPSFNPYDFMFGISNKKYQALLNDKKAPLLNKFQELTSPGSTQKLLTSIIGLNNGVINESKSYEINGKGWRKDGSWGGYKVTRFEVVNGRIDLEKAIAHSDNIFFARTTLEMGGKKFVRGMKDLGVGEETPSDYPVRTGQIANKINLERNLNNDILLADSGYGQGEILVNPIHILSIYSSLVNEGNMMAPKLNMEHKSKVWKKHITSQKNIDILTSSMRKVVTGTHKLDTERNYANFAGKTGTAELKMTQNEGLGTQIGWFVGYDQQNPNMMLAINVKNVEDKGMSSYNAQKFAQVMDDLYEHGARTYEPDSE ->ARGMiner~~~dfrA2d~~~AAX84553.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA2d~~~AAX84553.1~~~diaminopyrimidine~~~unknown MNEGKNEVSTSAAGRFAFPSNATFALGDRVRKKSGAAWQGRIVGWYCTTLTPEGYAVESESHPGSVQIYPMTALERVA ->ARGMiner~~~Nocardia rifampin resistant beta-subunit of RNA polymerase (rpoB2)~~~BAD59497.1~~~peptide;rifamycin unknown +>ARGMiner~~~Nocardia rifampin resistant beta-subunit of RNA polymerase (rpoB2)~~~BAD59497.1~~~peptide;rifamycin~~~unknown MLEGRIVTVSSRTESPLAAPGVPGAPRRLSFARIREPLAVPGLLDIQTESFGWLIGAPDWCARAAARGTEPVAGLAEVLAEISPIEDFAGTMSLTLSDPRFEEVKASVEECKDKDLTYAAPWFVTAEFVNNNTGEIKSQTVFMGDFPMMTAHGTFVVNGTERVVVSQLVRSPGVYFDHAIDKGSEKDVHSARVIPSRGAWLEFDVDKRDTLGVRIDRKRRQPVTVLLKALGWSAERIAERFGFAPLIMASLAKDNVAGTDDALLEIHRKLRPGEPPTKESAQNLLANLFFTEKRYDLARVGRYKIDKKLGLRAPGAPRVLTEDDIAATIEYLVRLHAGERTMIAPGGVEVPVEVDDIDHFGNRRVRTVGELIQNQIRVGLSRMERVVRERMTTQDVEAITPQSLMNIRPVVAAMKEFFGTSQLSQFMDQRNPLASLTNKRRLSALGPGGLSRERAGLEVRDVHYSHYGRMCPIETPEGPNIGLMGYLSVYARVNPFGFVETPYRRVVDGRVTDEVDYLTADEEDRHVVAQANEPLDAEGRFLAARIPVRRKNSEVELVDSAAVDYMDVSPRQMVSVATAMIPFLEHDDANRALMGANMQRQAVPLIRSEAPIVGTGMELRAAVDAGDVVVNEKAGVVEEVSADYVTVMADDGTRKSYRMRKFNRSNQGTCSNQRPIVDEGQRVEAGQVLADGPCTENGEMALGKNLLVAIMPWEGHNYEDAIILSQRLVEQDVLTSIHIEEHEIDARDTKLGAEEITRDIPNVSDEVLADLDERGIVRIGAEVRDGDILVGKVTPKGETELTPEERLLRAIFGEKAREVRDTSLKVPHGESGKVIGIRVFSREDDDDLPPGVNELVRVYVAQKRKIQDGDKLAGRHGNKGVIGKILPTEDMPFLPDGTPVDIILNTHGVPRRMNIGQILETHLGWIGKAGWKVEGNPEWAKDLPEEMWEAPADSNIATPVFDGAREEELTGLLGSTLPNRDGERMVDDNGKAVLFDGRSGEPFPYPVAVGYMYILKLHHLVDDKIHARSTGPYSMITQQPLGGKAQFGGQRFGEMECWAMQAYGAAYTLQELLTIKSDDVVGRVKVYEAIVKGDNIPEPGVPESFKVLLKELQALCLNVEVLSAGAAVELAHGVDDDHERTAANLGINLSRAESITETELSG ->ARGMiner~~~Salmonella enterica cmlA~~~CAD31707.1~~~phenicol unknown +>ARGMiner~~~Salmonella enterica cmlA~~~CAD31707.1~~~phenicol~~~unknown MDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLANVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWALQSVLGFIAPMWLVGIGVATAVSVAPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRVKGSRGQGEHDVVALQSAGSTSNPNR ->ARGMiner~~~AAC(2')-Ie~~~NP_302635.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(2')-Ie~~~NP_302635.1~~~aminoglycoside~~~unknown MDTHHVHTARLVHTADLDGETLRRLQQMVTDAFAGDFDETDWEHALGGMHALIWRHGTIIAHAAVVQRRLFYHGNALRCGYLEGVAVRKDCRGRGLVHALLDAIEQVIRGAYQFGALSSSDRARRVYMSRGWLPWLGPTSVLAPTGVIRTPDDDGSVFVLPVGINPDTSSGLMCDWRAGNVW ->ARGMiner~~~Bla2~~~AAR20596.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~Bla2~~~AAR20596.1~~~carbapenem;cephalosporin;penam~~~unknown MKNTLLKLGVCVSLLGITPFVSTISSVQAERKVEHKVIKNETGTISISQLNKNVWVHTELGCFNGEAVPSNGLILNTSKGLVLVDSSWDDKLTKELIEMAEKKFKKSVTDVIITHAHADRIGGIKTLKERGIKAHSTTLTAELAKKNGYEEPLGDLQAITKLKFGNMKVETFYPGKGHTEDNIVVWLPQYNMLVGGCLVKSASAKDLGNITDAYVNEWSTSIENVLKRYENINFVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~APH(2'')-If~~~AAW34150.1~~~aminoglycoside unknown +>ARGMiner~~~APH(2'')-If~~~AAW34150.1~~~aminoglycoside~~~unknown MDIKKIIEEKCNIVVDSIKLIGEGYDSKAYIVNNEYVFKIKFSANKKKGYEKEKAIYDFLNKKLNTNIKIPNIEYSYISEELSILGYKEIKGTFLTPEIYFALSKEKQELLKQDIAMFLRQMHDLDYSEISSYTIDNKQNVLEEYQLLKETIYDSLTDIEKQYVEEFMQRLNSTTIFDGKKCLCHNDFSCNHLLLDDENRLCGVIDFGDSGIIDEYCDFIYLLEDSEEEIGVSFGEDILRLYGNIDISKAKEYQDVVEQYYPIETIVYGIKNNRPDFIEKGRKEIYIRTRKDEKLRK ->ARGMiner~~~MCR-1.2~~~ANR95875.1~~~peptide unknown +>ARGMiner~~~MCR-1.2~~~ANR95875.1~~~peptide~~~unknown MMLHTSVWYRRSVSPFVLVASVAVFLTATANLTFFDKISQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLMRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASAPKDTIYHAKDAVQATKPDMRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVTSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNAICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFIAQSIQWLQTHSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~GOB-18~~~AAY53478.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-18~~~AAY53478.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFATLFFMFICLGLSAQVVKEPENMPKEWNQAYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESFPIIKANIQKLGFNYKDIKILLLTQAHYDHTGALQDFKTETAAKFYVDKADVDVLRTGGKSDYEMGKYGVTFKPVTPDKTLKDQDKIKLGNITLTLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSVIVDKKFSEVTAYPNIQSDYAYTFGVMKKLDFDIWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLNDLEKSYLNKIKKDSQDK ->ARGMiner~~~Vibrio cholerae varG~~~AAF94716.1~~~carbapenem unknown +>ARGMiner~~~Vibrio cholerae varG~~~AAF94716.1~~~carbapenem~~~unknown MFVSHLSFPHLIEERKMKLSTLALAPITAALLTFNASAKGHDHDNQRAIFFPGETVQDTVKVEVEPSATQSLKLGQKINNLYERQFDNSQATVQKLGKNTYWIGVNYYNATVVVNEDSVLLIDPLGDGRIDALFKGVQSITNKPITTIMYSHYHLDHLGGGNQLVDLIKKNYPKVDKIRVIASQTVADKINQHAEVGENGVKTPKVPAPNDIYDLTKPQTVQFGSMKIKMMAPKGSGHTPDNTMILIPSDRVLHFADMINPDQLPFYNFAGAEHFHGYEEDLQSLLSKPLSKQWDFINGGHGNIGSKQDVKDLLEYIADIRTEVGKQLEVAPYTPVLSDGNHFVWFKRWQDEITNNVHTALANKYGHMYGFDSGVVETHAAMILADMIDH ->ARGMiner~~~Rhodobacter sphaeroides ampC beta-lactamase~~~YP_355256.1~~~cephalosporin;penam unknown +>ARGMiner~~~Rhodobacter sphaeroides ampC beta-lactamase~~~YP_355256.1~~~cephalosporin;penam~~~unknown MKHLSPLSILLMVGALTPALAQDTTPSFESAAAAAFESVIEEHDIPGLVVGVTHGGRHSFYQTGLASREDQQPVTPDTLFELGSISKIFNVTLAALAEERGALSLDAPVADYLPSLRGSPAGELTLIDLATHHTGGLPLQVPDEVADVDRLVDWLRSWRPPEPGTRSYSNISIGLLGHITAGVLGMSYADASQTVIFPALGLKSTWIDVPTDAMGRYAFGYDRKTDAPTRVTPGVLDDEAYGVKSSARDMLTLLDLELGTGTASPEVQTAVATTQEGRFQTRLYTQAMIWEAYPWPVDPERLVEGNGYDFILQPQPVDEVDTTPDRRVILNKTGSTNGFGGYIAIVPSEDLGVVVLANRNYPNEARVRATYDLITHILAE ->ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~AAT46346.1~~~cephalosporin;penam unknown +>ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~AAT46346.1~~~cephalosporin;penam~~~unknown MKKRITPFSRFASKGLFACSAGMLLVTVAHAANTAAAPAGMDAMVQTVMQAHQIPGMAIAIIQPGKTTYHNYGVASRETGQPVRETTLFEIGSLSKPFTALVAQRAETEGRIDLSAPASRYVAALRGSAFDRITLRQLGTYSAGGLPLQFPDNVTTPADVLAYYQHWQPVHPAGTTRLYSNPSIGLMGLAASQATGESFAGLLGTTVLHPLGMNSTYLQVPPEARSRYAMGYTAAGKAVRVSPGPLDEETYGVKSTTADMAGFLLAHMDPARSKGALQSALQQTRVPVYCAGQTRQGLGWESYQDWKNLDVLLAGNSNQMVFEPQPVKACPAGTMNDPDVWVNKTGSTAGFGAYAVFLPARQTGIVILANRNFPIADRIRLAHGILTALH ->ARGMiner~~~BUT-1~~~AAN17791.1~~~cephalosporin unknown +>ARGMiner~~~BUT-1~~~AAN17791.1~~~cephalosporin~~~unknown MCRTLCHVTYGRFSMMKKTLCCALVLSASFSAFAAQKTLSDKQLEEAVNQTLKPMITAQAIPGMAVAVIYQGKPHYFTYGVADIAKNQPVTTQTIFELGSVSKTFTGVLGGDIVARGEVKLSDPAMKYWPELTGKQWQGITLLDLATYTAGGLPLQVPDEVDNQAALLKFYQNWQPDWAPGTRRQYANSSIGLFGALAVKPSGMTFNDAMRQRVLQPLNLKHTWLTVPASEENHYAWGYRDGKAMHVGPGMLDTEAYGVKSTIEDMASWVQYNMNPQQVKQPTLQKGLEIAQSRYWRSGSMYQGLGWEMLNWPVAAATVINGSDNKVALAASPVTAIEPPVAPVKASLVHKTGSTNGFGAYVAFIPEKQIGIVMLANKMYPNTERVKAANTLLNTLQ ->ARGMiner~~~ADC-13~~~WP_063857788.1~~~cephalosporin unknown +>ARGMiner~~~ADC-13~~~WP_063857788.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEIYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKTKGTISFKDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPGLSLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFINANLNPQKYPADIQRAINETHQGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-14~~~WP_063857789.1~~~cephalosporin unknown +>ARGMiner~~~ADC-14~~~WP_063857789.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEIYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKTKGTISFKDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPGLSLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFINANLNPQKYPADIQRAINETHQGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERFKAAYAVLNAIKK ->ARGMiner~~~ADC-15~~~WP_063857790.1~~~cephalosporin unknown +>ARGMiner~~~ADC-15~~~WP_063857790.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFNTSIYAGNTPKDQEIKKLVDQNFKPLLDKYDVPGMAVGVIQNNKKYETYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKTKGTISFKDTTGKYWKELKNTPIDQVNLFQLATYTSGNLGLQFPDEVQTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQLLEKTIFPDLGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFINANLNPQKYPKDIQRAINETHQGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKIFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-17~~~WP_063857792.1~~~cephalosporin unknown +>ARGMiner~~~ADC-17~~~WP_063857792.1~~~cephalosporin~~~unknown MRFKKISCLLLPPLFIFSTSIYAGNTPKDREIKKLVDQNFKPLLDKYDVPGMAVGVIQNNKKYETYYGLQSVQDKKAVSSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDRQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPDLGLKHSYVNVPKTQMQNYAFGYNRENQPIRVNPGPLDAPAYGVKSTLPDMLKFINANLNTQKYPKDIQRAINETHQGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-16~~~WP_063857791.1~~~cephalosporin unknown +>ARGMiner~~~ADC-16~~~WP_063857791.1~~~cephalosporin~~~unknown MRFKKISCLLLPPLFIFSSSIYAGNTPKEQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSNTIFELGSVSKLFTATAGGYAKTKGTISFNDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKEWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPDLGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFINANLNPQKYPANIQRAINETHQGFYQVGTMYQALGWEEFSYPALLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-41~~~WP_063857802.1~~~cephalosporin unknown +>ARGMiner~~~ADC-41~~~WP_063857802.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLDKYDVPGMAVGVIQNNKKYETYYGLQSVQDKKAVSSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPGLGLKHSYVNVPKNQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFINANLNPQKYPADIQRAINETHQGFYQVGIMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-42~~~WP_063857803.1~~~cephalosporin unknown +>ARGMiner~~~ADC-42~~~WP_063857803.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDREIKKLVDQNFKPLLDKYDVPGMAVGVIQNNKKYETYYGLQSVQDKKSVSSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTSGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKEWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPGLGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFINANINPQKYPKDTQRAINETHQGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGSYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-43~~~WP_032055358.1~~~cephalosporin unknown +>ARGMiner~~~ADC-43~~~WP_032055358.1~~~cephalosporin~~~unknown MRFKKISCLLLPPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLDKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPGLGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFINANLNPLKYPKDIQRAINETHKGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-44~~~WP_063857804.1~~~cephalosporin unknown +>ARGMiner~~~ADC-44~~~WP_063857804.1~~~cephalosporin~~~unknown MRFKKISCLLLPPLFIFSTSIYAGNTSKEQEIKKLVDQNFKPLLDKYNVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKTKGTISFKDTPGKYWKELKNTPIDQVNLLQLATYTSGNLGLQFPDEVQTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPGLSLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFINANLNPQKYPKDIQRAINETHQGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSIKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-56~~~WP_031973850.1~~~cephalosporin unknown +>ARGMiner~~~ADC-56~~~WP_031973850.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYQQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-61~~~WP_033503051.1~~~cephalosporin unknown +>ARGMiner~~~ADC-61~~~WP_033503051.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPLDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-74~~~WP_001211203.1~~~cephalosporin unknown +>ARGMiner~~~ADC-74~~~WP_001211203.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNRSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRANPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLDAIKK ->ARGMiner~~~ADC-75~~~WP_063857817.1~~~cephalosporin unknown +>ARGMiner~~~ADC-75~~~WP_063857817.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-76~~~WP_001211237.1~~~cephalosporin unknown +>ARGMiner~~~ADC-76~~~WP_001211237.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKVLKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGESRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-77~~~WP_063857818.1~~~cephalosporin unknown +>ARGMiner~~~ADC-77~~~WP_063857818.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFINANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-78~~~WP_057691006.1~~~cephalosporin unknown +>ARGMiner~~~ADC-78~~~WP_057691006.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLDAIKK ->ARGMiner~~~ADC-79~~~WP_001159760.1~~~cephalosporin unknown +>ARGMiner~~~ADC-79~~~WP_001159760.1~~~cephalosporin~~~unknown MQFKKISCLLLSPLFIFSTSIYADNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-80~~~WP_029424536.1~~~cephalosporin unknown +>ARGMiner~~~ADC-80~~~WP_029424536.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKVLKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYIVFIPKENIGLVMLTNKRIPNEERIKAAYAVLSAIKK ->ARGMiner~~~ADC-81~~~WP_059262723.1~~~cephalosporin unknown +>ARGMiner~~~ADC-81~~~WP_059262723.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNRSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLDAIKK ->ARGMiner~~~ADC-82~~~WP_001211216.1~~~cephalosporin unknown +>ARGMiner~~~ADC-82~~~WP_001211216.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDALAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~MCR-4~~~ASR73329.1~~~peptide unknown +>ARGMiner~~~MCR-4~~~ASR73329.1~~~peptide~~~unknown MISRFKTLSVNQFTFITALFYVAIFNLPLFGIVRKGIEKQPEVDPLFIASMPLFLTFALSFLFSIFTVKYLLKPFFIVLTLLSSSVFFAAYQYNVVFDYGMIENTFQTHPAEALMYVNLASITNLLLTGLLPSYLIYKADIHYQPFFKELLHKLAFMLLMFVGIGIVAFFYYQDYAAFVRNNSELRRYIVPTYFVSSASKYLNEHYLQTPMEYQQLGLDAKNASRNPNTKPNLLVVVVGETARSMSYQYYGYNKPTNAHTQNQGLIAFNDTSSCGTATAVSLPCMFSRMGRADYDPRRANAQDTVIDVLSHSGIKVQWFDNDSGCKGVCDQVENLTIDLKSDPKLCSGQYCFDQVLLNKLDKILAVAPSQDTVIFLHIIGSHGPTYYLRYPPEHRKFIPDCPRSDIQNCSQEELINTYDNTILYTDFILSEVVNKLKGKQDMFDTAMLYLSDHGESLGEKGMYLHGAPYSIAPKEQTSVPMLAWVSNDFSQDNQLNMTCVAQRAEQGGFSHDNLFDSLLGLMNVKTTVYQSQLDIFAPCRY ->ARGMiner~~~MCR-5~~~ATU90148.1~~~peptide unknown +>ARGMiner~~~MCR-5~~~ATU90148.1~~~peptide~~~unknown MRLSAFITFLKMRPQVRTEFLTLFISLVFTLLCNGVFWNALLAGRDSLTSGTWLMLLCTGLLITGLQWLLLLLVATRWSVKPLLILLAVMTPAAVYFMRNYGVYLDKAMLRNLMETDVREASELLQWRMLPYLLVAAVSVWWIARVRVLRTGWKQAVMMRSACLAGALAMISMGLWPVMDVLIPTLRENKPLRYLITPANYVISGIRVLTEQASSSADEAREVVAADAHRGPQEQGRRPRALVLVVGETVRAANWGLSGYERQTTPELAARDVINFSDVTSCGTDTATSLPCMFSLNGRRDYDERQIRRRESVLHVLNRSDVNILWRDNQSGCKGVCDGLPFENLSSAGHPTLCHGERCLDEILLEGLAEKITTSRSDMLIVLHMLGNHGPAYFQRYPASYRRWSPTCDTTDLASCSHEALVNTYDNAVLYTDHVLARTIDLLSGIRSHDTALLYVSDHGESLGEKGLYLHGIPYVIAPDEQIKVPMIWWQSSQVYADQACMQTHASRAPVSHDHLFHTLLGMFDVKTAAYTPELDLLATCRKGQPQ ->ARGMiner~~~PDC-73~~~AKR18013.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-73~~~AKR18013.1~~~monobactam;carbapenem;cephalosporin~~~unknown GEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNLSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-75~~~AKR18015.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-75~~~AKR18015.1~~~monobactam;carbapenem;cephalosporin~~~unknown GEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPRPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-76~~~AKR18016.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-76~~~AKR18016.1~~~monobactam;carbapenem;cephalosporin~~~unknown GEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPIAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-77~~~AKR18017.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-77~~~AKR18017.1~~~monobactam;carbapenem;cephalosporin~~~unknown GEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPRPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-78~~~AKR18018.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-78~~~AKR18018.1~~~monobactam;carbapenem;cephalosporin~~~unknown GEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSHFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPRPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-81~~~AKR18021.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-81~~~AKR18021.1~~~monobactam;carbapenem;cephalosporin~~~unknown GEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNLSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-82~~~AKR18022.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-82~~~AKR18022.1~~~monobactam;carbapenem;cephalosporin~~~unknown GEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQLPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLLEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-85~~~AKR18025.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-85~~~AKR18025.1~~~monobactam;carbapenem;cephalosporin~~~unknown GEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGHGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-87~~~AKR18027.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-87~~~AKR18027.1~~~monobactam;carbapenem;cephalosporin~~~unknown DEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPIAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-88~~~AKR18028.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-88~~~AKR18028.1~~~monobactam;carbapenem;cephalosporin~~~unknown DEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-89~~~AKR18029.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-89~~~AKR18029.1~~~monobactam;carbapenem;cephalosporin~~~unknown DEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-90~~~AKR18030.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-90~~~AKR18030.1~~~monobactam;carbapenem;cephalosporin~~~unknown DEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-91~~~AKR18031.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-91~~~AKR18031.1~~~monobactam;carbapenem;cephalosporin~~~unknown DEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSLQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-92~~~AKR18032.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-92~~~AKR18032.1~~~monobactam;carbapenem;cephalosporin~~~unknown DEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMAPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~catV~~~ATL63235.1~~~phenicol unknown +>ARGMiner~~~catV~~~ATL63235.1~~~phenicol~~~unknown MKFQRIDLDNWSRRSYFEHYLNRVNCTFSMTANIDITELLPALRQKEMKLYPAFLYMVTNAVNAHREFRTSFHADGELGYWESMIPSYTFFHQDDQTFSTMWTEFADEFPVFYQNYVADMKKYGDNKGLVAKELEPPYTFPVSCIPWVSFSGFNLNISGDGRYLLPIITSGKYFGQEGKTLLPVSLQVHHAVCDGYHASLFIHDLQKWATNYKEWLGVE ->ARGMiner~~~ACI-1~~~CAB51471.1~~~cephalosporin;penam unknown +>ARGMiner~~~ACI-1~~~CAB51471.1~~~cephalosporin;penam~~~unknown MKKFCFLFLIICGLMVFCLQDCQARQKLNLADLENKYNAVIGVYAVDMENGKKICYKPDTRFSYCSTHKVFTAAELLRQKNTSDLNEIRKFSAEDILSYAPITKDHVADGMTLAEICSASLRWSDNTAANLILQEIGGVENFKVALKNIGDKTTKPARNEPELNLFNPKDNRDTSTPRQMVKNLQVYIFGDILSDDKKKLLIDWMSDNSITDTLIKAETPQGWKVIDKSGSGDYGARNDIAVIYPPNRKPIVMAIMSRRTEKNAKSDDAMIAEAAKRIFDNLVF ->ARGMiner~~~sul4~~~WP_102607457.1~~~sulfonamide unknown +>ARGMiner~~~sul4~~~WP_102607457.1~~~sulfonamide~~~unknown MSTTLTSFKWGERTYIMGILNVTPDSFSGDGVMVEEDVIAKAVAQAKQFVADGADIIDIGGESTRPGSSPISAEEELARVLPVVQAVRQAVDVVISIDSYRASVAEAALAAGASWLNDVWGLRMDPDMAGLAAQAGCPIVLMHNRSKPKNIAQEKKLGGRFIGVKYDDLITDVKRELQESIDIALKAGVKESQIILDPGIGFGKTVEQSLQLLDQINQFKTMGFPILIGPSRKSFIGYTLDLPPDQRIEGTAATVAIGIDRGADVVRVHDVKAIVRVARMTDAIVRR ->ARGMiner~~~OXA-436~~~ARX76025.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-436~~~ARX76025.1~~~cephalosporin;penam~~~unknown MRALALSAVLMVTTMIGMPAVAKEWQENKSWNAHFSEHKTQGVVVLWNENTQQGFTNDLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIAAWNRDHDLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNLDSFWLDGGIRISATQQIAFLRKLYHNKLHVSERSQRIVKQAMLTEANADYIIRAKTGYSVRIEPKIGWWVGWIELDDNVWFFATNMDMPTAEGLGLRQTITKAVLKQEKIIP ->ARGMiner~~~OXA-535~~~AOQ26572.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-535~~~AOQ26572.1~~~cephalosporin;penam~~~unknown MRALALSAVLMVTTMIGMPAVAKEWQENKSWNAHFSEHKTQGVVVLWNENTQQGFTNDLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIAAWNRDHDLITAMKYSVVPVYQGFARQIGEARMSKMLHAFDYGNEDISGNLDSFWLDGGIRISATQQIAFLRKLYHNKLHVSERSQRIVKQAMLTEANADYIIRAKTGYSVRIEPKIGWWVGWVELDDNVWFFATNMDMPTAEGLGLRQSITKAVLKQEKIIP ->ARGMiner~~~QepA4~~~AQX36338.1~~~ unknown +>ARGMiner~~~QepA4~~~AQX36338.1~~~~~~unknown MSATLHDTAADRRKATRREWIGLAVVALPCLVYAMDLTVLNLALPVLSRELQPSSAQLLWILDIYGFFVAGFLITMGTLGDRIGRRRLLLIGAALFAFASVLAALADTAALLIAARALLGLAGATIAPSTMALIRNMFHDPRQRQFAIGVWIAAFSLGSAIGPLVGGVLLEFFHWGAVFWLNVPVMLLTLALGPRFLPEYRDPDAGHLDLASVLLSLAAVLLTIYGLKQLAEHGAGLASMAALLAGLAVGALFLRRQGHIAYPLLDLRLFAHAPFRAALAAYALAALAMFGVYIFMTQYLQLVLGLSPLQAGLATLPWSLCFVIGSLLSPQLAARWPAARILVVGLSAAAFGFAVLGLGQGLWWLVPATIVMGLGLAPVFTIGNEIIITSAPSERAGAASALSETVSEFSGALGIALFGSVGLVVYRQALTSAALPGLPADALQAAGASLGGAVHLADTLPAWQGAALLAAARAGFTDALQATAWAGAVLVLVAAGLVARLLRKRPALASG ->ARGMiner~~~tet(59)~~~AMP42492.1~~~tetracycline unknown +>ARGMiner~~~tet(59)~~~AMP42492.1~~~tetracycline~~~unknown MNKFAITALTITALDAMGIGLIMPVLPTLLREYVSAENLANHYGILLALYAIMQVFFAPLLGKWSDKFGRRPILLLSLAGAAVDYTLLALSSSLWMLYVGRLISGVTGATGAVAASVIADNTASQERTKWFGRLGAAFGIGLIAGPAIGGFTGQFSAHLPFIIAAILNALSFLVIMLIFKDNKIKNTEKNTTETAENSRPFLQVIKPVILLLFIFFMTQMIGQIPATTWVLFTEHRFQWGSMEVGLSLAGLGIMHALFQAFVAGAIAKKFNEKVTIIVGFVVDGAAFIILSLLTKGWMIYPTLILLAGGSIALPALQGLMSAQVNQTNQGKLQGVLVSLTNTTGVIGPLLFSFIFGQTLASWDGWIWMIGAIMYVLLIVFILSFYRSTKKIVKIAKLPAS ->ARGMiner~~~tet(W/N/W)~~~AMP42147.1~~~tetracycline unknown +>ARGMiner~~~tet(W/N/W)~~~AMP42147.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVYQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISREKLAREEQQRVQDASLFPVYHGSAKNGLGIQPLMDAVTGLFQPIGEQGGAALCGSVFKVEYTDCGQRLVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAHKGEIVILPSDSLRLNDILGDKTQLPREMWSDVPFPMLRTTITPKTAEQRDRLLDALTQIADTDPLLHYEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETVQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGRSVCLTELKGYQATVGEPIIQPRRPNSRLDKVRHMFSKIP ->ARGMiner~~~RSA-1~~~AUW34365.1~~~carbapenem;cephalosporin unknown +>ARGMiner~~~RSA-1~~~AUW34365.1~~~carbapenem;cephalosporin~~~unknown MGMQLARSTILTVLLCLPIAVTATTKEEIQKIERQRNLTVGIALVDDGGTLLFGHRETQRFAMCSTFKLPLAAATLKQIESGKWSAAERLSYSAGQLDAYAPAAKRYLPTGYITVAEANQASVQLSDNTAANLLLDKLGGPSQLTSMFRSLGDSVSRLDRREPDLNTNVSGDPRDTTTPGAMARIVAKLVYGNYLSTAGREQLQRLLIGNNTGDSRIRAGIASGWTTGDKTGSCPNGGRNDAAFLVSPDGRRFALTVYLNAPSLDDKARNEVVATVARLAVESIR ->ARGMiner~~~RSA-2~~~AUW34359.1~~~carbapenem;cephalosporin unknown +>ARGMiner~~~RSA-2~~~AUW34359.1~~~carbapenem;cephalosporin~~~unknown MIKKIISGACLVLLAGCVLGVKPAGKETGFMIDSGRFAGMDGCAIVFDARMGKIAGVYGEKRCKERVTACSTFKVPLALMAFDSGVLSDESTVLKWDGVQWPFDSWNQDQTAASWLRNSVVWYSQRLTPMLGLEKIKAYLKAFDYGNQDFSSGLTSAWLTITKSDTNPDKGSLKISAYEELEFFRRFWRGALPVSGAAVEKTKKMIYLETSPGGYALHGKTGSGYLDGLTGDFGWFAGHVEGKGREYFVVTAVTRNGNAADARIPGLVAKELAKNILKDNSVW ->ARGMiner~~~HERA-1~~~AAL26797.1~~~penam unknown +>ARGMiner~~~HERA-1~~~AAL26797.1~~~penam~~~unknown MKKITPLFVIAFLTLIALLAPAQASVTPDMTDFLRQQEQRLHARIGMAVVNAQGETVFGYRQDERFPLTSTFKTLACAALLERLQKNGGSLDEQVTIPPDALLDYAPVTKNYLAPATISLRMLCAAAVSYSDNTAGNRILTYLGGPDAVTQFMRGIGDHVTRLDRTEPTLNEATPGDARDTSSPQKMAAGLQKILTSPPLISANRATLAQWMRDDKVGDALLRAALPKGWAIADKTGAGGYGSRAIIAAVYPPERPPFYVAIFITQTEASMKMANETIAEIGKQLFAGQP ->ARGMiner~~~TRU-1~~~WP_042027926.1~~~cephalosporin;penam unknown +>ARGMiner~~~TRU-1~~~WP_042027926.1~~~cephalosporin;penam~~~unknown MKQRIALSLLALGPLLLVPRVYAAADEPMANIVEKAVQPLLEEYRIPGMAVAVLKEGKPHYFNYGVANRESGRRISERTLFEIGSVSKTFTATLGTYAVVKGGFRLDDKVSQHAPWLQNSAFDRVTMAQLATYSAGGLPLQFPDAVDSNERMRQYYRQWSPLYAAGTHREYSNPSIGLFGHLAASTLGQPFRQLMSQTLLPKLDLQHTYLEVPDAAMVDYAYGYSKEDKPVRVNPGVLADEAYGIKTSAADLIKFVGANMTGSGDKAVQQALAMTRTGFYSVGEMTQGLGWESYAYPVTEQALLAGNSPAVSFKANPVKPFVAPRVMGNERLYNKTGSTNGFGAYVVFVPARGVGIVMLANRNYPIEARVKAAYAIMRHLAP ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_029728367.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_029728367.1~~~carbapenem;penam~~~unknown MLKKIKISLILALGLTSLKAFGQENPDVKIEKLKDNLYVYTTYNTFNGTKYAANAVYLVTDKGVVVIDCPWGEDKFKSFTDEIYKKHGKKVIMNIATHSHDDRAGGLEYFGKIGAKTYSTKMTDSILAKENKPRAQYTFDNNKSFKVGKSEFQVYYPGKGHTADNVVVWFPKEKVLVGGCIIKSADSKDLGYIGEAYVNDWTQSVHNIQQKFSGAQYVVAGHDDWKDQRSIQHTLDLINEYQQKQKASN ->ARGMiner~~~Enterococcus faecalis chloramphenicol acetyltransferase~~~CAA63498.2~~~phenicol unknown +>ARGMiner~~~Enterococcus faecalis chloramphenicol acetyltransferase~~~CAA63498.2~~~phenicol~~~unknown MTFNIIELENWDRKEYFEHYFNQQTTYSITKEIDITLFKDMIKKKGYEIYPSLIYAIMEVVNKNKVFRTGINSENKLGYWDKLNPLYTVFNKQTEKFTNIWTESDKNFISFYNNYKNDLLEYKDKEEMFPKKPIPENTIPISMIPWIDFSSFNLNIGNNSSFLLPIITIGKFYSENNKIYIPVALQLHHSVCDGYHASLFMNEFQDIIHRVDDWI ->ARGMiner~~~EBR-2~~~ALG03771.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~EBR-2~~~ALG03771.1~~~carbapenem;cephalosporin;penam~~~unknown MKKIFSLIALIGSFAFGQIKPIQIDPINNNLFVYQTFNSFNDVEYNANGMYLVTNKGIVLFDVPWQKSQYQELNDILQEKYNLPVIAVFATHSHDDRAGDLSFYNELNIPTYATSLTNSKLKKEGKATSKFEIELGKTYKFGNEKFVVEYFGEGHTSDNVVVWFPKYKVLNGGCLIKGADAVNLGYTGEANVVEWPKTVHKLVAKHPTIKQVIPGHDNWKATGHIENTFKLLEKK ->ARGMiner~~~CFE-2~~~AWX35642.1~~~cephamycin unknown +>ARGMiner~~~CFE-2~~~AWX35642.1~~~cephamycin~~~unknown MMKKSICCALLVTASLSTFAADKTEQQIADIVNRTITPLMQEQAIPGMRFAIIYQGKPYYFTWGKADIANDRPVTRQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTQYWPKLTGKQWLGISLLHLATYTAGGLPLQVPDDVTDKAALLRFYQNWQPQWAPGAKRLYANPSIGLFGALAVKPSGMGYEEAMTKRVLQPLKLAHTWITVPQSEQKDYALGYREGRPVHVSPGQLDAEAYGVKSSLVDMTRWIQANMDASQVQEKTLRQGIEIAQARYWHIGDMYQGLGWEMVNWPVNADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGTSGGFGTYVALVPEKNLVGMMLANKSYPKPARVEAAWRILEKLQ ->ARGMiner~~~ICR-Mc~~~EGE18576.1~~~peptide unknown +>ARGMiner~~~ICR-Mc~~~EGE18576.1~~~peptide~~~unknown MSLKHNQTHNTTFTKFLKSNSFWSKGFWSNRHHRTKDLKGLDAYLFMAIVAIFLTTTANVTFFQQVMSVYPLANYAPFIASLAVVLTGVLLLLLVLLGYRHTLKTVAICFILIAAFAGHFTDTYGTVYDTTMLQNALQTDTAETKDLLSMKLLIRVVLLAGLPICWIIGQPLSFGTLKASLMKRLVTYLVALALVGLPILAFSSQYASFFREHKPLRFFTNPVTVMYSAGKLANMSYKNATKPTETIMHANDAIQKTTASTRKPRLVVMVVGETARADHASFNGYQRATFPHMDKLIGLGQVHNFGNVTSCGTSTAYSVPCMFSYLGAEKYDVDTADYHENVIDTLDRLGVAILWRDNNSDSKGVMNRLPAKQYQDYKNSPLQGGNNTICHTNPYDECRDVGMLVDLDDHVKAHANQDILIVLHQMGNHGPAYYKRYDDEFAQFLPVCTSSELAECERQTVINAYDNALLATDDFLKQTIDWLAAQTHADTAMLYLSDHGESLGEKGVYLHGMPKAFAPKEQLSIPALLWLGADTPFAVANSPTAGFSHDAITPTLLNLFDVSTQATADKTAFVNPLD ->ARGMiner~~~CrpP~~~YP_004928104.1~~~fluoroquinolone unknown +>ARGMiner~~~CrpP~~~YP_004928104.1~~~fluoroquinolone~~~unknown MSKKATGTDKLDRRHFNDPHRTVRAIGAEAARKGLRVFDCPYSHPAMRASWLKGFAQEQQQQLDF ->ARGMiner~~~poxtA~~~AVI44920.1~~~tetracycline;oxazolidinone;phenicol unknown +>ARGMiner~~~poxtA~~~AVI44920.1~~~tetracycline;oxazolidinone;phenicol~~~unknown MKGKNMNLAFGLEEIYEDAEFQIGDLDKVGIVGVNGAGKTTLFRLLLGELELDNGSLTSGNARIGYLPQEIVLEDEDITVWDFLFEGRPIKKYEQELEEIYKKLETAVNAEQEALLARMGTLQERLEYFDFYEAETILLEFADKMSIDAELYHRPMRELSGGQKSKMAFARLLYSKPEILLLDEPTNHLDVSTKDFVIKYLKNYRGSVLIISHDIDFLNRIINKIMYINKATHKISVYDGDYYIYKKKYAEEQRIREMAIVQQEKEIKELSDFVQKAKQASQTNHHLKRMGQERALRLDKKRGELQKRNRLYKRVKMDIRPKREGAQVPLEVENITFHYSGYPTLYQNLSFQINGRERFLVVGENGVGKSTLLKLMMGILSPDEGCIRFNQKTDIAYYAQELEQLDENKTVIDNVESEGYTPWQIRAVLSNFLFYDDDVNKKVSVLSPGEKARVALCKILLQKANLLILDEPTNHLDPETQKIIGGNFNLFEGTIIAVSHNPSFVEQIGISRMLILPSGRIEPYSRELLEYYYEINGSVAKF ->ARGMiner~~~IMP-1~~~WP_116786839.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-1~~~WP_116786839.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSARLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~PDC-7~~~WP_116786838.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_116786838.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYTQGYGKDDRPLRVGPGPLDAKGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-10~~~WP_116786837.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_116786837.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPSPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~OXA-72~~~WP_116786836.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-72~~~WP_116786836.1~~~cephalosporin;penam~~~unknown MRTRLFPLLSISISIFLSACSSPFIEPKDILPISTTDQTQQAIGSYFDEAQTQGVIVIKDGHNIDTYGNDLTRANTLYVPASTFKMLNALIGLENNKATVDEVFKWDGKKRSYSIWEKDMNLGEAMKLSAVPVYQELAKRIGVDLMQKEVKRVKFGNSNIGIKVDDFWLVGPLKITPIQEVEFADKLAHQELPFKQQVQKQVQDMLLIKEVEGNKIYAKSGWGMNVTPQVGWLTGWVEQPNGKKIAFSLNIEMKPNMPGSVRNEIALKSLKRLGII ->ARGMiner~~~PDC-7~~~WP_116786834.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_116786834.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYTQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~KPC-17~~~WP_116786832.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-17~~~WP_116786832.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRRELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQLVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~TEM-91~~~WP_116786831.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-91~~~WP_116786831.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDCWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MCR-1~~~WP_116786830.1~~~peptide unknown +>ARGMiner~~~MCR-1~~~WP_116786830.1~~~peptide~~~unknown MQHTSVWYRRSVSPFVLVASVAVFLTATANLTFFDKISQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLMRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASAPKDTIYHAKDAVQATKPDMRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVKSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNAICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFIAQSIQWLQTHSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~CTX-M-1~~~WP_116786829.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-1~~~WP_116786829.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLISHVGGPASITAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~MCR-4~~~WP_116786828.1~~~peptide unknown +>ARGMiner~~~MCR-4~~~WP_116786828.1~~~peptide~~~unknown MISRFKTLSVNQFTFITALFYVAIFNLPLFGIVRKGIEKQPEVDPLFIASMPLFLTFALSFLFSIFTVKYLLKPFFIVLTLLSSSVFFAAYQYNVVFDYGMIENTFQTHPAEALMYVNLASITNLLLTGLLPSYLIYKADIHYQPFFKELLHKLAFMLLMFVGIGIVAFFYYQDYAAFVRNNSELRRYIVPTYFVSSASKYLNEHYLQTPMEYQQLGLDAKNASRNPNTKPNLLVFVVGETARSMSYQYYGYNKPTNAHTQNQGLIAFNDTSSCGTATAVSLPCMFSRMGRADYDPRRANAQDTVIDVLSHSGIKVQWFDNDSGCKGVCDQVENLTIDLKSDPKLCSGQYCFDQVLLNKLDKILAVAPSQDTVIFLHIIGSHGPTYYLRYPPEHRKFIPDCPRSDIQNCSQEELINTYDNTILYTDFILSEVVNKLKGKQDMFDTAMLYLSDHGESLGEKGMYLHGAPYSIAPKEQTSVPMLAWVSNDFSQDNQLNMTCVAQRAEQGGFSHDNLFDSLLGLMNVKTTVYQSQLDIFAPCRY ->ARGMiner~~~CTX-M-137~~~WP_114699284.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-137~~~WP_114699284.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTESTLNTAIPGDPRDTTTPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~VIM-1~~~WP_114699283.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-1~~~WP_114699283.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSSTSAGNVADADLAEWPTSVERIQKRYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~IMP-10~~~WP_114699282.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-10~~~WP_114699282.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVFPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPGHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~IMP-10~~~WP_114699281.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-10~~~WP_114699281.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVFPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKSLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~IMP-1~~~WP_114699280.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-1~~~WP_114699280.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVAPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~OXA-61~~~WP_114699279.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_114699279.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMICLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLCKNT ->ARGMiner~~~MCR-5~~~WP_114699278.1~~~peptide unknown +>ARGMiner~~~MCR-5~~~WP_114699278.1~~~peptide~~~unknown MRLSAFITFLKMRPQVRTEFLTLFISLVFTLLCNGVFWNALLAGRDSLTSGTWLMLLCTGLLITGLQWLLLLLVATRWSVKPLLILLAVMTPAAVYFMRNYGVYLDKAMLRNLMETDVREASELLQWRMLPYLLVAAVSVWWIARVRVLRTGWKQAVMMRSACLAGALAMISMGLWPVMDVLIPTLRENKPLRYLITPANYVISGIRVLTEQASSSADEAREVVAADAHRGPQEQGRRPRALVLVVGETVRAANWGLSGYERQTTPELAARDVINFSDVTSCGTDTATSLPCMFSLNGRRDYDERQIRRRESVLHVLNRSDVNILWRDNQSGCKGVCDGLPFENLSSAGHPTLCHGERCLDEILLEGLAEKITTSRSDMLIVLHMLGNHGPAYFQRYPASYRRWSPTCDTTDLSSCSHEALVNTYDNAVLYTDHVLARTIDLLSGIRSHDTALLYVSDHGESLGEKGLYLHGIPYVIAPDEQIKVPMIWWQSSQVYADQACMQTHASRAPVSHDHLFHTLLGMFDVKTAAYTPELDLLATCRKGQPQ ->ARGMiner~~~VIM-24~~~WP_114699277.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-24~~~WP_114699277.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSLTSAGNVADADLAEWPTSIERIQQRYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~OXA-61~~~WP_114699276.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_114699276.1~~~cephalosporin;penam~~~unknown MQPKSIILFFYGYILFFRRQKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMICLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~MCR-3~~~WP_114699275.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_114699275.1~~~peptide~~~unknown MFKYLLSFKLNPVQRTWAAAFFFTTIGNIALWQTLWINVDVHNIHNLLFFASLPIFLFCFLSILLTPVMVIPYLCRPLLVVLILISACCSYFMMKYNILIDRSMVQNFFETNQAELTSYLSVPFLSTLFLLGIVPAIILALPSTDNKRGAFRIELWWLAHICIAVVLLAMVTMVFYKDYASLIRNNMQIKDQALPFNFVRNTNGYLKRKYQASSTILQSVGEDAVRPIYSNAPPKLVVVVVGETARAQNFQLNGYSRVTNPYLSRRHDVISFKNVSSCGTATAISLPCMFSRMSRNEYNEVRAASEENLLDILKRTGVEVLWRNNNNGGCKGICKRVPTDDMPAMKVIGECVNKDGTCFDEVLLNQLSSRINAMQGDALIVLHQMGSHGPTYFERYPSTSKVFSPTCDSNLIEKCSNKELVNTYDNTLVYTDRMLSKTIELLQRYSGMRDVAMIYLSDHGESLGESGIYLHGTPYIIAPNEQTHIPMFMWFSSSFAQHSKLNLECLTGNADKQYSHDNFYHSILGLFNVKTSVYKPELDMFTLCRQSDHTPLSSAVVREKTDGNG ->ARGMiner~~~OXA-211~~~WP_114699274.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-211~~~WP_114699274.1~~~cephalosporin;penam~~~unknown MKTLQFGFIALITTFSSACTTISPSVETAKNQQQQSAQQQIQQAFDQLQTTGVIVIKDKHGLHSYGNDLSRAQTPYVPASTFKMLNALIGLEHGKATSTEVFKWDGQKRSFPAWEKDMTLGQAMQASAVPVYQELARRIGLDLMQKEVQRIRYGNQQIGTVVDNFWLVGPLQITPVQEVLFVEKLANTQLAFKPDVQHAVQDLLLIEQKPNYKLYAKSGWGMDLEPQVGWWTGWVETATGEKVYFALNMHMKTGISASVREQLVKQSLTALGII ->ARGMiner~~~OXA-214~~~WP_114699273.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_114699273.1~~~cephalosporin;penam~~~unknown MRKNLKVALLCSSLCLSLGLVACHSLNSELQIAEQQKQQQKISKLFVNAKTEGVFVTYDGQKIHEYGNALNRAQTSYIPASTFKMLNALIGIQHHKTTPNEVFKWNGEKRRFKSWEKDLTLTEAIQASAVPIYQELARRIGLDMMASEVKRIGFGNSDIGNQVDNFWLVGPLKITPIQEVRFAYALANEQLAFDIPVQQQVKQMLLVDQVNGTKVYAKSGWGMDVEPQVGWWTGWVEQPDGKITAFSLNMEMNKTEHVEARKTIVYEALQQLGLIQH ->ARGMiner~~~ADC-79~~~WP_114699272.1~~~cephalosporin unknown +>ARGMiner~~~ADC-79~~~WP_114699272.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYADNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSNTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQIQNYAFGYNQKNQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-2~~~WP_114699271.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_114699271.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDKPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQCRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-25~~~WP_114699270.1~~~cephalosporin unknown +>ARGMiner~~~ADC-25~~~WP_114699270.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFEKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-56~~~WP_114699269.1~~~cephalosporin unknown +>ARGMiner~~~ADC-56~~~WP_114699269.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALKFPDEVKTDQQVLTFFKDWKPKNSIGEYQQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-39~~~WP_114699268.1~~~cephalosporin unknown +>ARGMiner~~~ADC-39~~~WP_114699268.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKVLKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPEYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~KPC-3~~~WP_114699267.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-3~~~WP_114699267.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLERWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~ACT-27~~~WP_112792421.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-27~~~WP_112792421.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKTLCCAVLLGISCSALAAPVSEQQLAEVVAKTVTPLMKAQSIPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDALARGEISLDDPVIKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDDASLLRFYQHWQPQWKPGTTRLYANASIGLFGALAVKPSGMRYEQAMTERVFKPLALHHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEAKTVVDGSDSKVALAALPAVEINPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILDALQ ->ARGMiner~~~ACT-25~~~WP_112017656.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-25~~~WP_112017656.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLGLSCSALAAPVSEKQLAEVVANTITPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILKALQ ->ARGMiner~~~ACT-17~~~WP_111976052.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-17~~~WP_111976052.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLFCALLLGISCSALAAPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEITDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALTVKPSGMSYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADATLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVGGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILEALQ ->ARGMiner~~~VIM-2~~~WP_111772163.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-2~~~WP_111772163.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFKFLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGGVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~cfrC~~~WP_111690898.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol unknown +>ARGMiner~~~cfrC~~~WP_111690898.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol~~~unknown MSKYKKMKQLIADMRLPEYRYKQLLDAVFLQGIMRFEDMKLLPKTLREKLVEQFGETVVEIKAIHHEKSMQTDKVLFELSDGNRVETVGLFYKEGWNSFCISSQSGCGFGCKFCATGTLGLRRNLTVDEITDQILYFMQQGCSINSISFMGMGEPFANPQVFEALHDLTAPELFGLSKRRITISTIGIVPGIQKLTREYPQVNLAYSLHAPTDRLRETLMPITKTYPLGQVLDTLDQHIRQTNRKVFLAYIMLKDVNDSDRHAEQLTKLLFKHKKYLPLYHLDLIPYNQTTVTETMVPSSHTRIKAFCRIIHNAGISINIRTQFGSDINAACGQLAGAYRDDQKQGERTMSARDVKSFGEEVCEYGFYNQGAMRSTNSS ->ARGMiner~~~clbA~~~WP_111690897.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin unknown +>ARGMiner~~~clbA~~~WP_111690897.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin~~~unknown MQQKNKYIRIQEFLKQNKFPNYRMKQITNAIFPGRINNFNEITVLPKSLRDMLIEEFGESILNIVPLKAQQSTQVSKVLFGISGDEKIETVNMKYKAGWESFCISSQCGCNFGCKFCATGDIGLKRNLTSDEITDQILYFHLQGHSIDSISFMGMGEALANVQVFDALNVLTDPALFALSPRRLSISTIGIIPNIKKLTQNYPQVNLTFSLHSPFNEQRSELMPINERYPLSDVMDTLDEHIRVTSKKVYIAYIMLHGVNDSIEHAKEVVNLLRGRYRSGNLYHVNIIRYNPTISSRMRFEEANEKCLVNFYKELKSAGIKVTIRSQFGIDIDAACGQLYGNYQKTNSQ ->ARGMiner~~~VIM-1~~~WP_111672914.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-1~~~WP_111672914.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVEIFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSSTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~NDM-5~~~WP_111672913.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~NDM-5~~~WP_111672913.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLLVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGMVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~NDM-1~~~WP_111672912.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~NDM-1~~~WP_111672912.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQLLNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGMVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~KPC-3~~~WP_111672911.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-3~~~WP_111672911.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGAYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~PDC-5~~~WP_111672910.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_111672910.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYHWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_111672909.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_111672909.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-1~~~WP_111672908.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_111672908.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPGIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~OXA-23~~~WP_111672907.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-23~~~WP_111672907.1~~~cephalosporin;penam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMGIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~CMY-42~~~WP_111672906.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-42~~~WP_111672906.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLTHTWITVPQNEQKDYAWGYREGKPVHSSPRQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~PDC-10~~~WP_111672905.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_111672905.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-10~~~WP_111672904.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_111672904.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTKGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-10~~~WP_111672903.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_111672903.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~CTX-M-3~~~WP_111672902.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~WP_111672902.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYSPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~PDC-10~~~WP_111672901.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_111672901.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEDYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-5~~~WP_111672900.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_111672900.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMHLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_111672899.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_111672899.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADETPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-1~~~WP_111672898.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_111672898.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPSLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_111672897.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_111672897.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDRAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDITQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-8~~~WP_111672896.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_111672896.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQAIDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_111672895.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_111672895.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTYLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_111672894.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_111672894.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQLPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQPKVPLKR ->ARGMiner~~~PDC-5~~~WP_111672893.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_111672893.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLLATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQNKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDYRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-1~~~WP_111672892.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_111672892.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKEEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_111672891.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_111672891.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLKFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERIMEQRLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRQWAQALDATHRGYYKVGDMTQGLGWEAYDWPIALKRLQAGNSTPMALQPHRVARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLAR ->ARGMiner~~~PDC-3~~~WP_111672890.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_111672890.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQSYGKDDRPLRVGPGPLDAESYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_111672889.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_111672889.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAKGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAHAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_111672888.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_111672888.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFKRLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_111672887.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_111672887.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPPRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_111672886.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_111672886.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTYLDVPEAALAQCAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-10~~~WP_111672885.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_111672885.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQCAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALKGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_111672884.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_111672884.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRNTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNLSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-2~~~WP_111672883.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-2~~~WP_111672883.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADAAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTVTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~KPC-12~~~WP_111273852.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-12~~~WP_111273852.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELEPNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~CMY-2~~~WP_111273851.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-2~~~WP_111273851.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQIDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~GES-15~~~WP_111273850.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-15~~~WP_111273850.1~~~carbapenem;cephalosporin;penam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKETEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~GES-5~~~WP_111273849.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-5~~~WP_111273849.1~~~carbapenem;cephalosporin;penam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPKMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~GES-22~~~WP_111273848.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-22~~~WP_111273848.1~~~carbapenem;cephalosporin;penam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPELGDNTPGDLRDTTTPIAMARTVAKILYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGARNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~MCR-3~~~WP_111273847.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_111273847.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIVWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGVSIFWKENDGGCKGVCDRVPNIEIKPKDYPKFCDKNTCYDEVVLQELDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPSSDIENCTDEELTNTYDNTIRYTDFVIAEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYKFAPDDQTRVPMQVWMSPGFIKEKGMNMECLQKNAAANRYSHDNIFSSVLGIWDVKTAIYEQELDIFKQCRNN ->ARGMiner~~~MCR-3~~~WP_111273846.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_111273846.1~~~peptide~~~unknown MPYILKIKIVPFIFLLAFYFAFMLNWPGVLHFYDIIYKLEGFKLGFAISLPILLVAALNLVFIPFSVRYLVKPFFALLILLSTIVSYAMMKYRVLFDQNMIQNIFETNRNEAFAYLNFPILGWVTIVGFIPAILLFFVEVEYEDKWLNGVITRSLSMLASIIVITVIAALYYQDYVSVGRNNSNLQREIIPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSNSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGISIFWKENDGGCKGVCDRVPNIEIKPKDYPKFCDKNTCYDEVVLQNLDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIAEMIAKLKTYEDKYNTALLYVSDHGESLGAMGLYLHGTPYKFAPDDQTRVPMQVWMSPGFIKEKGMNMECLQKNAAANRYSHDNIFSSVLGIWDVKTAIYEQELDIFKQCRNN ->ARGMiner~~~MCR-3~~~WP_111273845.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_111273845.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIVWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGVSIFWKENDGGCKGVCDRVPNIEIKPKDYPKFCDKNTCYDEVVLQELDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIAEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYKFAPDDQTRVPMQVWMSPGFIKEKGMNMECLQKNAAANRYSHDNIFSSVLGIWDVKTAIYEQELDIFKQCRNN ->ARGMiner~~~MCR-3~~~WP_111273844.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_111273844.1~~~peptide~~~unknown MPSLIKIKIVPLIFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLVKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLTIIGWVTIAGFIPAILLFFVEIEYEEKWFKGILTRVLSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYFAEPIPFTTLGDDAKRDTNKSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGVSIFWKENDGGCKGVCDRVPNIEIKPKDHPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRIDIENCTDEELTNTYDNTIRYTDLVIAEMIAKLKNYEDKYNTALLYVSDHGESLGAMGLYLHGTPYKFAPDDQTRVPMQIWMSPGFIKEKGMNMECLQKNATTNRYSHDNIFSSVLGIWDVKTAIYEQELDIFKQCRNN ->ARGMiner~~~MCR-3~~~WP_111273843.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_111273843.1~~~peptide~~~unknown MPSLIKIKIVPLIFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVLFSIRYLVKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIGWVTIAGFIPAILLFFVEIEYEKKWFKGIITRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGVSIFWKENDGGCKGVCDRVPNIEIKPKDYPKFCDKNTCYDEVVLQELDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYKFAPDDQTRVPMQVWMSPGFIKEKGMNMECLQKNAAANRYSHDNIFSSVLGIWDVKTAIYEQELDIFKQCRNN ->ARGMiner~~~MCR-3~~~WP_111273842.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_111273842.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAVSLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIGWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGVSIFWKENDGGCKGVCDRVPNIEIKPKDYPKFCDKNTCYDEVVLQELNSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIAEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYKFAPDDQTRVPMQVWMSPGFIKEKGMNMECLQKNAAANRYSHDNIFSSVLGIWDVKTAIYEQELDIFKQCRNN ->ARGMiner~~~CTX-M-19~~~WP_110092882.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-19~~~WP_110092882.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTESTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVIYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~OXA-66~~~WP_109791216.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-66~~~WP_109791216.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAVPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CMY-23~~~WP_109791215.1~~~cephamycin unknown +>ARGMiner~~~CMY-23~~~WP_109791215.1~~~cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHSSPGQLDAGAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CTX-M-15~~~WP_109791214.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_109791214.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYTDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~NDM-1~~~WP_109791213.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~NDM-1~~~WP_109791213.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGMVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVLSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~SHV-71~~~WP_109791212.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-71~~~WP_109791212.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDADDEQLERKIHYRQQDLVDYSPVSEKYLADGMTVGELCAAAITMSDNSAANLLLATVGGPVGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-100~~~WP_109791211.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-100~~~WP_109791211.1~~~cephalosporin~~~unknown MMRKSVRRAMLMTTACVSLLLASVPLCAQANDVQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAAAAVLKQSETQKGLLSQRVEIKPSDLINYSPIAEKHVNGTMTFGELSAAALQYSDNTAMNKLIAHLGGPDKVTAFARTIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQALRNLTLGNALGDTQRAQLVMWLKGNTTGAASIQAGLPTSWVVGDKTGSGGYGTTNDIAVIWPEGRAPLVLVTYFTQSEPKAESRRDVLAAAARIVTDGY ->ARGMiner~~~TEM-1~~~WP_109791210.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~WP_109791210.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQSTMDERNRQIAEIGASLIKHW ->ARGMiner~~~CTX-M-15~~~WP_109791209.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_109791209.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDSL ->ARGMiner~~~IMP-18~~~WP_109791208.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-18~~~WP_109791208.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCVFFLCNIAAADDSLPDLKIEKLEKGVYVHTSFEEVKGWGVFAKHGLVVLVKNDAYLIDTPITAKDTEKLVNWFIEHGYRIKGSISTHFHGDSTAGIEWLNSQSISTYASELTNELLKKDNKVQATNSFSGVSYSLIKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGNLGDANLEAWPKSAKILMSKYGKAKLVVPSHSEIGNASLLQRTWEQAVKGLNESKKPLQPSS ->ARGMiner~~~IMP-16~~~WP_109791207.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-16~~~WP_109791207.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCIFLFCSITAAGESLPDLKIEKLEDGVYVHTSFEEVNGWGVFTKHGLVFLVNTDAYLIDTPFAAKDTEKLVNWFVERGYKIKGSISSHFHSDSSGGIEWLNSQSIPTYASELTNELLKKNGKVQAKNSFSGVSYWLLKNKIEIFYPGPGHTQDNVVVWLPEKKILFGGCFVKPYGLGNLDDANVEAWPHSAEILMSRYGNAKLVVPSHSDVGDASLLKLTWEQAVKGLKESKKPSQPSN ->ARGMiner~~~PDC-7~~~WP_109791206.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_109791206.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFLALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-1~~~WP_109791205.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_109791205.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMTLQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_109791204.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_109791204.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPTDRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_109791203.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_109791203.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIDLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLNVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMTLQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_109791202.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_109791202.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRGGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_109791201.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_109791201.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFKRLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAKGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_109791200.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_109791200.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGINLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_109791199.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_109791199.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-5~~~WP_109791198.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_109791198.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQLPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_109791197.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_109791197.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQGKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRHYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTSGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_109791196.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_109791196.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPSPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTSGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_109791195.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_109791195.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDLASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_109791194.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_109791194.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFSCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_109791193.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_109791193.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTYLDVPEAALAQYAQGYGKDDRPLRVSPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-1~~~WP_109791192.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_109791192.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFAGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_109791191.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_109791191.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLRAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_109791190.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_109791190.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDLAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-8~~~WP_109791189.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_109791189.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_109791188.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_109791188.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTLAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_109791187.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_109791187.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMAPQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQPKVPLKR ->ARGMiner~~~PDC-3~~~WP_109791186.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_109791186.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFAATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-2~~~WP_109791185.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-2~~~WP_109791185.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTVTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNLSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~TEM-213~~~WP_109791184.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-213~~~WP_109791184.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEHLGRRIHYSQNDLVESPPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDEANRQIAEIGASLIKHW ->ARGMiner~~~OXA-184~~~WP_109545116.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545116.1~~~cephalosporin;penam~~~unknown MRDFIVFIWFLNIAIGEDKILGNFFKDYNTSGTFIVFDGKNYASNDFQRAKQAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPVFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKNQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIREELLNKYLANFSNNNRIKSFY ->ARGMiner~~~OXA-184~~~WP_109545115.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545115.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDCNTSGTFIVFDGKNYASNDFQRAKQAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQEGLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIREELLNKYLANFSNNNRIKSFY ->ARGMiner~~~OXA-184~~~WP_109545114.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545114.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDYNTSGTFIVFDGKNYASNDFKRAKKAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKIQEEVKEIILFKENKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIREELLNKYLANFFNNNRIKSFY ->ARGMiner~~~OXA-184~~~WP_109545113.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545113.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDYNTSGTFMVFDGKNYASNDFKRAKKAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQVDLLFKLSQNSLPFSKKIQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIREELLNKYLANFFNNNRIKSFY ->ARGMiner~~~OXA-184~~~WP_109545112.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545112.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDYNTSGTFIVFDGKNYASNDFKRAKKAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKCSQVPAFKELARNIGLKTMQESLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKNQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKIKNKILSFALNVDIKDIKNIKIREELLNKYLANFFNNNRIKSFY ->ARGMiner~~~OXA-184~~~WP_109545111.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545111.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDCNTSGTFIVFDGKNYASNDFQRAKQTFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQEGLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIREELLNKYLANFFQQ ->ARGMiner~~~OXA-184~~~WP_109545110.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545110.1~~~cephalosporin;penam~~~unknown MRNFIVFIMFLNIAIGEDKILGNFFKDYNTSGTFIVFDGKNYASNDFKRAKKAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKNQEEVKEIILFKEDKIQKIYVKTGFNDSINLAWIVGFVKTKNKILSFALNVDIKDIKNIKIREELLEKYLAIITN ->ARGMiner~~~OXA-184~~~WP_109545109.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545109.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDCNTSGVFITFDGKHYASNDFKRAKQAFSPASTFKIFNALIALDNDVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQEGLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIREELLEKYLAIITN ->ARGMiner~~~OXA-61~~~WP_109545108.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545108.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVTRRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKKLKMMASEYFVKCKNT ->ARGMiner~~~OXA-61~~~WP_109545107.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545107.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNILPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545106.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545106.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKVFALNLDIDKFEDLYKREKILEKYLDELVKKKYLIV ->ARGMiner~~~OXA-61~~~WP_109545105.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545105.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAINSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKS ->ARGMiner~~~OXA-61~~~WP_109545104.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545104.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGGKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAINSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKS ->ARGMiner~~~OXA-61~~~WP_109545103.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545103.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTRGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545102.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545102.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545101.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545101.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545100.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545100.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWGSNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545099.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545099.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDS ->ARGMiner~~~OXA-61~~~WP_109545098.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545098.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLDKLHYGNAKISKIDTFWLDNSLKISAKEQATLLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545097.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545097.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVVRRIGIKTMQEYLDKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545096.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545096.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEIIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545095.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545095.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNTLIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545094.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545094.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYTGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDGQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545093.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545093.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRTMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMICLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545092.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545092.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSPKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545091.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545091.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVTRRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545090.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545090.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYDNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545089.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545089.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKITWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545088.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545088.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLNIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_109545087.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_109545087.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKTFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-184~~~WP_109545086.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545086.1~~~cephalosporin;penam~~~unknown MKKILLLFSLFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNNFKRAKEPFSPASTFKIFNALIALDNGIVKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFIESKNKILSFALNVDIKDIKNIKIREELLEKYIYSLN ->ARGMiner~~~OXA-184~~~WP_109545085.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545085.1~~~cephalosporin;penam~~~unknown MKKILLLFSLFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNNFKRAKEPFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDASLRSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFMESKNKILSFALNVDIKNIKNLKIREELLEKYIYSLN ->ARGMiner~~~OXA-184~~~WP_109545084.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545084.1~~~cephalosporin;penam~~~unknown MKKYFYFLVFFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNNFKRAKEPFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFIESKNKILSFALNVDIKNIKNLKIREELLEKYIYSLN ->ARGMiner~~~OXA-184~~~WP_109545083.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545083.1~~~cephalosporin;penam~~~unknown MKKILLLFSLFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNNFKRAKEPFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSCGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFIESKNKILSFALNVDIKNIKNLKIREELLEKYIYSLN ->ARGMiner~~~OXA-184~~~WP_109545082.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545082.1~~~cephalosporin;penam~~~unknown MKKILLLFSLFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNNFKRAKEPFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDASLRSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTKNKILSFALNVDIKNIKNLKIREELLEKYIYSLN ->ARGMiner~~~OXA-184~~~WP_109545081.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545081.1~~~cephalosporin;penam~~~unknown MKKILLLFSLFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNNFKRAKEPFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDAGLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFIESKNKILSFALNVDIKNIKNLKIREELLEKYIYSLN ->ARGMiner~~~OXA-184~~~WP_109545080.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545080.1~~~cephalosporin;penam~~~unknown MKKILLLFSLFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNNFKRAKEPFSPASTFKIFNALIALDNGIVKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFIESKNKILSFALNVDIKNIKNLKIREELLEKYIYSLN ->ARGMiner~~~OXA-184~~~WP_109545079.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545079.1~~~cephalosporin;penam~~~unknown MKKILLLFSLFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNDFKRAKEPFSPASTFKIFNALIALDNGIVKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFIESKNKILSFALNVDIKDIKNIKIREELLEKYIYSLN ->ARGMiner~~~OXA-184~~~WP_109545078.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545078.1~~~cephalosporin;penam~~~unknown MKKIILFLWILNFAFGQDKILEDFFKDYNTSGIFIIFDGKNYASNDFQRAKQTFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLSSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKLLLFKENKIQKIYAKTGFNDNINLAWIVGFVKTKNKILSFALNVDIKHVKNIKIREELLEKYLAIITN ->ARGMiner~~~OXA-184~~~WP_109545077.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545077.1~~~cephalosporin;penam~~~unknown MKKIILFLWILNFAFGQDKILEDFFKDYNTSGIFIIFDGKNYASNDFQRAKQAFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKLLLFKENKIQKIYAKTGFNDNINLAWIVGFVKTKNKILSFALNVDIKHVKNIKIREELLEKYLAIITN ->ARGMiner~~~OXA-184~~~WP_109545076.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545076.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDCNTSGTFIVFDGKNYASNDFKRAKQAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIREELLNKYLANFSNNNRIKSFY ->ARGMiner~~~OXA-184~~~WP_109545075.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_109545075.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDCNTSGTFIVFDGKNYASNDFKRAKQAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKIQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIREELLNKYLANFSNNNRIKSFY ->ARGMiner~~~CARB-14~~~WP_109545074.1~~~penam unknown +>ARGMiner~~~CARB-14~~~WP_109545074.1~~~penam~~~unknown MTITISRRQAMAGALLAIPAVSALTAGTSRAAGENLAQRLAALEARHGGRIGVAIHNLSTGARLGHNTDERFLMCSTFKALLAGHILVRVDRGEETLDRRIVVKEADLVDWSPVVEKRIGGDVISIAELCEATITLSDNAAANLLLAASGGPKAVTAFLRGLGDEVTRLDRTEPTLNYHETPGDERDTTTPSAMVETLRRLLFTDVLSARSKAQLAAWLIMNKTGDTRLRAGFPADWMTGDKTGTNGDKAGNANDVAVAWSPDRGAVIVAAFCEIPGISGDERNAVIAEIGRIAAEV ->ARGMiner~~~SME-2~~~WP_109545073.1~~~carbapenem unknown +>ARGMiner~~~SME-2~~~WP_109545073.1~~~carbapenem~~~unknown MFLCKKTSIMSFLLCLSFISFNSLATQENNSVAKMKELETAFGGRIGVYLLNTGNGKEFSYRQDERFPLCSSFKVFLAASVLKRIQSKSISLDDSVEYVGRVMEKHSPVSEKYREKGASVQTLAMAAIQYSDNGASNLLMERYIGGPEGLTAFMRSTGDTDFRLDRWELELNSAIPGDKRDTSTPKAVAMSLKNIAFGSILNAKNKALLQDWLKGNTTGNARVRAAVPDKWVVGDKTGTCGFYGTANDVAILWPDNNSPAVIAVYTTRPNQNDKHDETVIKNAAKIAIDSVYGSYK ->ARGMiner~~~OXA-198~~~WP_109545072.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-198~~~WP_109545072.1~~~cephalosporin;penam~~~unknown MKHIFLVFLILCSNFALAEDKAISAIFSTEGVDGTIILKSLRGDKTITHNDARASRRFASASTFKIFNTLIAVQENVVSLSGTAFRWDGKTHDFPDWNRDQTLESAFKVSCVWCYQEIAKQVGEETYRRYLALARYGALSNVADSTTFWLDGSFTVSAVEQVALLKKIYLRELPFRDDAYDALKRVMLAEQTDSYKLYAKTGWAGRMNPQIGWYVGYVETSDDVWFFAINLTLRSETDLGLRQQITKAVLRAERIIP ->ARGMiner~~~OXA-253~~~WP_109545071.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-253~~~WP_109545071.1~~~cephalosporin;penam~~~unknown MKKFILPIFSISILLSLSACSSIQNKFEYTSDISDQQHGKAIKSYFDEAQTQGVIIIKEGKNISTYGNNLARAHTEYVPASTFKMLNALIGLENHKATTTEIFKWDGKKRSYPMWEKDMTLGDAMALSAVPVYQELARRTGLDLMQKEVKRVGFGNMNIGTQVDNFWLVGPLKITPIQEVNFADDLANNRLPFKLETQEEVKKMLLIKEVNGSKIYAKSGWGMDVTPQVGWLTGWVEKSNGEKVPFSLNLEMKQGMSGSIRNEITYKSLENLGII ->ARGMiner~~~MCR-3~~~WP_109545070.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_109545070.1~~~peptide~~~unknown MPSLIKIKIVPLIFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFAFVPFSIRYLVKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIGWVTIAGFIPAILLFFVEIEYEEKWFKGILTRVLSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNKSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGVSIFWKENDGGCKGVCDRVPNIEVKPKDYPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIAEMIAKLKTYEDKYNTALLYVSDHGESLGAMGLYLHGTPYKFAPDDQTRVPMQVWMSPGFTKEKGMNMECLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~CTX-M-9~~~WP_109545069.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-9~~~WP_109545069.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPITEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTAGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~DHA-1~~~WP_109545068.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~DHA-1~~~WP_109545068.1~~~cephalosporin;cephamycin~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSHYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~DHA-13~~~WP_109545067.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~DHA-13~~~WP_109545067.1~~~cephalosporin;cephamycin~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSWKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~FOX-5~~~WP_109545066.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-5~~~WP_109545066.1~~~cephalosporin;cephamycin~~~unknown MQQRCAFALLTLVSLLLAPCTYASGEAPLTATVDGIIQPMLKEYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFVLDDNVSQHAPWLKGSALDGVTMAELATYSAGGLPLQFPDEVDSNDKMRTYYRSWSPVYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAIANYAYGYSKEDKPVRVTPGVLAAEAYGIKTGSADLLKFAEANMGYQGDAAVKSAIALTHTGFYSVGDMTQGLGWESYAYPVTEQTLLAGNSPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~CMY-2~~~WP_109545065.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-2~~~WP_109545065.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRFEAAWRILEKLQ ->ARGMiner~~~CTX-M-52~~~WP_109545064.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-52~~~WP_109545064.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTETTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-3~~~WP_109545063.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~WP_109545063.1~~~cephalosporin~~~unknown MVKKSKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-15~~~WP_109545062.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_109545062.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTTDVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-15~~~WP_109545061.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_109545061.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVIWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-15~~~WP_109545060.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_109545060.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAESIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~MCR-4~~~WP_109545058.1~~~peptide unknown +>ARGMiner~~~MCR-4~~~WP_109545058.1~~~peptide~~~unknown MISRFKTLSVNQFTFITALFYVAIFNLPLFGIVRKGIEKQPEVDPLFIASMPLFLTFALSFLFSIFTVKYLLKPFFIVLTLLSSSVFFAAYQYNVVFDYGMIENTFQTHPAEALMYVNLASITNLLLTGLLPSYLIYKADIHYQPFFKELLHKLAFMLLMFVGIGIVAFFYYQDYAAFVRNNSELRRYIVPTYFVSSASKYLNEHYLQTPMEYQQLGLDAKNASRNPNTKPNLLVVVVGETARSMSYQYYGYNKPTNAHTQNQGLIAFNDTSSCGTATAVSLPCMFSRMGRADYDPRRANAQDTVIDVLSHSGIKVQWFDNDSGCKGVCDRVENLTIDLKSDPKLCSGQYCFDQVLLNKLDKILAVAPSQDTVIFLHIIGSHGPTYYLRYPPEHRKFIPDCPRSDIQNCSQEELINTYDNTILYTDFILSEVVNKLKGKQDMFDTAMLYLSDHGESLGEKGMYLHGAPYSIAPKEQTSVPMLAWVSNDFSQDNQLNMTCVAQRAEQGGFSHDNLFDSLLGLMNVKTTVYQSQLDIFAPCRY ->ARGMiner~~~MCR-5~~~WP_109545057.1~~~peptide unknown +>ARGMiner~~~MCR-5~~~WP_109545057.1~~~peptide~~~unknown MRLSAFITFLKMRPQVRTEFLTLFISLVFTLLCNGVFWNALLAGRDSLTSGTWLMLLCTGLLITGLQWLLLLLVATRWSVKPLLILLAVMTPAAVYFMRNYGVYLDKAMLRNLMETDVREASELLQWRMLPYLLVAAVSVWWIARVRVLRTGWKQAVMMRSACLAGALAMISMGLWPVMDVLIPTLRENKPLRYLITPANYVISGIRVLTEQASSSADEAREVVAADAHRGPQQGRRPRALVLVVGETVRAANWGLSGYERQTTPELAARDVINFSDVTSCGTDTATSLPCMFSLNGRRDYDERQIRRRESVLHVLNRSDVNILWRDNQSGCKGVCDGLPFENLSSAGHPTLCHGERCLDEILLEGLAEKITTSRSDMLIVLHMLGNHGPAYFQRYPASYRRWSPTCDTTDLASCSHEALVNTYDNAVLYTDHVLARTIDLLSGIRSHDTALLYVSDHGESLGEKGLYLHGIPYVIAPDEQIKVPMIWWQSSQVYADQACMQTHASRAPVSHDHLFHTLLGMFDVKTAAYTPELDLLATCRKGQPQ ->ARGMiner~~~MCR-1~~~WP_109545056.1~~~peptide unknown +>ARGMiner~~~MCR-1~~~WP_109545056.1~~~peptide~~~unknown MMQHTSVWYRRSVSPFVLVASVAVFLTATANLTFFDKISQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLIRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASAPKDTIYHAKDAVQATKPDMRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVTSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNAICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFIAQSIQWLQTHSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~MCR-4~~~WP_109545055.1~~~peptide unknown +>ARGMiner~~~MCR-4~~~WP_109545055.1~~~peptide~~~unknown MISRFKTLSVNQFTFITALFYVAIFNLPLFGIVRKGIEKQPEVDPLFIASMPLFLTFALSFLFSIFTVKYLLKPFFIVLTLLSSSVFFAAYQYNVVFDYGMIENTFQTHPAEALMYVNLASITNLLLTGLLPSYLIYKADIHYQPFFKELLHKLAFMLLMFVGIGIVAFFYYQDYAAFVRNNSELRRYIVPTYFVSSASKYLNENYLQTPMEYQQLGLDAKNASRNPNTKPNLLVVVVGETARSMSYQYYGYNKPTNAHTQNQGLIAFNDTSSCGTATAVSLPCMFSRMGRADYDPRRANAQDTVIDVLSHSGIKVQWFDNDSGCKGVCDRVENLTIDLKSDPKLCSGQYCFDQVLLNKLDKILAVAPSQDTVIFLHIIGSHGPTYYLRYPPEHRKFIPDCPRSDIQNCSQEELINTYDNTILYTDFILSEVVNKLKGKQDMFDTAMLYLSDHGESLGEKGMYLHGAPYSIAPKEQTSVPMLAWVSNDFSQDNQLNMTCVAQRAEQGGFSHDNLFDSLLGLMNVKTTVYQSQLDIFAPCRY ->ARGMiner~~~MCR-4~~~WP_109545054.1~~~peptide unknown +>ARGMiner~~~MCR-4~~~WP_109545054.1~~~peptide~~~unknown MISRFKTLSVNQFTFITALFYVAIFNLPLFGIVRKGIEKQPEVDPLFIASMPLFLTFALSFLFSIFTVKYLLKPFFIVLTLLSSSVFFAAYQYNVVFDYGMIENTFQTHLAEALMYVNLASITNLLLTGLLPSYLIYKADIHYQPFFKELLHKLAFMLLMFVGIGIVAFFYYQDYAAFVRNNSELRRYIVPTYFVSSASKYLNEHYLQTPMEYQQLGLDAKNASRNPNTKPNLLVVVVGETARSMSYQYYGYNKPTNAHTQNQGLIAFNDTSSCGTATAVSLPCMFSRMGRADYDPRRANAQDTVIDVLSHSGIKVQWFDNDSGCKGVCDRVENLTIDLKSDPKLCSGQYCFDQVLLNKLDKILAVAPSQDTVIFLHIIGSHGPTYYLRYPPEHRKFIPDCPRSDIQNCSQEELINTYDNTILYTDFILSEVVNKLKGKQDMFDTAMLYLSDHGESLGEKGMYLHGAPYSIAPKEQTSVPMLAWVSNDFSQDNQLNMTCVAQRAEQGGFSHDNLFDSLLGLMNVKTTVYQSQLDIFAPCRY ->ARGMiner~~~IMP-7~~~WP_109545053.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-7~~~WP_109545053.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLSVFFMFLFCSIAASGEALPDLKIEKLDEGVYVHTSFEEVNGWGVAPKHGLVVLVNTDAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFSGASYWLVKKKIEIFYPGPGHTPDNVVVWLPEHRVLFGGCFVKPYGLGNLGDANLEAWPKSAKLLVSKYGKAKLVVPSHSEVGDASLLKRTLEQAVKGLNESKKLSKPSN ->ARGMiner~~~KPC-17~~~WP_109545051.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-17~~~WP_109545051.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MFKHLILLASITAPLTQAVAADPLSVAADKLAKLERDFGGSIGVYAIDTGSGATVANRPNERFPLCSSFKGFLAAGVLAQSQDKPGLLDKRIRYSKTALPNWSPITTKHQASGMTVAELNAASVQYSDNGAANLLLKEINGPAALTAFMRSIGDARFRLDRLEPELNSAIPGDPRDTSTPKAVAESAQKLALGKALPEPQRQRLADWLKGNTTGNARIRAAVPAGWEVGDKTGTCGVYGTANDFAVIWPPKRAPIVLAVYTKHAKKEAKHSDEVIAAAARAALEAFNVKK ->ARGMiner~~~KPC-17~~~WP_109545050.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-17~~~WP_109545050.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MFKHLILFASLAAPLTQAVAADPLSVAADKLAKLERDFGGSIGVYAIDTGSGATVANRPNERFPLCSSFKGFLAAGVLAQSQEKPGLLDKRIRYSKTALPNWSPITTKHQASGMTVAELNAASVQYSDNGAANLLLKEINGPAALTAFMRSIGDASFRLDRLEPELNSAVPGDPRDTSTPKAVAESAQKLALGKALPEPQRQQLADWLKGNTTGNARIRAAVPAGWEVGDKTGTCGVYGTANDFAVIWPPKRAPIVLAVYTKHAKKEAKHSDEVIAAAARAALEAFNVKK ->ARGMiner~~~NDM-5~~~WP_109545049.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~NDM-5~~~WP_109545049.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLLVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGLVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLH ->ARGMiner~~~OXA-143~~~WP_109545048.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-143~~~WP_109545048.1~~~cephalosporin;penam~~~unknown MKKVVFPILSIFTLFSLNACSSVQTASESTISAYNQQSKETIKKYFDDAQTQGVIVIKDNNHLAIYGNNLTRAHTQYVPASTFKMLNALIGLENNKVTTDELFKWDGNKKAFPIWEKDMNLDEAMKLSAVPVYQELAKRIGIDLMQKEVKRVNFGNANIGTKVDNFWLVGPLKITPIQEVKFADDLAHNKLPFKIETQETVKNMLLIKEINGSKIYAKSGWGMDVTPQVGWLTGWVEQPTGKIISFSLNLEMKNNMTGSIRNEITYKALENLGII ->ARGMiner~~~QnrB19~~~WP_109545047.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB19~~~WP_109545047.1~~~fluoroquinolone~~~unknown MTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVTALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDSYRASLLMERLGIAVIG ->ARGMiner~~~QnrB19~~~WP_109545046.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB19~~~WP_109545046.1~~~fluoroquinolone~~~unknown MTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDSYRASLLMERLGIAVIG ->ARGMiner~~~QnrD2~~~WP_109545045.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrD2~~~WP_109545045.1~~~fluoroquinolone~~~unknown MEKHFINEKFSRDQFTGNRVKNIAFSNCDFSGVDLTDTEFVDCSFYDRNSLVGCDFNRAKLKNASFKSCDLSMSNFKNISALGLEISECLAQGVDFRGANFMNMITTRSWFCSAYITKTNLSYANFSRVILEKCELWENRWNGTVITGAVFRGSDLSCGEFSSFDWSLADFTGCDLTGGVLGELDARRTNLDGVKLDGEQALQLVESLGVIVHR ->ARGMiner~~~KPC-1~~~WP_109545044.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-1~~~WP_109545044.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~CcrA beta-lactamase~~~WP_109545042.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_109545042.1~~~carbapenem~~~unknown MLKKNFKPLFFYLCFWMSLAACQSQSFGGLQFPKIVYQSEDLKVVQIAPDTFVHTSYLATQQWGKVPCNGMIVRHQREAMVFDTPTDEASSEALIHWIKNELKAEIKWVVPTHFHDDNLGGLPAFHRDKIMSIAYYRTQNLSKKHRKPQTITTFSATDSTWNLGGAKIQIGYYGKGHTEDNIVVYFPKDQVLFGGCLVKELGAGKGNLSDAFPKEWSATIQKVKHAYPEAKIVVPGHGKIGDKALLDYTAQLFKP ->ARGMiner~~~APH(3'')-Ib~~~WP_109545041.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3'')-Ib~~~WP_109545041.1~~~aminoglycoside~~~unknown MRKLKEPPLNRTNIFFGESHSDWLPVRGGESGDFVFRRGDGHAFAKIAPASRRGELAGERDRLIWLKGRGVACPEVINWQEEQEGACLVITAIPGVPAADLSGADLLKAWPSMGQQLGAVHSLSVDQCPFERRLSRMFGRAVDVVSRNAVNPDFLPDEDKSTPQLDLLARVERELPVRLDQERTDMVVCHGDPCMPNFMVDPKTLQCTGLIDLGRLGTADRYADLALMIANAEENWAAPDEAERAFAVLFNVLGIEAPDRERLAFYLRLDPLTWG ->ARGMiner~~~VIM-33~~~WP_109545039.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-33~~~WP_109545039.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MHPPLRPHPLWCSLRPATLALLLGVFGCRTSVPAPRPAAEPFTSITEDLRIQPLAPGVWRLVALSGEEWGHIPANGLVIAEGGGVLLVDPGWTEAQGERLLQWVEDTLKVPVHAGVATHFHADRTGGLRALQARGIPTHALALTAALTSQQDRPAPTHLFPGPAQQLGPVELFFPGPGHAPDNITVWHAASGILFGGCMVKDLKASSLGNLSDADLASWPRSVESLQARYPAARTVIPGHGEPGDTSLLAHTLELLRAGSR ->ARGMiner~~~tet(33)~~~WP_109545038.1~~~tetracycline unknown +>ARGMiner~~~tet(33)~~~WP_109545038.1~~~tetracycline~~~unknown MSFLTSARGSLATVLITASLDAAGMGLVMPILPALLHEAGVTADAVPLNVGVLIALYAVMQFIFAPVLGTLSDRFGRRRVLLVSLAGATVDYLVLATTSALSVFYIARAVAGITGATNAVTATVIADITPPHQRAKRFGLLSACYGGGMIAGPAMGGLFGAISPHLPFLLAALLSASNLALTFILLRETRPDSPARSASLAQHRGRPGLSAVPGITFLLVAFGLVQFIGQAPGATWVLFTEHRLDWSPVEVGISLSVFGIVQVLVQALLTGRIVEWIGEAKTVIIGCVTDALGLVGLAIVTDAFSMAPILAALGIGGIGLPALQTLLSQRVDEQHQGRLQGVLARINSITSIFGPVAFTTIFALTYINADGFLWLCAAALYVPCVILIMRGTAASPKFGSWASGDSM ->ARGMiner~~~qacH~~~WP_109545035.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_109545035.1~~~fluoroquinolone~~~unknown MKNWIFLAVSILGEVIATSALKSSHGFTRLVPSVVVVAGYGLAFYFLSLALKSIPVGIAYAVWAGLGIVLVAAIAWIFHGQKLDFWAFIGMGLIVSGVAVLNLLSKVSAH ->ARGMiner~~~vanYD~~~WP_109545033.1~~~glycopeptide unknown +>ARGMiner~~~vanYD~~~WP_109545033.1~~~glycopeptide~~~unknown MERQNNNENQYGRNRRKDKRRKLFFYRAACAMLGLLIVSVIFGAVYFLRESKDPALPSKENTKTSKDYSFLADVQSEDESPVSEPAISNRANTIDLNIIAANAIVVNKDTDAVLYQKNGTDRIAPASTAKMITALTVLEYCSPEEEMRVGAEIEMIHSDSSTAWLMKGDTLTVRQLLIALMLPSGNDAAYTLAVNTGKTIAGDNSLSNQQAIKIFMDKVNEKARELGVTDSNFVVPDGYDAEGQYTTAYDLAIIAKACLENPIISEIVASNTSYEKWPNGREVTYNNSNELLDPNSPYYRPEVIGLKTGTSSLGGACVVSAAVIDGETYICVAMGSTKESRFQDSVDILDKIKAQ ->ARGMiner~~~dfrA14~~~WP_109545031.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA14~~~WP_109545031.1~~~diaminopyrimidine~~~unknown MKVSLIAAKAKNGVIGCGPDISWSAKGEQLLFKALTYNQWLLVGRKTFESMGALPNRKYAVVTRSGWTSNDDNVVVFQSIEEAMDRLAEFTGHVIVSGGGEIYRETLPMASTLHLSTIDIEPEGDVFFPSIPNTFEVVFEQHFTSNINYCYQIWKKG ->ARGMiner~~~CTX-M-13~~~WP_106924209.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-13~~~WP_106924209.1~~~cephalosporin~~~unknown MVTKRMQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTEDNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFAREIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CMY-113~~~WP_106672612.1~~~cephamycin unknown +>ARGMiner~~~CMY-113~~~WP_106672612.1~~~cephamycin~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALVVKHSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASLVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~WP_104531869.1~~~cephalosporin;penam unknown +>ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~WP_104531869.1~~~cephalosporin;penam~~~unknown MKKRITPFSRFASKGLSACSAGMLLVTVAHAANTAAAPAGMDAMVQTVMQAHQIPGMAIAIIQPGKTTYHNYGIASRETGQPVRETTLFEIGSLSKPFTALVAQRAETEGRIDLSAPASRYVTALRGSAFDRITLRQLGTYSAGELPLQFPDNVTTPADVLAYYRHWQPVHPAGTTRLYSNPSIGLMGLAASQATGESFAGLLGTTVLQPLGMNSTYLQVPPEARSRYAMGYTAAGKPVRVSPGPLDEETYGVKSTTADMAGFLLAHMDPARSKGALRSALQQTRVPVYCAGQTRQGLGWESYQDWKNLDVLLAGNSNQMVFEPQPVKACLAGTSNEPDVWVNKTGSTAGFGAYAVFLPARQAGIVILANRNYPIADRIRLAHGILTALH ->ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~WP_104531868.1~~~cephalosporin;penam unknown +>ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~WP_104531868.1~~~cephalosporin;penam~~~unknown MKKRITPFSRFASKGLSACSAGMLLVTVAHAANTAAAPAGMDAMVQTVMQAHQIPGMAIAIIQPGKTTYHNYGVASRETGQPVRETTLFEIGSLSKPFTALVAQRAETEGRIDLSAPASRYVTALRGSAFDRITLRQLGTYSAGGLPLQFPDNVTTPADVLAYYRHWQPVHPAGTTRLYSNPSIGLMGLAASLATGESFAGLLGTTVLQPLGMNSTYLQVPPEARSRYAMGYTAAGKPVRVNPGPLDEETYGVKSTTADMVGFLLAHMDPARSKGALRSALQQTRVPVYCAGQTRQGLGWESYQDWKNLDMLLAGNSNQMVFEPQLVKACPAGTMNEPNVWVNKTGSTAGFGAYAVFLPARQTGIVILANRNYPIADRIRLAHGILTALH ->ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~WP_104531867.1~~~cephalosporin;penam unknown +>ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~WP_104531867.1~~~cephalosporin;penam~~~unknown MKKRITPFSRFASKGLSACSAGMLLVTVAHAANTAAAPAGVDAMVQTVMQAHQIPGMAIAIIQPGKTTYHNYGVASRETGQPVRETTLFEIGSLSKPFTALVAQRAETEGRIDLSAPASRYVTALRGSAFDRITLRQLGTYSAGGLPLQFPDNVTTPADVLAYYRHWQPVHPAGSTRLYSNPSIGLMGLAASQATGESFAGLLGTTVLQPLGMNSTYLQVPPEARSRYAMGYTAAGKPVRVNPGPLDEETYGVKSTTADMAGFLLAHMDPARSKGALRSALQQTRVPVYCAGQTRQGLGWESYQDWKNLDVLLAGNSNQMVFEPQPVKACLAGTMNEPDVWVNKTGSTAGFGAYAVFLPARQTGIVILANRNYPIADRIRLAHGILTALH ->ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~WP_104531866.1~~~cephalosporin;penam unknown +>ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~WP_104531866.1~~~cephalosporin;penam~~~unknown MKKRITPFSRFASKGLFACSAGMLLVTVAHAANMAAAPAGMDAMVQTVMQAHQIPGMAIAIIQPGKTTYHNYGVASRETGQPVRETTLFEIGSLSKPFTALVAQRAETEGRIDLSAPASRYVTALRGSAFDRITLRQLGTYSAGGLPLQFPDNVTTPADVLAYYRHWQPVHPAGSTRLYSNPSIGLMGLAASQATGESFAGLLGTTVLQPLGMNSTYLQVPPEARSRYAMGYTAAGKPVRVNPGPLDEETYGVKSTTADMAGFLLAHMDPARSKGALRSALQQTRVPVYCAGQTRQGLGWESYQDWKNLDVLLAGNSNQMVFEPQPVKACLAGTMNEPDVWVNKTGSTAGFGAYAVFLPARQTGIVILANRNYPIADRIRLAHGILTALH ->ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~WP_104531865.1~~~cephalosporin;penam unknown +>ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~WP_104531865.1~~~cephalosporin;penam~~~unknown MKKRITPFSRFASKGLFACSAGMLLVTVAHAANTAAAPAGVDAMVQTVMQAHQIPGMAIAIIQPGKTSYHNYGVASRETGQPVRETTLFEIGSLSKPFTALVAQRAETEGRIDLSAPASRYVAALRGSAFDRITLRQLGTYSAGGLPLQFPDNVTTPADMLAYYQHWQPVHPAGTTRLYSNPSIGLMGLAASQATGESFAGLLGTTVLQPLGMNSTYLQVPPEARSRYAMGYTAAGKPVRVSPGPLDEETYGIKSTTADMAGFLLAHMDPARSKDALRSALQQRAPVYCAGQTRQGLGWESYQDWKNLDVLLAGNSNQMVFEPQPVKACPAGTMNEPDVWVNKTGSTAGFGAYAVFLPARQTGIVILANRNYPITDRIRLAHGILTALH ->ARGMiner~~~BUT-1~~~WP_104531863.1~~~cephalosporin unknown +>ARGMiner~~~BUT-1~~~WP_104531863.1~~~cephalosporin~~~unknown MMKKTLCCALVLSASFSAFAAQKTLSDKQLEDVVNQTLKPMITAQAIPGMAVAVIYQGKPHYFTYGVADIAKKQPVTTQTIFELGSVSKTFTGVLGGDIVARGEVKLSDPATKYWPELTGKQWQGITLLDLATYTAGGLPLQVPDEVDNQAALLKFYQNWQPAWAPGTRRQYANSSIGLFGALAVKPSGMTFNDAMRQRVLQPLNLKHTWLTVPASEENHYAWGYRDGKAMHVGPGMLDTEAYGVKSTIEDMASWVQYNMNPQQVKQPTLQKGLELAQSRYWRSGSMYQGLGWEMLNWPVAAATVINGSDNKVALAASPVTAIEPPVAPVKASLVHKTGSTNGFGAYVAFIPEKQIGIVMLANKMYPNTERVKAANTLLNTLQ ->ARGMiner~~~PDC-5~~~WP_104009862.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_104009862.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRLWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_104009861.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_104009861.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPIAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-1~~~WP_104009860.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_104009860.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_104009859.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_104009859.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPAMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-10~~~WP_104009858.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_104009858.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPAMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-10~~~WP_104009857.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_104009857.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTGFPCLCGIAASTLLFAATSAIAGEAPADRLKTLVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDSRRVTPETLFEIGSVSKTFIATLAGYALAQDKMRLDDRASLHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYTPGSQRLYSNLSIGLFGYLAARSLGQPFERIMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAKGYGVKTSAADLLRFVDANLHPERLDKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_104009856.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_104009856.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQLPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTSGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~TEM-1~~~WP_104009855.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~WP_104009855.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTIPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-168~~~WP_104009854.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-168~~~WP_104009854.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKTGAGERGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-214~~~WP_104009853.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_104009853.1~~~cephalosporin;penam~~~unknown MKLSKLYILTVLIGFGLSGVACQHIHTPVSFNQIENDQTKQIASLFENVQTTGVLITFDGQAYKAYGNDLNRARTAYIPASTFKILNALIGIEHDKTSPNEVFKWDGQKRAFESWEKDLTLAEAMQASAVPVYQALAQRIGLDLMAKEVKRVGFGNTRIGTQVDNFWLIGPLKITPIEEAQFAYRLAKRELPFTQDTQKQVIDMLLVDEIRGTKVYAKSGWGMDITPQVGWWTGWIEDPNGKVIAFSLNMEMNQPAHAAARKEIVYQALTQLKLL ->ARGMiner~~~IMP-18~~~WP_104009852.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-18~~~WP_104009852.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCVFFLCNIAAADDSLPDLKIEKLEKGVYVHTSFEEVKGWGVFAKHGLVVLVKNDAYLIDTPITAKDTEKLVNWFIEHGYRIKGSISTHFHGDSTAGIEWLNSQSISTYASELTNELLKKDNKVQATNSFSGVSYSLIKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGNLGDANLEAWPKSAKILMSKYGKAKLVVSSHSEIGNASLLQRTWEQAVKGLNESKKPLQPSS ->ARGMiner~~~MCR-3~~~WP_104009851.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_104009851.1~~~peptide~~~unknown MRITLGVMKVNLLLVLFFALVLNWPFFLRFYSVISGLEHVRAGFVISVPLVLLAALNAVFIPFTFRWLLKPFFSLLILTGSIVSYAMLKYGVIFDASMIQNIVETNNSEATSYLNVPVVLWFLLTGVLPMVVLWSLKVRYPANWYKGLAIRAGALAFSLLFVGGVAALYYQDYVSIGRNHRILGKQIVPANYVNGIYKYARDVVFATPIPYQPLGTDAKVVAKGDKPTLMFLVVGETARGKNFSMNGYEKETNPFTSQAGGVISFKDVRSCGTATAVSVPCMFSNMGRKEFDDNRARNSEGLLDVLQRSGVSIFWKENDGGCKGVCDRVPNIEIKPKDHPQFCDKNTCYDEVVLQNLDDEVAQMKGDKLVGFHLIGSHRPPYHQRYPDKPPPFVPDCPRSDIENCSDEELVNTYDNTIRYTDFVIAEMITKLKKYEDKYNTALIYLSDHGESLGAMGLYLHGTPYKFAPDDQTRVPMQVWMSPGFAKEKGMDLNCLQQKAADNRYSHDNLFSSVLGIWDVSTAVYDKQLDIFSQCRTVQ ->ARGMiner~~~MCR-1~~~WP_104009850.1~~~peptide unknown +>ARGMiner~~~MCR-1~~~WP_104009850.1~~~peptide~~~unknown MMHHTSVWYRRSVSPFVLVASVAVFLTATANLTFFDKISQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLMRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASAPKDTIYHAKDAVQATKPDMRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVTSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNAICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFIAQSIQWLQTHSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~CMY-17~~~WP_104009849.1~~~cephamycin unknown +>ARGMiner~~~CMY-17~~~WP_104009849.1~~~cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHSSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVAFAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CTX-M-3~~~WP_104009848.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~WP_104009848.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTSSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGS ->ARGMiner~~~CTX-M-15~~~WP_104009847.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_104009847.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTSSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~VIM-2~~~WP_104009846.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-2~~~WP_104009846.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFTLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGGVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~PDC-5~~~WP_104009844.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_104009844.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKASAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYHWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-1~~~WP_104009843.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_104009843.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQGKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRTGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTSGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_104009842.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_104009842.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTSGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_104009841.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_104009841.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_104009840.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_104009840.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFIATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLRAGPGPLDAEGYRVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-1~~~WP_104009839.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_104009839.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKASAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_104009838.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_104009838.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQGKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDRAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPITLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-5~~~WP_104009837.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_104009837.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVPISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-1~~~WP_104009836.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_104009836.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTYLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_104009835.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_104009835.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPAMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPIAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-7~~~WP_104009834.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_104009834.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQPKVPLKR ->ARGMiner~~~PDC-7~~~WP_104009833.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_104009833.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQLPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-10~~~WP_104009832.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_104009832.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTSGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PER-3~~~WP_104009831.1~~~monobactam;carbapenem;cephalosporin;penam;penem unknown +>ARGMiner~~~PER-3~~~WP_104009831.1~~~monobactam;carbapenem;cephalosporin;penam;penem~~~unknown MNVIIKAVVTASTLLMVSFSSFETSAQSPLLKEQIESIVIGKKATVGVAVWGPDDLEPLLINPFEKFPMQSVFKLHLAMLVLHQVDQGKLDLNQTVIVNRAKVLQNTWAPIMKAYQGDEFSVPVQQLLQYSVSLSDNVACDLLFELVGGPAALHDYIQSMGIKETAVVANEAQMHADDQVQYQNWTSMKGAAEILKKFEQKTQLSETSQALLWKWMVETTTGPERLKGLLPAGTVVAHKTGTSGIKAGKTAATNDLGIILLPDGRPLLVAVFVKDSAESSRTNEAIIAQAAQTAYQFELKKLSALSPN ->ARGMiner~~~MCR-3~~~WP_103252528.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_103252528.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIGWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGVSIFWKENDGGCKGVCDRVPNIEIKPKDYPKFCDKNTCYDEVVLQELDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYKFAPDDQTRVPMQVWMSPGFITEKGMNMECLQKNAAANRYSHDNIFSSVLGIWDVKTAIYEQELDIFKQCRNN ->ARGMiner~~~ROB-1~~~WP_103034696.1~~~cephalosporin;penam unknown +>ARGMiner~~~ROB-1~~~WP_103034696.1~~~cephalosporin;penam~~~unknown MFNKLKIGTLLLLTLTACSPNSVHSVTSNPQPASAPVQQSATQATFQQTLANLEQQYQARIGVYVWDTETGHSLSYRADERFAYASTFKALLAGAVLQSLPEKDLNRTISYSQKDLVSYSPETQKYVGKGMTIAQLCEAAVRFSDNTATNLLLKELGGVEQYQRILRQLGDNVTHANRLEPDLNQAKPNDIRDTSTPKQMAMNLNAYLLGNTLTESQKTILWNWLDNNATGNPLIRAATPTSWKVYDKSGAGKYGVRNDIAVVRIPNRKPIVMAIMSTQFTEEAKFNNKLVEDAAKQVFHTLQLN ->ARGMiner~~~MCR-3~~~WP_102607465.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_102607465.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIVWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNRARNSEGLLDVLQKTGISIFWKENDGGCKGVCDRVPNIEIEPKDHPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVVFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYTFAPDDQTRVPMQVWMSPGFTKEKGVDMACLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~OXA-22~~~WP_102607464.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-22~~~WP_102607464.1~~~cephalosporin;penam~~~unknown MMKLRHAATGAFLAALATFAHAEHPICTLVADAATGKVVVQEGKCNERVTPASTFKLALAVMGYDAGFLKDPHTPVEHFRRGDPDWGGQPWRQPVDPTLWLKYSVVWYSQRITHAMGAQTFASYVRKLDYGNMDVSGDPGKNNGLDRSWITSSLKISPEEQVGFLRRLVNRQLPVSAQTYEMVDRIVQTWQVPGGWAVQGKTGTAGPAPGNTSADGTWDQAHAYGWFVGWAKKGGQTYVFANLIQDDKIEPTSGGIRSRDAMLARLAQVLAAAKP ->ARGMiner~~~OXA-60~~~WP_102607463.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-60~~~WP_102607463.1~~~cephalosporin;penam~~~unknown MFSRWSKPFVLAATVCAMAMSAATAHAELIVRNDLKRVFDEAGVSGTFVLMDISADRTYVVDPARAARRIHPASTFKIPNSLIAFDTGAVRDDHEVLPYGGKPQPYKQWEHDMALPEAIRLSAVPIYQEVARRVGLERMQAYVDAFDYGNRQLGSVIDQFWLRGPLEISAFEEARFTSRMALKQLPVKPRTWDMVHRMLLIEQQGDAALYAKTGVATEYQPEIGWWVGWVERAGRVYAFALNIDMPREGDMAKRIPLGKQLMQALEVWPAP ->ARGMiner~~~OXA-60~~~WP_102607462.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-60~~~WP_102607462.1~~~cephalosporin;penam~~~unknown MFARWSKTFALALTACAFVMGATQAHAELIVRDDLKRVFDEAGVTGTFVLMDISGNRTYVVDPARAARRIHPASTFKIPNSLIAFDTGAVRDDHEVIPYGGKPQPFKQWEKDMALPEAIRVSNVPIYQEVARRIGPARMQAYMDAFDYGNRQIGSVIDQFWLRGPLEISAFEEARFTSRLALKQLPVKPRTWDLVHRMLMIERQGDASLYAKTGVATEYQPEIGWWVGWVEREGKVYAFALNIDMPLEADMAKRILLGKRLMQALDVWPTP ->ARGMiner~~~OXA-22~~~WP_102607461.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-22~~~WP_102607461.1~~~cephalosporin;penam~~~unknown MMKLRHAATGALLAALATFAHAERTICTIVADAATGKVVLHEGQCAERVTPASTFKLALAVMGYDHGFLKDEHAPIEHMKAGDPDWGGEAWHQPIDPTLWLKYSVVWYSQRITHAMGAQTLHAYLRKFDYGNMDASGDPGKNNGLDRSWITSSLKISPEEQVGFMRKIVNRQLPVSAHTYEMVERAVQTWPVPGGWTVQGKTGTAGPGPGNTSPDGTWDQAHAYGWFVGWAKKGTGDDSRTYVFANLIQDDKLEPTSGGLRSRDALLARLPEVLALASH ->ARGMiner~~~GES-6~~~WP_102607460.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-6~~~WP_102607460.1~~~carbapenem;cephalosporin;penam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVKWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRNEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~IMP-1~~~WP_102607459.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-1~~~WP_102607459.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTIEQAVKGLNESKKPSKPSN ->ARGMiner~~~ADC-77~~~WP_102607458.1~~~cephalosporin unknown +>ARGMiner~~~ADC-77~~~WP_102607458.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTSIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVAKTQMQNYAFGYNQENQPIRANPGPLDAPAYGVKSTLPDMLKFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTSGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKE ->ARGMiner~~~CTX-M-136~~~WP_102607456.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-136~~~WP_102607456.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGSYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-3~~~WP_102607455.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~WP_102607455.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPSLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-1~~~WP_102607454.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-1~~~WP_102607454.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDRTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~TEM-133~~~WP_102607453.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-133~~~WP_102607453.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~CTX-M-27~~~WP_102607452.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-27~~~WP_102607452.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDRTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CTX-M-14~~~WP_102607451.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-14~~~WP_102607451.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDDTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~ADC-80~~~WP_101244938.1~~~cephalosporin unknown +>ARGMiner~~~ADC-80~~~WP_101244938.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEIYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTSGKYWKVLKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-6~~~WP_101244937.1~~~cephalosporin unknown +>ARGMiner~~~ADC-6~~~WP_101244937.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPINQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGHYQVNTMYQALGWEEFSYPAMLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYTVLNAIKK ->ARGMiner~~~PDC-10~~~WP_101244936.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_101244936.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTGFPCLCGIAASTLLFAATSAIAGEAPADRLKTLVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDSRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASLHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYTPGSQRLYSNPSIGLFGYLAARSLGQPFERIMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAKGYGVKTSAADLLRFVDANLHPERLDKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~KPC-1~~~WP_101140102.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-1~~~WP_101140102.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARYTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~tet(59)~~~WP_100931729.1~~~tetracycline unknown +>ARGMiner~~~tet(59)~~~WP_100931729.1~~~tetracycline~~~unknown MNKFAITALTITALDAMGIGLIMPVLPALLREYVSIEHLANHYGILLALYAIMQVFFAPLLGRWSDKFGRRPILLISLAGAALDYTLLALSSSLWMLYLGRLASGITGATGAVAASVIADHTQPQQRTKWFGRLGAAFGVGLIAGPAIGGFAGQLSPHLPFVIAAVLNACSFVVIWVIFKDQRKAVIQDAQHGDQPSPIPFMQIIKPIIQLLFVFFMAQLIGQIPATTWVLFTEGRFQWDSMQVGLSLAGLGVMHALFQAFVAGAIAKKFNEKVTIIVGFALDGSAFIILSLLAEGWLIYPTLILLAGGSIALPALQSLMSAQVNQANQGKLQGVLVSLTNATGVIGPLLFSVIFGQTLTMWDGWVWVIGAIMYVFLIVFILSFYRSSRPTIKQQTDN ->ARGMiner~~~PDC-2~~~WP_100831609.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-2~~~WP_100831609.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTVTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIDLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~IMI-3~~~WP_100086555.1~~~carbapenem unknown +>ARGMiner~~~IMI-3~~~WP_100086555.1~~~carbapenem~~~unknown MSLNVKPSKIAILFISCLFSISFFSQANTKGIDEIKNLETDFNGRVGVYALDTGSGKSFSYKANERFPLCSSFKGFLAAAVLKGSQDNQLNLNQIVNYNTRSLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDEDFRLDRWELDLNTAIPGDERDTSTPAAVAKSLKTLALGNILSEREKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKNEKEAKHEDKVIAEASRIAIDNLK ->ARGMiner~~~MCR-3~~~WP_099982820.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_099982820.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIGWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGVSIFWKENDGGCKGVCDRVPNIEIKPKDYPKFCDKNTCYDEVVLQELDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYKFAPDDQTRVPMQVWMSPGFTKEKGVDMACLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~MCR-1~~~WP_099982815.1~~~peptide unknown +>ARGMiner~~~MCR-1~~~WP_099982815.1~~~peptide~~~unknown MMQHTSVVWYRRSVSPFVLVASVAVFLTATANLTFFDKISQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLMRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASAPKDTIYHAKDAVQATKPDMRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVTSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNAICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFIAQSIQWLQTHSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~MCR-3~~~WP_099982814.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_099982814.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIGWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGVSIFWKENDGGCKGVCDRVPNIEIKPKDYPKFCDKNTCYDEVVLQELDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIAEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYKFAPDDQTRVPMQVWMSPGFIKEKGMNMECLQKNAAANRYSHDNIFSSVLGIWDVKTAIYEQELDIFKQCRNN ->ARGMiner~~~MCR-2~~~WP_099982813.1~~~peptide unknown +>ARGMiner~~~MCR-2~~~WP_099982813.1~~~peptide~~~unknown MTQHSPWYRRPVNPYLLMSVVALFLSATANLTFFDKITNTYPMAQNAGFVISTALVLFGAMLLITVLLSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAESKDLMNMAFFVRIIGLGVLPSILVAWVKVDYPTLGKSLIQRAMTWGVAVVMALVPILAFSSHYASFFREHKPLRSYVNPVMPIYSVGKLASIEYKKATAPKDTIYHAKDAVQTTTPAERKPRLVVFVVGETARADHVQFNGYSRETFPQLAKIDNLANFSQVTSCGTSTAYSVPCMFSYLGQDDYDVDTAKYQENVLDTLDRLGVGILWRDNNSDSKGVMDKLPASQYFDYKSATNNTICNTNPYNECRDVGMLVGLDDYVSTNQGKDMLIMLHQMGNHGPAYFKRYDEQFAKYTPVCEGNELAKCEHQSLINAYDNALLATDDFIAKSIDWLKTHQANYDVAMLYVSDHGESLGENGVYLHGMPNAFAPKEQRAVPAFFWSNNPSFTPTASDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~PDC-1~~~WP_099982812.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_099982812.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLPEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~MCR-1~~~WP_099982800.1~~~peptide unknown +>ARGMiner~~~MCR-1~~~WP_099982800.1~~~peptide~~~unknown MMQHTSVWYRRSVSPFVLVASVAVFLTATANLTFFDKISQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLMRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASAPKDTIYHAKDAVQATKPDMRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVTSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNAICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPACEGNELAKCEHQSLINAYDNALLATDDFIAQSIQWLQTHSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~OXA-362~~~WP_099337823.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-362~~~WP_099337823.1~~~cephalosporin;penam~~~unknown MKTIMLLVLCSSLMLMACTSPTTSLSTPSQHVQTQQAQVIAELFNQAQTQGVLVIQHGAKVQSYGNDLSRADTEYVPASTFKMLNALIGLQHHKANIEEVFKWDGKKRSFPAWEKDMTLGEAMQASAVPVYQELARRIGLELMQQEVRRIKFGNQKIGQQVDNFWLVGPLKITPKQEADFVARLAQQQLAFDPLVQQQVKQMLLLQQRQGYRLYAKSGWGMDLEPQVGWLTGWIETPDAEIVAFSLNMQMYNSTNPAIRLEILQQALAELGLYPKA ->ARGMiner~~~MIR-17~~~WP_099156057.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-17~~~WP_099156057.1~~~monobactam;cephalosporin~~~unknown MMTKSLSCALLLSVTSSAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEVALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWVIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~ACT-12~~~WP_099156056.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-12~~~WP_099156056.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLSTSCAALAAPMSETQLAKVVERTVTPLMKAQSIPGMAVAVIYQGQPHYFTFGKADVAANTPVTAQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGVRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQSWQPQWAPGTTRLYANASIGLFGALAVKPSGMRFEQAMTERVLKPLNLNHTWINVPKSEEQHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVKDMASWVMANMAPDGVQDASLKQGMVLAQSRYWRTGSMYQGLGWEMLNWPVEAKTVVEGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKELGIVMLANKSYPNPARVEAAYRILSALQ ->ARGMiner~~~ACC-2~~~WP_099156055.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~ACC-2~~~WP_099156055.1~~~monobactam;cephalosporin;penam~~~unknown MRKKMQNTLKLLSVITCLAATAQGAMAANIDESKIKDTVDSLIQPLMQKNNIPGMSVAVTIRGRNYIYNYGLAAKQPQQPVTKNTLFEVGSLSKTFAATLASYAQASGKLSLEQSVSHYVPELRGSSFDHVSVLNVGTHTSGLQLFMPEDIKNTTQLMTYLKAWKPADAAGTHRVYSNIGTGLLGMIAAKSLGVSYEDAIEQTILPLLGMNQTYLKVPADQMENYAWGYNKKDEPVHVNMEILGNEAYGIKTTSSDLLHYVQANMGQLKLDGNAKIQHALTATHTGYFKSGEITQDLMWEQLPYPVSLPNLLTGNDMAMTKSVATPIVPPLPPQENVWINKTGSTNGFGAYIAFVPAKKMGIVMLANKNYSIDQRVTVAYKILSSLEGNK ->ARGMiner~~~SHV-11~~~WP_099156054.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-11~~~WP_099156054.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMVSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLVDGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-78~~~WP_099156053.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-78~~~WP_099156053.1~~~cephalosporin~~~unknown MMRKSVRRAILMTTACVSLLLASVPLCAQANDVQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAAAAVLKQSETQKDLLSQRVEIKSSDLINYNPIAEKHVNGTMTLGELSAAALQYSDNTAMNKLIAHLGGPGKVTAFARAIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLRNLTLGNALGDTQRAQLVTWLKGNTTGAASIQAGLPTSWVVGDKTGSGDYGTTNDIAVIWPEGRAPLVLVTYFTQPKPKAESRGDVLAAAARIVTDGY ->ARGMiner~~~OXA-370~~~WP_099156052.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-370~~~WP_099156052.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYDTKIGKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~NDM-5~~~WP_099156050.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~NDM-5~~~WP_099156050.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPSFGAVASNGLIVRDGGRVLLVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGLVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~MCR-3~~~WP_099156049.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_099156049.1~~~peptide~~~unknown MPSLIKIKIVPLIFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLVKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIGWVTIAGFIPAILLFFVEIEYEKKWFKGIITRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGVSIFWKENDGGCKGVCDRVPNIEIKPKDYPKFCDKNTCYDEVVLQELDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYKFAPDDQTRVPMQVWMSPGFTKEKGVDMACLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~MCR-3~~~WP_099156048.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_099156048.1~~~peptide~~~unknown MPSLIKIKIVPLIFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIGWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGVSIFWKENDGGCKGVCDRVPNIEIKPKDYPKFCDKNTCYDEVVLQELDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIAEMIAKLKTYEDKYNTALLYVSDHGESLGAMGLYLHGTPYKFAPDDQTRVPMQVWMSPGFIKEKGMNMECLQKNAAANRYSHDNIFSSVLGIWDVKTAIYEQELDIFKQCRNN ->ARGMiner~~~MCR-3~~~WP_099156047.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_099156047.1~~~peptide~~~unknown MPSLIKIKIVPLIFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFAFVPFSIRYLVKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIGWVTIVGFIPAILLFFVEIEYEEKWFKGILTRVLSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNKSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGVSIFWKENDGGCKGVCDRVPNIEVKPKDYPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIAEMIAKLKTYEDKYNTALLYVSDHGESLGAMGLYLHGTPYKFAPDDQTRVPMQVWMSPGFTKEKGMNMECLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~ADC-25~~~WP_099156045.1~~~cephalosporin unknown +>ARGMiner~~~ADC-25~~~WP_099156045.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTSGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~PER-7~~~WP_096865225.1~~~monobactam;carbapenem;cephalosporin;penam;penem unknown +>ARGMiner~~~PER-7~~~WP_096865225.1~~~monobactam;carbapenem;cephalosporin;penam;penem~~~unknown MNVIIKAVVTASTLLMVSFSSFETSAQSPLLKEQIESIVIGKKATVGVAVWGPDDLEPLLINPFEKFPMQSVFKLHLAMLVLHQVDQGKLDLNQTVIVNRAKVLQNTWSPMMEEHPGDEFTVAVQQLLQYSVSHSDNVACDLLFELVGGPAALDAYIRSIGVKETAVVANEAQMHADDQVQYQNWTSMKGAAEILKKFEQKTQLSETSQALLWKWMVETTTGPERLKGLLPAGTVVAHKTGTSGVRAGKTAATNDLGIILLPDGRPLLVAVFVKDSAESSRTNEAIIAQVAQAAYQFELKKLSALSPN ->ARGMiner~~~SHV-134~~~WP_096807447.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-134~~~WP_096807447.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVDLLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~IMP-11~~~WP_096807443.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-11~~~WP_096807443.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCIFLFCSITAAGASLPDLKIEKLEEGVYVHTSFEEVNGWGVVSKHGLVVLVNTDAYLIDTPFTAKDTEKLVNWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASVLTNELLKKDGKVQAKNSFSGVSYWLVKNKIEVFYPGPGHTQDNVVVWLPKNKILFGGCFVKPYGLGNLDDANVEAWPHSAEKLISKYGNAKLVVPGHSDIGDASLLKLTWEQAVKGLNESKKSNTVH ->ARGMiner~~~MCR-1~~~WP_096807442.1~~~peptide unknown +>ARGMiner~~~MCR-1~~~WP_096807442.1~~~peptide~~~unknown MVQHTSVWYRCSVSPFVLVASVSVFLTATANLTFFDKISQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLVRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASAPKDTIYHAKDAVQATKPDTRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVTSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNTICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFITQSIQWLQTHSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~OXA-12~~~WP_096807440.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-12~~~WP_096807440.1~~~cephalosporin;penam~~~unknown MSRILLSSLLAAGLFCSLPASAATGCMLFADGSGKPFSAQGDCASQLPPASTFKIPLALMGYDSGFLVDEQLPALPFKAGDPDFLPEWKQTTTPSRWMTYSVIWYSQRLTEWLGAARFQQYVDRFDYGNRDLSGNPGKHDGLTQAWLSSSLAISPQEQARFLGKLVSGKLPVSAQTLQHTANILRQPDIDGWQIHGKTGTGYPKLLDGSLDRDQQIGWFVGWASKQDQKLIFVHTVIQKPGKQFASLRAREEVFAALPEQLKKL ->ARGMiner~~~KPC-3~~~WP_096807439.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-3~~~WP_096807439.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKDDKYSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~QnrS7~~~WP_096170112.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrS7~~~WP_096170112.1~~~fluoroquinolone~~~unknown METYNHTYRHHNFSHKDLSDLTFTACTFIRSDFRRANLRDTTFVNCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIEFRACDLKGANFSRTNFAHQVSNRMYFCSAFISGCNLSYANMERVCLEKCELFENRWIGTNLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTAGIKIAAWQQELILEALGIVVYPD ->ARGMiner~~~aadA14~~~WP_094963248.1~~~aminoglycoside unknown +>ARGMiner~~~aadA14~~~WP_094963248.1~~~aminoglycoside~~~unknown MTTKLPESIADQLSDTLLILENHLGETIQAVHLFGSAVDGGLKPFSDIDLLITVSTPLGESTRVALMSDLLLVSAFPGTDAKRRALEVTILAQKDVVPWRYPARRQMQFGEWLRHDINAGIFEPAMTDHDLAILLTKVRLHSLALYGPTAQEFFDEIPAIDVQRSLLETLALWTTEADWEGDERNIVLALVRIWYTAMTGDIASKDAAADWALQRLPSENKHIVIAARDGYLGLGTVDLAAYPQERAELLNYIRSSVTTKLQ ->ARGMiner~~~ACT-6~~~WP_094935119.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-6~~~WP_094935119.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMTKSLCCALLLSTSCSVLAAPMSEKQLAEMVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKRLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVKDMANWVMVNMKPDSLEDSSLRKGLTLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~MCR-3~~~WP_094321595.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_094321595.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIVWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNRARNSEGLLDVLQKTGISIFWKENDGGCKGVCDRVPNIEIEPKDHPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYNFAPDDQTRVPMQVWMSPGFTKEKGVDMACLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~MCR-3~~~WP_094315354.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_094315354.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIVWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNRARNSEGLLDVLQKTGISIFWKENDGGCKGVCDRVPNIEIEPKDHPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYQFAPDDQTRVPMQVWMSPGFIKEKGVDMACLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~MCR-3~~~WP_094313523.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_094313523.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIKNIFETNQNEALAYLSLPIIVWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNRARNSEGLLDVLQKTGISIFWKENDGGCKGVCDRVPNIEIEPKDHPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYQFAPDDQTRVPMQVWMSPGFTKEKGVDMACLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~MCR-3~~~WP_094312656.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_094312656.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIVWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDDRARNSEGLLDVLQKTGISIFWKENDGGCKGVCDRVPNIEIEPKDHPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYKFAPDDQTRVPMQVWMSPGFTKEKGVDMACLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~MCR-3~~~WP_094308975.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_094308975.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIVWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDDRARNSEGLLDVLQKTGISIFWKENDGGCKGVCDRVPNIEIEPKDHPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVVFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYQFAPDDQTRVPMQVWMSPGFTKEKGVDMACLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~IMI-4~~~WP_094009816.1~~~carbapenem unknown +>ARGMiner~~~IMI-4~~~WP_094009816.1~~~carbapenem~~~unknown MSLNVKPSRIAILFSSCLVSISFFSQANTKGIDDIKNLETDFNGRIGVYALDTGSGKSFSYKANERFPLCSSFKGFLAAAVLKRSQDNQLSLNQIVNYNTRTLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDEDFRLDRWELDLNTAIPGDERDTSTPAAVAKSLKTIALGNILSEREKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKYEKEAKHEDKVIAEASRIAIDNLK ->ARGMiner~~~SHV-1~~~WP_094009815.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-1~~~WP_094009815.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGSLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-14~~~WP_094009814.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-14~~~WP_094009814.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVYYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CTX-M-2~~~WP_094009813.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-2~~~WP_094009813.1~~~cephalosporin~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGIGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~VEB-1~~~WP_094009812.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~VEB-1~~~WP_094009812.1~~~monobactam;cephalosporin~~~unknown MKIVKRILLVLLSLFFTIVYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKTWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWDYYLNK ->ARGMiner~~~OXA-48~~~WP_094009811.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_094009811.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHDFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~NDM-7~~~WP_094009810.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~NDM-7~~~WP_094009810.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMNALHAAGIATYANALSNQLAPQEGLVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASVRAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~IMI-1~~~WP_094009809.1~~~carbapenem unknown +>ARGMiner~~~IMI-1~~~WP_094009809.1~~~carbapenem~~~unknown MSLNAKPSRIGILFSSCLVLISFFSQANTKGIDEIKSLETDFNGRIGVYALDTGSGKSFSYRANERFPLCSSFKGFLAAAVLKGSQDNQLNLNQIVNYSTRSLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERFIGGPEGMTKFMRSIGDEVFRLDRWELDLNTAIPGDERDTSTPAAIGKSLENLALGNILSEREKETYQTWLKGNTTGATRIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKNQKEAKHDDKVIAEASRIAIDNLK ->ARGMiner~~~OXA-48~~~WP_094009808.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_094009808.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVLPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~VIM-1~~~WP_094009807.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-1~~~WP_094009807.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVRELSSTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~PDC-3~~~WP_094009806.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_094009806.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGNMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~IMP-4~~~WP_094009805.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-4~~~WP_094009805.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MSKLSVFFIFLFCSIATAAEPLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVDAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFGGVNYWLVKNKIEVFYPGPGHTPDNLVVWLPERKILFGGCFIKPYGLGYLGDANLEAWPKSAKLLISKYGKAKLVVPSHSEAGDASLLKLTLEQAVKGLNESKKPSKLSN ->ARGMiner~~~OXA-48~~~WP_094009804.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_094009804.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVALWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANSDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~OXA-48~~~WP_094009803.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_094009803.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNLDTFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~CMY-64~~~WP_094009802.1~~~cephamycin unknown +>ARGMiner~~~CMY-64~~~WP_094009802.1~~~cephamycin~~~unknown MMKKSLCCALLLTASLSTFAAAKTEPQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADITNNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKAVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNLVRVEAAWRILEKLQ ->ARGMiner~~~MCR-3~~~WP_089613755.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_089613755.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFVLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIVWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNRARNSEGLLDVLQKTGISIFWKENDGGCKGVCDRVPNIEIEPKDHPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGELGLYLHGTPYQFAPDDQTRVPMQVWMSPGFIKEKGVDMACLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~OXA-184~~~WP_088303859.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_088303859.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDYNTSGTFIVFDGKNYASNDFKRAKKAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKCSQVPAFKELARNIGLKTMQESLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKNQEEVKKIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKIKNKILSFALNVDIKDIKNIKIREELLNKYLANFFNNNRIKSFY ->ARGMiner~~~ADC-2~~~WP_088245227.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_088245227.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDKPGKYWKELKNTPIDQINLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFGQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKE ->ARGMiner~~~SHV-168~~~WP_088245226.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-168~~~WP_088245226.1~~~carbapenem;cephalosporin;penam~~~unknown MRYFRLCIISLLATLPLAVHASPQSLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPVGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-3~~~WP_088245225.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~WP_088245225.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRIEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~PDC-7~~~WP_088245224.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_088245224.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMAPQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-10~~~WP_088245223.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_088245223.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_088245222.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_088245222.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLGAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_088245221.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_088245221.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGSLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_088245220.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_088245220.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRGGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQPKVPLKR ->ARGMiner~~~PDC-10~~~WP_088245219.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_088245219.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTGFPCLCGIAASTLLFAATSAIAGEAPADRLKTLVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASLHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYTPGSQRLYSNPSIGLFGYLAARSLGQPFERIMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDKPWAQALNATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-7~~~WP_088245218.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_088245218.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMAPQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-5~~~WP_088245217.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_088245217.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLAIYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_088245216.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_088245216.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASEHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDRAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~CTX-M-15~~~WP_088245215.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_088245215.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVIYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~IMP-27~~~WP_088245214.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-27~~~WP_088245214.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCVFVFCSITVAGETLPNLRVEKLEEGVYVHTSYEEVKGWGVVTKHGLVVLIGADAYLIDTPFTAKDTEKLVNWFVERGYKIKGTVSSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFDGVSYWLAKDKIEVFYPGPGHTQDNVVVWLPEKEILFGGCFVKPHGLGNLGDANLEAWPESAKILMEKYGKAKLVVSGHSETGDSTHLKRTWEQAVKGLKESKKTLQPSN ->ARGMiner~~~CMY-7~~~WP_088245213.1~~~cephamycin unknown +>ARGMiner~~~CMY-7~~~WP_088245213.1~~~cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGELAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHSSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CTX-M-3~~~WP_088245212.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~WP_088245212.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDRTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-15~~~WP_088245211.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_088245211.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDDVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~SME-2~~~WP_088245210.1~~~carbapenem unknown +>ARGMiner~~~SME-2~~~WP_088245210.1~~~carbapenem~~~unknown MFFFKKSASTFIFLLCLPLNSFASQESNGVEQMRELETSFGGRIGVYILNTKNGKEFSYRQDERFPLCSSFKAFLAASVLKRTQDKSVSLDDMMEYSGRVMEKHSPVSEKYRETGASVQTLAKAAIQYSDNGASNLLMERYIGGPEGLTAFMRSTGDTDFRLDRWELELNSAIPGDERDTSTPKAVAISLNNIAFGSVLDAKNKSLLQDWLKGNTTGNARIRAAVPDKWVVGDKTGTCGLYGTANDIAILWPDANSPAVMAVYTTRPNQNDKHDETVIKNAAKIAINAVYGSTK ->ARGMiner~~~SME-2~~~WP_087907639.1~~~carbapenem unknown +>ARGMiner~~~SME-2~~~WP_087907639.1~~~carbapenem~~~unknown MFFFKKSASTFIFFLCLPLNSFASQESNSIEQMRELEASFGGRIGVYILNTKNGKEFSYRQDERFPLCSSFKAFLAASVLKKTQDKSVSLDDMMEYSGRVMEKHSPVSEKYRKTGASVRTLAKAAIQYSDNGASNLLMERYIGGPEGLTAFMRSTGDTDFRLDRWELELNSAIPGDERDTSTPKAVAMSLKNIAFGSVLDAKNKSLLQEWLKGNTTGNARIRAAVPDKWDVGDKTGTCGFYGTANDVAILWPDANSPAVMAVYTTRPNQNDKHDEAVIKDSAKIAINAVYGSYK ->ARGMiner~~~MCR-3~~~WP_087879616.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_087879616.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFVLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIVWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNRARNSEGLLDVLQKTGISIFWKENDGGCKGVCDRVPNIEIEPKDHPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYQFAPDDQTRVPMQVWMSPGFTKEKGVDMACLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~OXA-61~~~WP_087696200.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_087696200.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFIESKNKILSFALNVDIKNIKNLKIREELLEKYIYSLN ->ARGMiner~~~PDC-5~~~WP_087587974.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_087587974.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLYGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLRRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-1~~~WP_087587973.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_087587973.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_087587972.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_087587972.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_087587971.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_087587971.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGNGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_087587970.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_087587970.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTYLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTSGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_087587969.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_087587969.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQCAQGYGKDDRPLRVGPGPLGAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_087587968.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_087587968.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYPPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_087587967.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_087587967.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTGFPCLCGIAASTLLFAATSAIAGEAPADRLKTLVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALVQDKMRLDDRASLHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYTPGSQRLYSNPSIGLFGYLAARSLGQPFERIMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-8~~~WP_087587966.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_087587966.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQVGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_087587965.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_087587965.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMAPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_087587964.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_087587964.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFSCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_087587963.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_087587963.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLFGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-1~~~WP_087587962.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_087587962.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPIPLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_087587961.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_087587961.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTKFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_087587960.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_087587960.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQLPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_087587959.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_087587959.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASEHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDRAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_087587958.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_087587958.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLLATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDSASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFLALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_087587957.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_087587957.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQSLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_087587956.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_087587956.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_087587955.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_087587955.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSISLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_087587954.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_087587954.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPAPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_087587953.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_087587953.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSHFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_087587952.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_087587952.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDTEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_087587951.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_087587951.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPNSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTSGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_087587950.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_087587950.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~CMY-2~~~WP_087587949.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-2~~~WP_087587949.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTIPPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~Erm(43)~~~WP_087587948.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(43)~~~WP_087587948.1~~~macrolide;lincosamide;streptogramin~~~unknown MNNKNPKDSQNFITSQKYINEILQNTNIESNDNIIEIGTGKGHFTKALLKISHFVTGIEIDRNLYYKLKKDTDLYDNLKLINKDVLRFQFHQNEPYKIFGSIPYNISTEIIKKILYESKSEFNYLIVELGFAKRLLNKKRALSLLLLPKMDVEILKIIPNVYFHPKPTVDSALILLKRHKPLVSEKDEKIYHFFVYRWVNKEYKKLFTKNQFNKTLNHANVQDINELSKEQFISIFNSYKLFN ->ARGMiner~~~CTX-M-64~~~WP_087587947.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-64~~~WP_087587947.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPITEKHVNGTMTLAELSAAALQYTDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~OXA-48~~~WP_087587945.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_087587945.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVALWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISAIEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~OXA-48~~~WP_087587944.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_087587944.1~~~cephalosporin;penam~~~unknown MRSFAISTVLVMSSLLASSIIAAPTFASTAVKKEWQETRSWDAIFTQHQVEPQQAKQQQAKPQKTKSQQTSGVVALWNENKQQGYTNNLKRANQGFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGKSRDIATWNRDHNLITGMKYSVVPVYQEFARQIGEARMSKMIASFDYGNEDISGNLDSFWLDGGIRISATEQIDFLRRLYYNKIHASERSQRIVKQAMLTEANSDYIIRAKTGYAVRAEPSIGWWVGWIELDDNVWFFAMNMDIPDAAGLPLRQAITKEVLKLEHVIP ->ARGMiner~~~OXA-48~~~WP_087587943.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_087587943.1~~~cephalosporin;penam~~~unknown MRSFAISTVLVMSSLLASSIIAAPTFASTAVKKEWQETRSWDAIFTQHQVEPQQAKLQKTKLQQTSGVVALWNENKQQGYTNNLKRANQGFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGKSRDIATWNRDHNLITGMKYSVVPVYQEFARQIGEARMSKMIASFDYGNETISGNLDSFWLDGGIRISATEQIDFLRRLYHNKVHASERSQRIVKQAMLTEANSDYIIRAKTGYAVRAEPSIGWWVGWVELDDNVWFFAMNMDIPDAAGLPLRQAITKEVLKLEHVIP ->ARGMiner~~~OXA-48~~~WP_087587942.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_087587942.1~~~cephalosporin;penam~~~unknown MRSFAISTVLVMSSLLASSTIAAPTFASTAAKTEWQETRSWDAIFTQHQVEPQQAKQQQAKPQKTKSQQASGVVVLWNENKQQGYTNNLKRANQGFLPASTFKIPNSLIALELGVVKDEHQVFKWDGKSRDIATWNRDHNLITGMKYSVVPVYQEFARQIGEARMSKMIASFDYGNEDISGNLDSFWLDGGIRISATEQIDFLRRLYHNKIHASERSQRIVKQAMLTEANSDYIIRAKTGYAVRAEPSIGWWVGWVELDNNVWFFAMNMDIPDAAGLPLRQAITKEVLKLEHVIP ->ARGMiner~~~OXA-48~~~WP_087587941.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_087587941.1~~~cephalosporin;penam~~~unknown MRSFAISTVLVMSSLLASSIIAAPTFASTAVKKEWQETRSWDAIFTQHQVEPQQAKLQKTKLQQTSGVVALWNENKQQGYTNNLKRANQGFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGKSRDIVTWNRDHSLITGMKYSVVPVYQEFARQIGEARMSKMIASFDYGNEDISGNLDSFWLDGGIRISATEQIDFLRRLYYNKIHASERSQRIVKQAMLTEANSDYIIRAKTGYAVRAEPSIGWWVGWVELDDNVWFFAMNMDIPDAAGLPLRQAITKEVLKLEHVIP ->ARGMiner~~~OXA-48~~~WP_087587940.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_087587940.1~~~cephalosporin;penam~~~unknown MRSFAISTVLGMSSLLASSIIAAPTFASTAVKKEWQETRSWDAIFTQHQVEPQQAKLQKTKLQQTSGVVALWNENKQQGYTNNLKRANQGFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGKSRDIATWNRDHNLITGMKYSVVPVYQEFARQIGEARMSKMIASFDYGNETISGNLDSFWLDGGIRISATEQIDFLRRLYHNKVHASERSQRIVKQAMLTEANSDYIIRAKTGYAVRAEPSIGWWVGWVELDDNVWFFAMNMDIPDAAGLPLRQAITKEVLKLEHVIP ->ARGMiner~~~OXA-48~~~WP_087587939.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_087587939.1~~~cephalosporin;penam~~~unknown MRSFAISTVLVMSSLLASSIIAAPTFASTAVKKEWQETRSWDAIFTQHQAEPQQAKLQKTKLQQTSGVVALWNENKQQGYTNNLKRANQGFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGKSRDIATWNRDHNLITGMKYSVVPVYQEFARQIGEARMSKMIASFDYGNEDISGNLDSFWLDGGIRISATEQIDFLRRLYYNKIHASERSQRIVKQAMLTEANSDYIIRAKTGYAVRAEPSIGWWVGWIELDDNVWFFAMNMDIPDAAGLPLRQAITKEVLKLEHVIP ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_087587938.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_087587938.1~~~penam~~~unknown MKKFFTIFVLLCVCFAYTTATASAQGLTKLEHKNDATVGVYGINTATGQTYSHNADTRFAYASTFKAITSGLLLQQNSPEALNKTVTIKESDIVAYSPVTEQYVGKTMTLRQLISAAMLQSDNTASNIIMEQLGGLDQLSSRLQALGDTTTNPQRYEPELNNYDPQSTADTSTPRATAHNLQNLLTTDAVAPQQRKFLQNLMLNNKTGESLIKKGVPNSYKVGDKSGQGTTYGTRNDVAVIYPKHQTKPIILVVFTKHQQQDAQPQDELVAQAARHAIHQLD ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_087587937.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_087587937.1~~~penam~~~unknown MKKFFTIFVLLCVCFAYTTASASAQDLTKLEHKNDATVGVYGINTATGKTYSHNADTRFAYASTFKAITSGLLLQQSSPEALNKTVTIKESDIVAYSPVTEQYVGKTMTLRQLISAAMLQSDNTASNVIMEQLGGLDQISSRLQALGDTTTNPQRYEPELNNYDPQSTADTSTPRATAHSLQQLLTTDAVAPQQRKFLQNLMFNNETGDSLIKKGVPDSYKVGDKSGQGTTYGTRNDVALIYPKHQTKPIVLVVFTKHKQQDAKPQDALVAQAARQAIQQLD ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_087587936.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_087587936.1~~~penam~~~unknown MKKFFTIFVLLCVCFAYTTATASAQGLTKLEHKNDATVGVYGINTATGQTYSHNADTRFAYASTFKAITSGLLLQQNSPEALNKTVTIKESDIVAYSPVTEQYVGKTMTLRQLISAAMLQSDNTASNIIMEQLGGLDQLSSRLQALGDTTTNPQRYEPELNNYDPQSTADTSTPRATAHNLQKLLTTDAVAPQQRKFLQNLMFNNKTGESLIKKGVPNSYKVGDKSGQGTTYGTRNDVAVIYPKHQTKPIILVVFTKHQQQDAQPQDELVAQAARHAIHQLD ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_087587935.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_087587935.1~~~penam~~~unknown MKKFFTIFVLLCVCFAYTTATASAQGLTKLEHKNDATVGVYGINTATGQTYSHNADTRFAYASTFKAITSGLLLQQSSPEALNKTVTIKESDIVAYSPVTEQYVGKTMTLRQLISAAMLQSDNTASNIIMEQLGGLDQISSRLQALGDTTTNPQRYEPELNNYDPQSTADTSTPRATAHSLQQLLTTDAVAPQQRKFLQNLMFNNETGDSLIKKGVPDSYKVGDKSGQGTTYGTRNDVALIYPKHQTKPIVLVVFTKHQQQDAQPQDELVAQAARHAIHQLD ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_087587934.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_087587934.1~~~penam~~~unknown MKKFFTIFVLLCVCFAYTTATASAQGLTKLEHKNDATVGVYGINTATGKTYSHNADTRFAYASTFKAITSGLLLQQSSPEALNKTVTIKESDIVAYSPVTEQYVGKTMTLRQLISAAMLQSDNTASNIIMEQLGGLDQISSRLQALGDTTTNPQRYEPELNNYDPQSTADTSTPRATAHSLQQLLTTDAVAPQQRKFLQNLMFNNETGDSLIKKGVPDSYKVGDKSGQGTTYGTRNDVALIYPKHQTKPIVLVVFTKHQQQDAQPQDELVAQAARHAIHQLD ->ARGMiner~~~Erm(38)~~~WP_087587931.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(38)~~~WP_087587931.1~~~macrolide;lincosamide;streptogramin~~~unknown MPTYRSGRHELGQNFLTDRKAVDTIVDLVSRTDGPIIEIGSGGGALTLPLQALRRPITAIEIDPRHVQKLQHRVDPSTTVVHGDFLRYRLPRTPHTIVGNLPFHHTTAMLRRILHAEHWTASVLLVQWEVARRRAAVGGATMMTAQWWPWYDFGLAGRVSASAFTPRPGVDAGLMTIARRTVPLVDPALRPRYSTFVHTVFTSKGHGLHQILPRVAGDPEKAAVKKWLAGQRFRGTPLPRDLSPGQWSELFAIIDRRSPATDRKRAEYRR ->ARGMiner~~~QnrB1~~~WP_085843691.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB1~~~WP_085843691.1~~~fluoroquinolone~~~unknown MALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESKKGCNFSRAMLKDAIFKSCDLSMADFRNSSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWIGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~OXA-23~~~WP_085562421.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-23~~~WP_085562421.1~~~cephalosporin;penam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINKTQSQIVQGHNQVIHQYFDEKNTSGVLVIQADKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVTFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~CMY-72~~~WP_085562420.1~~~cephamycin unknown +>ARGMiner~~~CMY-72~~~WP_085562420.1~~~cephamycin~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISMLHLATYTAGGLPLQIPDDVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKSSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYLEGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~GES-6~~~WP_085562419.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-6~~~WP_085562419.1~~~carbapenem;cephalosporin;penam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVKWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGACANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~OXA-421~~~WP_085562418.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_085562418.1~~~cephalosporin;penam~~~unknown MTKKALFFAIGTMFLSACSFNTVQQHQIQSISTNKNSEKIKSLFDQAQTEGVLVIKRGQTEEIYGNDLKRSSTEYVPASTFKMLNALIGLEHHKATPTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDDFWLVGPLKITPQQEAQFAYELAHKTLPFSKNVQEQVQSMLFIEEKNGQKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OXA-421~~~WP_085562417.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_085562417.1~~~cephalosporin;penam~~~unknown MTKKALFFAIGTMFLSACSFNTVEQHQIQSISTNKNSEKIKSLFDQAQTTGVLVIKRGQTEEVYGNDLKRASTEYVPASTFKMLNALIGLEHHKATPTEVFKWDGQKHLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSKNVQEQVQSMVFIEEKNGRKIYAKSGWGWDVDPQVGWFTGWVVQPQGEIVAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OXA-317~~~WP_085562416.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-317~~~WP_085562416.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFSSACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQEEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-338~~~WP_085562415.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-338~~~WP_085562415.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIHQGQTQQSYGNDLTRASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSEEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFTLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-208~~~WP_085562414.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-208~~~WP_085562414.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-338~~~WP_085562413.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-338~~~WP_085562413.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNGLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-71~~~WP_085562412.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-71~~~WP_085562412.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKTTTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWIVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-94~~~WP_085562411.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-94~~~WP_085562411.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPHGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-338~~~WP_085562410.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-338~~~WP_085562410.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSDSKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-338~~~WP_085562409.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-338~~~WP_085562409.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-208~~~WP_085562408.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-208~~~WP_085562408.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPTSTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~MCR-1~~~WP_085562407.1~~~peptide unknown +>ARGMiner~~~MCR-1~~~WP_085562407.1~~~peptide~~~unknown MMRHTSVWYRRSVSPFVLVASVAVFLTATANLTFFDKISQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLMRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASAPKDTIYHAKDAVQATKPDMRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVTSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNAICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFIAQSIQWLQTHSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~TEM-3~~~WP_085562406.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-3~~~WP_085562406.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDKLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTNGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGTSLIKHW ->ARGMiner~~~IMI-4~~~WP_085562405.1~~~carbapenem unknown +>ARGMiner~~~IMI-4~~~WP_085562405.1~~~carbapenem~~~unknown MSTTLRKSKPAFLLGSFLMSISLYSYANENGIDEIKNLEKKFNGRIGVYALDTGSGKSFAYRANERFPLCSSFKGFLAASVLKGSQDKQLNFSEIVNYNTRSLEPNSPITAKYKEQGMPLGEMAAAALQYSDNAATNIILERYIGGPVGMTKFMRSIGDEEFRLDRYELDLNTAIPGDERDTSTPEAVGKSLKNLALGNILNDSEKETYQTWLKGNTTGAARIRSSVPREWVVGDKTGTCGAYGTANDYAVVWPDDRAPLIISVYTTKYEKDAKHEEEFIAEASRIAIKTLN ->ARGMiner~~~IMI-1~~~WP_085562404.1~~~carbapenem unknown +>ARGMiner~~~IMI-1~~~WP_085562404.1~~~carbapenem~~~unknown MSLNVKPSRIAILFSSCLVSISFFSQANTKGIDEIKDLETDFNGRIGVYALDTGSGKSFSYKANERFPLCSSFKGFLAAAVLKGSQDNQLNLNQIVNYNTRSLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDKDFRLDRWELDLNTAIPGDERDTSTPAAVAKSLKTLALGNILNEREKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKTKKEAKHEDKVIAEASRIAIDNLK ->ARGMiner~~~OXA-48~~~WP_085562403.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_085562403.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAREWQENKSWNVHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISAIEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~OXA-90~~~WP_085562402.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-90~~~WP_085562402.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWVVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CTX-M-14~~~WP_085562401.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-14~~~WP_085562401.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAALYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CTX-M-15~~~WP_085562400.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_085562400.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQVGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~KPC-1~~~WP_085562399.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-1~~~WP_085562399.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRHLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~TEM-15~~~WP_085562398.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-15~~~WP_085562398.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSVLPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~IMI-1~~~WP_085562397.1~~~carbapenem unknown +>ARGMiner~~~IMI-1~~~WP_085562397.1~~~carbapenem~~~unknown MFFLKKAQVHLFFFLCLPLNSFASQESNSIEQMRELEAAFGGRIGVYILNTKNGKEFSYRQDERFPLCSSFKAFLAASVLKKTQDKSVSLDDMMEYSGRVMEKHSPVSEKYRKTGASVRTLAKAAIQYSDNGASNLLMERYIGGPEGLTAFMRSTGDTDFRLDRWELELNSAIPGDERDTSTPKAVAMSLKNIAFGSVLDAKNKSLLQEWLKGNTTGNARIRAAVPDKWDVGDKTGTCGFYGTANDVAILWPDANSPAVMAVYTTRPNQNDKHDEAVIKNSAKIAINAVYGSYK ->ARGMiner~~~CMY-4~~~WP_085562396.1~~~cephamycin unknown +>ARGMiner~~~CMY-4~~~WP_085562396.1~~~cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKYYARGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-42~~~WP_085562395.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-42~~~WP_085562395.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLTHTWITVPQNEQKDYAWGYREGKPVHSSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~PDC-2~~~WP_085562394.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-2~~~WP_085562394.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTVTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLGAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-10~~~WP_085562393.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_085562393.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVVANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~MCR-1~~~WP_085562392.1~~~peptide unknown +>ARGMiner~~~MCR-1~~~WP_085562392.1~~~peptide~~~unknown MMQHTSVWYRRSVSPFVLVASVAVFLTATANLTFFDKISQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLMRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASTPKDTIYHAKDAVQATKPDMRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVTSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNAICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFIAQSIQWLQTHSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~IMP-1~~~WP_085562391.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-1~~~WP_085562391.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGFVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~CcrA beta-lactamase~~~WP_085562390.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_085562390.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGIIVINNHRAALLDTPINDAQTETLVNWVADSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVQSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGTPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQTTSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGDYGGTELIEHTKQIVNQYIESTSKP ->ARGMiner~~~CcrA beta-lactamase~~~WP_085562389.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_085562389.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGIIVINNHRAALLDTPINDAQTETLVNWVTDSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVQSYANQMTIDFAKEKGLPIPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQATSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGDYGGTELIEHTKQIVNQYIESTSKP ->ARGMiner~~~CcrA beta-lactamase~~~WP_085562388.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_085562388.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISNDISITQLSDKVYTYVSLAEIEGWGMVPSNGMIVINNHQAALLDTPINDAQTETLVNWVADSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVQSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQATSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGDYGGTELIEHTKQIVNQYIESTSKP ->ARGMiner~~~CcrA beta-lactamase~~~WP_085562387.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_085562387.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGIIVINNHRAALLDTPINDAQTETLVNWVTDSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVQSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQTTSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGDYGGTELIEHTKQIVNQYIESTSKP ->ARGMiner~~~CcrA beta-lactamase~~~WP_085562386.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_085562386.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGMIVINNHQAALLDTPINDAQTEMLVNWVTDSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVQSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQATSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGNYGGTELIEHTKQIVNQYIESTSKP ->ARGMiner~~~CcrA beta-lactamase~~~WP_085562385.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_085562385.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGMIVINNHQAALLDTPINDAQTEMLVNWVTDSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVRSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQTTSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGNYGGTELIEHTKQIVNQYIESTSKP ->ARGMiner~~~OXA-48~~~WP_085562384.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_085562384.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTKPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~FosB3~~~WP_085562382.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_085562382.1~~~fosfomycin~~~unknown MIKGINHITYSVSNIAKSIEFYRDILEADILVESETSAYFNLGGIWLALNEEKNIPRSEIKYSYTHIAFTISDNDFEDWYNWLKENEVNILEGRDRDIRDKKSIYFTDLDGHKLELHTGSLEDRLSYYKEAKPHMNFYI ->ARGMiner~~~FosB3~~~WP_085562381.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_085562381.1~~~fosfomycin~~~unknown MIKGINHITYSVSNIAKSIEFYRDILGADILVESETSAYFNLGDIWLALNEEKNIPRSEIKYSYTHIAFTISDNDFEDWYIWLKENEVNILEGRDRDIRDKKSIYFTDLDGHKLELHTGSLEDRLNYYKEAKPHMNFYI ->ARGMiner~~~CMY-2~~~WP_085562380.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-2~~~WP_085562380.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGRDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWAYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDTKVALAAVPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVIVANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~AAC(6')-Iw~~~WP_085334908.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iw~~~WP_085334908.1~~~aminoglycoside~~~unknown MIEACHSVECPGWLQLRFLLWPQDSADEHLAEMAIFVAEPNRFAQFIAYDEANKPLGFVEAALRSDYVNGTNSSPVAFLEGVYVLPEARRRGIAHALVGAVEIWARNRACTEFASDASTDNPESHRFHQSLGFKETERVVYFRKMLAPE ->ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~WP_081666691.1~~~cephalosporin;penam unknown +>ARGMiner~~~Laribacter hongkongensis ampC beta-lactamase~~~WP_081666691.1~~~cephalosporin;penam~~~unknown MKKRITPFSRFASKGLFACSTGMLLVTVAHAANTAAAGMDAMIQTVMQAHQIPGMAIAIIQSGKTTYHNYGVASRETGQPVRETTLFEIGSLSKPFTALIAQRAETEGRIDLSAPASRYVTALRGSAFDRITLRQLGTYSAGGLPLQFPDNVTTPADVLAYYRHWQPVHPAGTTRLYSNPSIGLMGLAASLATGESFAGLLGTTVLQPLGMNSTYLQMPPEARSRYAMGYTAAGKPVRVNPGPLDEETYGVKSTTADMAGFLLAHMDPARSKGALRSALQQTRVPVYCAGQTRQGLGWESYQDWKNLDVLLAGNSNQMVFEPQPVKACPAGTMNEPDVWVNKTGSTAGFGAYAVFLPARQTGIVILANRNYPIADRIRLAHGILTALH ->ARGMiner~~~CcrA beta-lactamase~~~WP_081048762.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_081048762.1~~~carbapenem~~~unknown MKILLAFFLLSSLTFISCKESSSNHNQANQENKKEIINKPLTDSLIVYQTENLIINKLSNHIYEHISFLNTDDFGKVACNGMLVLNENKVVVFDTPTDDKSSLELINFVTNTLKSEIIGLIPTHFHDDCIGGITEFENHNIQTYVSKETIELLKDNGQEFSNPTKDFDNSLTLDIGNKKVYAEYFGEGHTKDNVVGYFPEDNAVFGGCLIKEIDASKGYLGDANIKEWSTTVEKVKLKYPNAKIVIPGHGKWGGIELFDYTIKLFE ->ARGMiner~~~TEM-116~~~WP_080699425.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-116~~~WP_080699425.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRIDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPVAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRVVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~ROB-1~~~WP_078318620.1~~~cephalosporin;penam unknown +>ARGMiner~~~ROB-1~~~WP_078318620.1~~~cephalosporin;penam~~~unknown MLNKLKIGTLLLLTLTLTACSPNSVHSVTSNPQPASAPVQQSATQATFQQTLANLEQQYQARIGVYVWDTETGHSLSYRADERFAYASTFKALLAGAVLQSLPEKDLNRTISYSQKDLVSYSPETQKYVGKGMTIAQLCEAAVRFSDNSATNLLLKELGGVEQYQRILRQLGDNVTHANRLEPDLNQAKPNDIRDTSTPKQMAMNLNAYLLGNTLTESQKTILWNWLDNNATGNPLIRAATPTSWKVYDKSGAGKYGVRNDIAVVRIPNRKPIVMAIMSTQFTEEAKFNNKLVEDTAKQVFHTLQLN ->ARGMiner~~~MCR-2~~~WP_078254299.1~~~peptide unknown +>ARGMiner~~~MCR-2~~~WP_078254299.1~~~peptide~~~unknown MTSQHSWYRYSINPFVLMGLVALFLAATANLTFFEKAMAVYPVSDNLGFIISMAVALMGAMLLIVVLLSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNAMQTDQAESKDLMNLAFFVRIIGLGVLPSVLVAFAKVNYPTWGKGLIQRAMTWGVSLVLLLVPIGLFSSQYASFFRVHKPVRFYINPITPIYSVGKLASIEYKKATAPTDTIYHAKDAVQTTKPSERKPRLVVFVVGETARADHVQFNGYGRETFPQLAKVDGLANFSQVTSCGTSTAYSVPCMFSYLGQDDYDVDTAKYQENVLDTLDRLGVDILWRDNNSDSKGVMDKLPTTQYFDYKSATNNTICNTNPFNECRDVGMLVGLDDYVSANNGKDMLIMLHQMGNHGPAYFKRYDEQFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFIAKSIDWLKTHEANYDVAMLYVSDHGESLGENGVYLHGMPNAFAPKEQRAVPAFFWSNNTTFKPTASDTVLTHDAITPTLLKLFDVTADKVKDRTAFIQ ->ARGMiner~~~QnrB23~~~WP_078207746.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB23~~~WP_078207746.1~~~fluoroquinolone~~~unknown MALIFEGEKIGRNRFTGEKIENAIFRNCDFSGTDLTSSEFIGCQFYDRASQQGGNFNRAQLKDAIFKSCDLSMADFRHSNALGIEIRECRAQGADFRGASFMNMITTRTWFCCAYITKSNLSYANFSKVVLEKCELWENRWNGAQILGATFSGSDLSGGEFSSFDWRAANFTHCDLTNSELGDLDVRGIDLQGVKLDSYQVSQLMERLGIVVLG ->ARGMiner~~~DHA-6~~~WP_077767294.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~DHA-6~~~WP_077767294.1~~~cephalosporin;cephamycin~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSDSKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~CTX-M-27~~~WP_077767293.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-27~~~WP_077767293.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAERRRDVLASAARIIAEGL ->ARGMiner~~~CTX-M-27~~~WP_077767292.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-27~~~WP_077767292.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYSPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CTX-M-15~~~WP_077767291.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_077767291.1~~~cephalosporin~~~unknown MVKKSLHQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-15~~~WP_077767290.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_077767290.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPITEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CMY-42~~~WP_077767289.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-42~~~WP_077767289.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHSSPGQLDAAAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~MCR-1~~~WP_077248208.1~~~peptide unknown +>ARGMiner~~~MCR-1~~~WP_077248208.1~~~peptide~~~unknown MMQHTSVWYRRSVSPFVLVASVAVFLTATANLTFFDKISQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLMRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASAPKDTIYHAKDAVQATKPDMRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVTSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNAICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFIAQSIQWLQTHSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDHTAFIR ->ARGMiner~~~PDC-3~~~WP_077248207.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_077248207.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTTGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_077248206.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_077248206.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRITRLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~NDM-1~~~WP_077064890.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~NDM-1~~~WP_077064890.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGMVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~TEM-189~~~WP_077064889.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-189~~~WP_077064889.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~VIM-4~~~WP_077064888.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-4~~~WP_077064888.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGSEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSRTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~IMI-1~~~WP_077064887.1~~~carbapenem unknown +>ARGMiner~~~IMI-1~~~WP_077064887.1~~~carbapenem~~~unknown MSLNVKPSRIAILFSSCLVSISFFSQANTKGIDEIKDLETDFNGRIGVYALDTGSGKSFSYKANERFPLCSSFKGFLAAAVLKGSQDNQLNLNQIVNYNTRSLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDKDFRLDRWELDLNTAIPGDERDTSTPAAVAKSLKTLALGNILNEREKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKNEKEAMHEDKVIAEASRIAIDNLK ->ARGMiner~~~KPC-3~~~WP_077064886.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-3~~~WP_077064886.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPRSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~MCR-1~~~WP_077064885.1~~~peptide unknown +>ARGMiner~~~MCR-1~~~WP_077064885.1~~~peptide~~~unknown MMQHTSVWYRRSVSPFVLVASVAVFLTATANLTFFDKVSQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLMRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASAPKDTIYHAKDAVQATKPDMRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVTSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNAICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFIAQSIQWLQTHSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~MCR-1~~~WP_076611062.1~~~peptide unknown +>ARGMiner~~~MCR-1~~~WP_076611062.1~~~peptide~~~unknown MMQHTSVWYRRSVSPFVLVASVAVFLTATANLTFFDKISQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLMRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASAPKDTIYHAKDAVQATKPDMRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVTSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNAICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPVCEGNELAKCEHQSLINAYDNALLATDNFIAQSIQWLQTHSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~MCR-1~~~WP_076611061.1~~~peptide unknown +>ARGMiner~~~MCR-1~~~WP_076611061.1~~~peptide~~~unknown MMQHTSVWYRRSVSPFVLVASVAVFLTATANLTFFDKISQTYPIADNLGFVLTIAVVLFGAMLLITTLLSSYRYVLKPVLILLLIMGAVTSYFTDTYGTVYDTTMLQNALQTDQAETKDLLNAAFIMRIIGLGVLPSLLVAFVKVDYPTWGKGLMRRLGLIVASLALILLPVVAFSSHYASFFRVHKPLRSYVNPIMPIYSVGKLASIEYKKASAPKDTIYHAKDAVQATKPDMRKPRLVVFVVGETARADHVSFNGYERDTFPQLAKIDGVTNFSNVTSCGTSTAYSVPCMFSYLGADEYDVDTAKYQENVLDTLDRLGVSILWRDNNSDSKGVMDKLPKAQFADYKSATNNAICNTNPYNECRDVGMLVGLDDFVAANNGKDMLIMLHQMGNHGPAYFKRYDEKFAKFTPVCEGNELAKCEHQSLINAYDNALLATDDFIAQSIQWLQTYSNAYDVSMLYVSDHGESLGENGVYLHGMPNAFAPKEQRSVPAFFWTDKQTGITPMATDTVLTHDAITPTLLKLFDVTADKVKDRTAFIR ->ARGMiner~~~TEM-52~~~WP_075985686.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-52~~~WP_075985686.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGASERGSRGIIAALGPDGKPSRIVVIYTTGSQVTMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-84~~~WP_075985685.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-84~~~WP_075985685.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMVSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERDRQIAEIGASLIKHW ->ARGMiner~~~CMY-42~~~WP_075985684.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-42~~~WP_075985684.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHSSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-42~~~WP_075985683.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-42~~~WP_075985683.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHSSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDNKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~ROB-1~~~WP_075606311.1~~~cephalosporin;penam unknown +>ARGMiner~~~ROB-1~~~WP_075606311.1~~~cephalosporin;penam~~~unknown MLNKLKIGTLLLLTLTLTACSPNSVHSVTSNPQPASAPVQQSATQATFQQTLANLEQQYQARIGVYVWDTETGHSLSYRADERFAYASTFKALLAGAVLQSLPEKDLNRTISYSQKDLVSYSPETQKYVGKGMTIAQLCEAAVRFSDNSATNLLLKELGGVEQYQRILRQLGDNVTHANRLEPDLNQAKPNDIRDTSTPKQMAMNLNAYLLGNTLTESQKTILWNWLDNNATGNPLIRAATPTSWKVYDKSGAGKYGVRNDIAVVRIPNRKPIVMAIMSTQFTEEAKFNNKLVEDAAKQVFHTLQLN ->ARGMiner~~~LEN-22~~~WP_075226241.1~~~penam;penem unknown +>ARGMiner~~~LEN-22~~~WP_075226241.1~~~penam;penem~~~unknown MLRFLEESCVPMRRFACALAFTLSLPLASEAGPLMDTVGQLETEVGGRIGVVLRHTGSDWAVEHREDERFPMASTFKALLCGAVLSRVDRGEESLDDLVTYKATELVSYSPVTKNHVASGMSVGQLCEATVTMSDNSAANLLLKRVDGPEGLTRFLRGLGDRVTRLDRNEPAMNEARRGDPRDTTSPSAVLKTLDRLLFEGVLLPSSRAQLQQWMVDDKVADVLIRKHLPKGWRIADKSGAGHNGSRGIISVIWPETGQPYLAAAYMTGSDASIKQRNAVIAEIGKAMIEEIKQR ->ARGMiner~~~KPC-3~~~WP_073800284.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-3~~~WP_073800284.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARYTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGMANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~PDC-3~~~WP_073669325.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_073669325.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAAHSLGQPFKRLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~KPC-3~~~WP_073668892.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-3~~~WP_073668892.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARYTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~PDC-7~~~WP_073647245.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_073647245.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLSAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~MCR-3~~~WP_072310976.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_072310976.1~~~peptide~~~unknown MFKYLLSFKLNPVQRTWAAAFFFTTIGNIALWQTLWINVDVHNIHNLLFFVSLPIFLFCFLSILLTPVMVIPYLCRPLLVVLILISACCSYFMMKYNILIDRSMVQNFFETNQAELTSYLSVPFLSTLFLLGIVPAIILALPSTDNKRGAFRIELWWLAHICIAVVLLAMVTMVFYKDYASLIRNNMQIKDQALPFNFVRNTNGYLKRKYQASSTILQSVGEDAVRPIYSNSPPKLVVVVVGETARAQNFQLNGYSRVTNPYLSRRHDVISFKNVSSCGTATAISLPCMFSRMSRNEYNEVRAASEENLLDILKRTGVEVLWRNNNNGGCKGICKRVPTDDMPAMKVIGECVNKDGTCFDEVLLYQLSSRINAMQGDALIVLHQMGSHGPTYFERYPSTSKVFSPTCDSNLIEKCSNKELVNTYDNTLVYTDRMLSKTIELLQRYSGMRDVAMIYLSDHGESLGESGIYLHGTPYIIAPKEQTHIPMFMWFSSSFAQHSKLNLECLTGNADKQYSHDNFYHSILGLFNVKTSVYKPELDMFTLCRQSDHTPLSSAVVREKTDGNG ->ARGMiner~~~TEM-166~~~WP_072186106.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-166~~~WP_072186106.1~~~monobactam;cephalosporin;penam;penem~~~unknown MKHFRLCLIPVLAAFSLPVFAHPATLDTIKDAESRLHGRVGYAELDLVSGKMMDSYRSDERFPMMSTFKVILCGAVLARVDAGQEQLDRRIRYRQNDLVEYSPVTEKHLAEGMNVGELCHAAITMSDNTAANLLISTLGGPSGLTAFLRKTGDSVSRLDRWETALNEALPGDERDTTTPESMARTLHNLLTGDALSAESRRQLMRWMEMDNVAGPLLRSVLPAGWFIADKTGAGERGSRGIVAALGPDGKPSRIVVIYLTGTKATMDERNKQIADIGAALINHW ->ARGMiner~~~SHV-1~~~WP_072081993.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-1~~~WP_072081993.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNGAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~KPC-14~~~WP_072081992.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-14~~~WP_072081992.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~dfrA5~~~WP_071846388.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA5~~~WP_071846388.1~~~diaminopyrimidine~~~unknown MKVSLMAAKAKNGVIGCGPHIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGALPNRKYAVVTRSAWTADNDNVIVFPSIEEAMYGLAELTDHVIVSGGGEIYRETLPMASTLHISTIDIEPEGDVFFPNIPNTFEVVFEQRFSSNINYCYQIWQKG ->ARGMiner~~~dfrA15~~~WP_071846387.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_071846387.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYTVVTRSSFTSDNENVVTFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~aadA2~~~WP_071846386.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846386.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDAMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~OXA-2~~~WP_071846384.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~OXA-2~~~WP_071846384.1~~~carbapenem;cephalosporin;penam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGYADPSTSNGDYWIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~dfrA12~~~WP_071846383.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA12~~~WP_071846383.1~~~diaminopyrimidine~~~unknown MNSESVRIYLIAAMGANRVIGNGPNIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRHTLVISRQANYRATGCVVVSTLSHAIALASELGNELYVAGGAEIYTLALPHAHGVFLSEVHQTFEGDAFFPMLNETEFELVSTETIQAVIPYTHSVYARRNG ->ARGMiner~~~AAC(6')-Ib4~~~WP_071846382.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib4~~~WP_071846382.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYFPSVLAQESVTPYIAMVNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLVNASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~AAC(6')-Ip~~~WP_071846376.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ip~~~WP_071846376.1~~~aminoglycoside~~~unknown MIYNIINIADSEKNKEDAAQILYSAFRGKGKDAWPTLDSAREEIAECIASPNICLGITLDDRLVGWGGLRPMYETTWELHPLVIDPDYQGNGLGKLLLSKIESTATTNRIIGIMLGTDDETLSTSLSMTDIDESNIFQEIKNIINIKNHPFEFYKKCGYIIVGIVPNANGYRKPDIWMWKNLEKKSG ->ARGMiner~~~catB3~~~WP_071846375.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_071846375.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLLPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSNALDAFQKAGNTVIGNDVWIGSEAMVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPMLCSSNIVGLHKYWLEFAV ->ARGMiner~~~AAC(6')-IIa~~~WP_071846374.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIa~~~WP_071846374.1~~~aminoglycoside~~~unknown MSASTPPITLRLMTERDLPMLHDWLNRPHIVEWWGGDEERPTLDEVLEHYLPRAMAEESVTPYIAMLGEEPIGYAQSYVALGSGGGWWEDETDPGVRGIDQSLADPTQLNKGLGTRLVRALVELLFSDPTVTKIQTDPTPNNHRAIRCYEKAGFVREKIITTPDGPAVYMVQTRQAFERKRGVA ->ARGMiner~~~aadA~~~WP_071846372.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846372.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPPTIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA~~~WP_071846371.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846371.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIGIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLATRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA2~~~WP_071846370.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846370.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKQYSDIDLLLTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA2~~~WP_071846369.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846369.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIGIQTAEIINSGGK ->ARGMiner~~~ANT(2'')-Ia~~~WP_071846368.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_071846368.1~~~aminoglycoside~~~unknown MDTTQVTLIHKILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAIVEMLGGRVMEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAERPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~aadA2~~~WP_071846367.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846367.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDFMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~dfrA15~~~WP_071846366.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_071846366.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVVIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINNSYQFWQKG ->ARGMiner~~~aadA8~~~WP_071846364.1~~~aminoglycoside unknown +>ARGMiner~~~aadA8~~~WP_071846364.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~catB3~~~WP_071846363.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_071846363.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLFPDRDDVDKLIIGSFCSIGSGASFIVAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSEAMVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPMLCSSNIVGLHKYWLEFAV ->ARGMiner~~~AAC(3)-Ib~~~WP_071846359.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ib~~~WP_071846359.1~~~aminoglycoside~~~unknown MSITATIRIGPDEIPAMRAVLDLFGREFEDMSAYSDRQPTNDYLAKLFRSETFIALAAFDQGTAIGGLAAYVLPKFEQARSEIYIYDLAVASSYRRQGIATSLISHLKREAIKIGAYVIYVQADYGDDPAVALYTKLGVREDVMHFDIDPGTAT ->ARGMiner~~~aadA~~~WP_071846354.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846354.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDPFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~AAC(6')-Ii~~~WP_071846353.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_071846353.1~~~aminoglycoside~~~unknown MIISEFDRNNPVLKDQLSDLLRLTWPEEYGDSSAEEVEEMMNPERIAVAAVDQDELVGFIGAIPQYGITGWELHPLVVESSRRKNQIGTRLVNYLEKEVASRGGITIYLGTDDLDHGTTLSQADLYEHTFDKVASIQNLREHPYEFYEKLGYKIVGVLPNANGWDKPDIWMAKTIIPRPNSQ ->ARGMiner~~~AAC(6')-Isa~~~WP_071846352.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_071846352.1~~~aminoglycoside~~~unknown MSDLELRGERVLLRSTVPADTPVLDAIVRELEVALWWPPPEDYAAMLAVVVDGEVIGAIQFSEETDPEFRHAGIDLFLSTRWRGQGLGPDAVRTLARWLIAERGHHRLVIDPAAANTAAIRSYRKVGFAPVGIMRAYWRNHRTGAWEDGLLMDLLAAELS ->ARGMiner~~~catB3~~~WP_071846350.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_071846350.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSEAMVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPMLCSSNIGGLHKYWLEFAV ->ARGMiner~~~aadA~~~WP_071846349.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846349.1~~~aminoglycoside~~~unknown MRKAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~catB3~~~WP_071846347.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_071846347.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGFEAMVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPMLCSSNIVGLHKYWLEFAV ->ARGMiner~~~ANT(2'')-Ia~~~WP_071846341.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_071846341.1~~~aminoglycoside~~~unknown MDTTQVTLIHKILAAADERNLPLWTGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAIVEMLGGRVMEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~aadA~~~WP_071846338.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846338.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQGLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA2~~~WP_071846337.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846337.1~~~aminoglycoside~~~unknown MRVAVTIEISNRLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKREPQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~EreA~~~WP_071846336.1~~~macrolide unknown +>ARGMiner~~~EreA~~~WP_071846336.1~~~macrolide~~~unknown MTWRTTKTLLQPQNLDFNEFEILTSVIEGARIVGIGEGAHFVAEFSLARASLIRYLVERHDFNAIGLECGAIQASRLSEWLNSTAGAHELERFSDTLTFSVYGSVLIWLKSYLRESGRKLQLVGIDLPNTLNPRDDLAQLAEIIQLIDHLMKPHVDMLTHLLASIDGQSAVISSAKWGELETARQEKAISGVTRLKLRLASLAPVLKKHVNSDLFRKASDRIESIEYTLETLRIMKTFFDGTSLEGDTSVRDSYMAGVVDGMVRANPDVKIILLAHNNHLQKTPVSFSGELTAVPMGQHLAERVNYRAIAFTHLGPTVPEMHFPSPDSPLGFSVVTTPADAIREDSMEQYVIDACGTENSCLTLTDAPMEAKRMRSQSASVKTKLSEAFDAIVCVPSAGKDSLVAL ->ARGMiner~~~AAC(6')-Ib'~~~WP_071846335.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib'~~~WP_071846335.1~~~aminoglycoside~~~unknown MTTTISFVTLRLMTEHDLPMLHDWLNRPHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~AAC(6')-IIa~~~WP_071846334.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIa~~~WP_071846334.1~~~aminoglycoside~~~unknown MSSSESKVTLRLMTEHDLPMLHDWLNRPHIVEWWGGEDERPTLDEVLEHYLPRVMAEESVTPYIAMLGDEPIGYAQSYVALGSGDGWWEDETDAGVRGIDQSLANPAQLSKGLGTLLVRTLVETLFADPAVTKIQTDPSPNNYRAIRCYEKAGFAQQGVITTPDGPAVYMVQTRQAFERARGAA ->ARGMiner~~~AAC(6')-Ic~~~WP_071846333.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_071846333.1~~~aminoglycoside~~~unknown MIVNCDHDNLAAWLALRTALWPSSSPEDHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~qacH~~~WP_071846331.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_071846331.1~~~fluoroquinolone~~~unknown MKNWIFLAVAIFGEVIATSALKSSHGFTRLVPSVVVVAGYGLAFYFLSLAFKSIPVGIAYAVWAGLGIVLVAAIAWIFHGQKLDFWAFIGMGLIVSGVAVLNLLSKVSAH ->ARGMiner~~~AAC(6')-Ib4~~~WP_071846329.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib4~~~WP_071846329.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGKKTRPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~AAC(6')-Iz~~~WP_071846318.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~WP_071846318.1~~~aminoglycoside~~~unknown MDSSPLVRPVETTDSASWLSMRCELWPDGTCQEHQSEIAEFLSGKVARPAAVLIAVAPDGEALGFAELSIRPYAEECYSGNVAFLEGWYVVPSARRQGVGVALVKAAEHWARGRGCTEFASDTRLTNSASTSAHLAAGFTDVDQVRCFRKPL ->ARGMiner~~~ANT(2'')-Ia~~~WP_071846317.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_071846317.1~~~aminoglycoside~~~unknown MDTTQVTLIHKILAAVDERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAIVEMLGGRVMEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~dfrA2d~~~WP_071846316.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA2d~~~WP_071846316.1~~~diaminopyrimidine~~~unknown MNEGKNEVSTSAAGRFAFPSNATFAWGDRVRKKSGAAWQGRIVGWYCTTLTPEGYAVESESHPGSVQIYPMTALERVA ->ARGMiner~~~aadA~~~WP_071846315.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846315.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHHVKGEITKVVGK ->ARGMiner~~~ANT(2'')-Ia~~~WP_071846314.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_071846314.1~~~aminoglycoside~~~unknown MDTTQVTLIHQILVAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELQAMVEMLGGRVTEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPMDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~ANT(2'')-Ia~~~WP_071846313.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_071846313.1~~~aminoglycoside~~~unknown MDTTQVTLIHQILPSADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAMVEMLGGRVTEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPMDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~ANT(2'')-Ia~~~WP_071846312.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_071846312.1~~~aminoglycoside~~~unknown MDTTQVTLIHKILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAMVEMLGGRVTEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPMDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~catB3~~~WP_071846311.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_071846311.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSVAGVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPMLCSSNIVGLHKYWLEFAV ->ARGMiner~~~AAC(6')-Iz~~~WP_071846310.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~WP_071846310.1~~~aminoglycoside~~~unknown MIASAPTIRQATPADAGAWAQLRLGLWTDADDPLEELTQSLADAEGAVFLACAADGEAVGFAEVRLRHDYVNGTESSPVGFLEGWCVQPQWQGRGVGRALLTAVQAWTRDAGCRELASDSRVEDVQAHAAHRACGFEETERVVYFRMPLEPSA ->ARGMiner~~~SAT-1~~~WP_071846307.1~~~nucleoside unknown +>ARGMiner~~~SAT-1~~~WP_071846307.1~~~nucleoside~~~unknown MLTNKMLYVNLEGNFSMKEKVVVDKAISLYTESFGDPAHEPIILIMGAMSSAVWWPDEFCSQLAKMGRYVIRYDHRDTGKSTSYEPGQAPYSVEELADDVVRVIDGYGLEAAHLVGMSLGGFLSQLVALKYPKRVKSLTLIASERLADADPDMPAFDPAIIEYHQRAESLDWSDRDAVVAYQVGAWRINSGTAHAFDAEKIQNIAELNFDRTPNILTTFNHTTLGGGERWLGRLNEIAVPTLIIHGTEDPVLPYVHGLALKEAIRGSKMLTLEGTGHELHHEDWPRIIQAIKGQTSYLSVAPYNKLLHRKNYSLRSQFSGEQGVRRHMKISVIPEQVAETLDAENHFIVREVFDVHLSDQGFELSTRSVSPYRKDYISDDDSDEDSACYGAFIDQELVGKIELNSTWNDLASIEHIVVSHTHRGKGVAHSLIEFAKKWALSRQLLGIRLETQTNNVPACNLYAKCGFTLGGIDLFTYKTRPQVSNETAMYWYWFSGAQDDA ->ARGMiner~~~dfrA15~~~WP_071846306.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_071846306.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAIVTRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~aadA5~~~WP_071846302.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_071846302.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPAQRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~AAC(6')-Ib4~~~WP_071846301.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib4~~~WP_071846301.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYGQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~aadA6~~~WP_071846298.1~~~aminoglycoside unknown +>ARGMiner~~~aadA6~~~WP_071846298.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAAHLYGSALDGGLKPYSDIDLLVTVAARLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKVRQHSLALAGSAAEDFFNPVPEGDLFKALSDTLKLWNSQPDWEGDERNVVLTLSRIWYSAATGKIAPKDIVANWAMERLPDQHKPVLLEARQAYLGQGEDCLASRADQLAAFVHFVKHEATKLLSAMPVMSNNSFKPTPLRGAA ->ARGMiner~~~aadA6~~~WP_071846297.1~~~aminoglycoside unknown +>ARGMiner~~~aadA6~~~WP_071846297.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAARLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKVRQHSLALAGSAAEDFFNPVPEGDLFKALSDTLKLWNSQPDWEGDERNVVLTLSRIWYSAATGRIAPKDIVANWAMERLPDQHKPVLLEARQAYLGQGEDCLASRADQLAAFVHFVKHEATKLLSAMPVMSNNSFKPTPLRGAA ->ARGMiner~~~aadA~~~WP_071846296.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846296.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDTLAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQCQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~dfrA15~~~WP_071846295.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_071846295.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWKKG ->ARGMiner~~~dfrA15~~~WP_071846293.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_071846293.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLLKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~qacH~~~WP_071846292.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_071846292.1~~~fluoroquinolone~~~unknown MKNWIFLAVAIFGEVIAASALKSSHGFTRLVPSVVVVAGYGLAFYFLSLALKSIPVGIAYAVWAGLGIVLVAAIAWIFHGQKLDFWAFIGIGLIVSGVAVLNLLSKVSAH ->ARGMiner~~~AAC(6')-Ib8~~~WP_071846291.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib8~~~WP_071846291.1~~~aminoglycoside~~~unknown MTNSTDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEEADPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSSLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~aadA~~~WP_071846288.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846288.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDRLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA5~~~WP_071846287.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_071846287.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAAKLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~aadA5~~~WP_071846286.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_071846286.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARNEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~linG~~~WP_071846285.1~~~lincosamide unknown +>ARGMiner~~~linG~~~WP_071846285.1~~~lincosamide~~~unknown MLQLKMIELFKEGCHEDARIIATLMFGSFAIGEGDEFSDIEFAVFIQDDHFENFDQRSWLNAVSPVAAYFPDDFGHHTALFENGIRGEFHFMRKSDIPVISTWQGYGWFPSLEAAVLLDRSGELSRYASALVGDPPIREGAPLVEGLVLNLISLMLFGANLLNRGEYARAWALLSKAHENLLKLIRLDERATDHWPTPSRALEKDISADSYNRYLACTSSAEPRALCVAYRETWKWSLELYKSVAEPLNIPLPRTVIMQAKRLLNESATPHNK ->ARGMiner~~~catB8~~~WP_071846284.1~~~phenicol unknown +>ARGMiner~~~catB8~~~WP_071846284.1~~~phenicol~~~unknown MKNYFNSPFKGELLSEQVKNPNIRVGRYSYYSGYYHGHSFDECARYLLPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRHDWASSFPFFYMQEEPAFSRALDAFQRAGDTVIGNDVWIGSEAMIMPGIKIGDGAVIGSRPLVTKDVEPYAIIGGNPAKQIKKRFSDEEISLLMEMEWWNWPLDKIKTAMPLLCSSNIFGLHKYWREFAV ->ARGMiner~~~dfrA12~~~WP_071846282.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA12~~~WP_071846282.1~~~diaminopyrimidine~~~unknown MSSESVRIYLVAAMGANRVIGNGPNIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRHTLVISRQANYRATGCVFVSTLSDAIDLASELGNELYVAGGAEIYTLALPHAHGVFLSEVHQTFEGDAFFPMLNKTEFELVSTETIQAVIPYTHSVYARRNG ->ARGMiner~~~AAC(6')-Ib4~~~WP_071846281.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib4~~~WP_071846281.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVECWGGEEARPTLADVQEQYLSSVLSQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~arr-3~~~WP_071846280.1~~~rifamycin unknown +>ARGMiner~~~arr-3~~~WP_071846280.1~~~rifamycin~~~unknown MVKDWIPISHDNYKQVQGPFYHGTKANLAIGDLLTTGFISHFEDGRILKHIYFSALMEPAVWGAELAMSLSGLEGRGYIYIVEPTGPFEDDPNLTNKRFPGNPTQSYRTCEPLRIVGVVEDWEGHPVELIRGMLDSLEDLKRRGLHIIED ->ARGMiner~~~cmlA1~~~WP_071846279.1~~~phenicol unknown +>ARGMiner~~~cmlA1~~~WP_071846279.1~~~phenicol~~~unknown MRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGNPSVLRMGMGCLIAGAVLLAITEIWASQSVLGFISPMWLVGIGVATAVSVAPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRAEGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~aadA8~~~WP_071846278.1~~~aminoglycoside unknown +>ARGMiner~~~aadA8~~~WP_071846278.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRICYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA~~~WP_071846276.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846276.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGQIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA2~~~WP_071846274.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846274.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVMLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA2~~~WP_071846273.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846273.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPATEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA5~~~WP_071846257.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_071846257.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERRLAATLDTIHLFGSAIDGGLKRDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~aadA~~~WP_071846256.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846256.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREQSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~AAC(6')-30/AAC(6')-Ib' fusion protein~~~WP_071846255.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-30/AAC(6')-Ib' fusion protein~~~WP_071846255.1~~~aminoglycoside~~~unknown MQYSIRSVRVSDTSDWLRLRNLLWEGDDHETEIAQFFAGALAEPNEVLVAHEDAGAVVGHVELSIREDVAGLEGIRAGYIEGLYIEQAHRSSSVATQLLQHSEQWAQSQGCRAFASDREDRLIIHKWFSVGPLSNPSFQRTAFGGR ->ARGMiner~~~AAC(6')-Ib4~~~WP_071846254.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib4~~~WP_071846254.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~aadA~~~WP_071846253.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846253.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVRK ->ARGMiner~~~aadA~~~WP_071846252.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846252.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLDPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALITDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA5~~~WP_071846251.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_071846251.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSEALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~aadA~~~WP_071846250.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846250.1~~~aminoglycoside~~~unknown MREVVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVPVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~AAC(6')-Ib'~~~WP_071846249.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib'~~~WP_071846249.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMIEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~aadA2~~~WP_071846248.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846248.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDAAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~dfrA17~~~WP_071846247.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~WP_071846247.1~~~diaminopyrimidine~~~unknown MKISLISAVSEDGVIGSGPDIPWSVKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISSSNENVLVFPSIENALKELSKVTDHVYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDIKFPIMPENFNLVFEQFFMSNINYTYQIWKKG ->ARGMiner~~~aadA2~~~WP_071846246.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846246.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKLVGK ->ARGMiner~~~aadA~~~WP_071846243.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846243.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQCQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~dfrA22~~~WP_071846242.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA22~~~WP_071846242.1~~~diaminopyrimidine~~~unknown MNPESVRIYLVAAMGANRVIGNGPDIPWNIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRRTVVLSRQASYSAAGCAVVSTLSQAIAIAAEHGKELYVAGGAEVYALALPRADGVFLSEVHQTFEGDAFFPVLDAAEFDVVSAETVQATITYTHSVYARRNG ->ARGMiner~~~AAC(6')-Ib3~~~WP_071846241.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib3~~~WP_071846241.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFEKQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~aadA~~~WP_071846240.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846240.1~~~aminoglycoside~~~unknown MREAMIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGGSEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~AAC(6')-Ib4~~~WP_071846239.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib4~~~WP_071846239.1~~~aminoglycoside~~~unknown MSTSNGFVTLRLMTEQDLPMLYEWLNRPHIVEWWGGEEERPTLADVQKQYLPSALAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEDETDPGVRGIDQSLANPSQLDKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNMRAIRCYEKAGFERQETIATPDGPAVYMVQTRQAFERARSDA ->ARGMiner~~~aadA~~~WP_071846227.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846227.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALIHDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~qacH~~~WP_071846226.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_071846226.1~~~fluoroquinolone~~~unknown MKNWLFLATAIISEVIATSALKSSEGFTRLVPSFIVVAGYAAAFYFLSLTLKSIPVAIAYAVWSGLGIVLVTAIAWVLHGQKLDMWGFVGVGFIISGVAVLNLLSKASVH ->ARGMiner~~~aadA~~~WP_071846223.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846223.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGEREILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVHTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA2~~~WP_071846222.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846222.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFESATIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDYLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA~~~WP_071846220.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846220.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLAKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA2~~~WP_071846218.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846218.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMKASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~ANT(2'')-Ia~~~WP_071846217.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_071846217.1~~~aminoglycoside~~~unknown MDTTQVTLIHKILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAIVEMLGGRVMEELDYGFLAEIGDELVDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~AAC(6')-Ih~~~WP_071846216.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ih~~~WP_071846216.1~~~aminoglycoside~~~unknown MNIMPISESQLSDWLALRCLLWPDHEDVHLQEMRQLITQAHCLQLLAYTDTQQAIAMLEASIRYEYVNGTQTSPVAFLEGIYVLPEYRRSGIATGLVQQVEIWAKQFACTEFASDAALDNQISHAMHQALGFHETERVVYFKKNIG ->ARGMiner~~~AAC(6')-Ib-cr~~~WP_071846215.1~~~fluoroquinolone;aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib-cr~~~WP_071846215.1~~~fluoroquinolone;aminoglycoside~~~unknown MTNSNDSVTLRHMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGRWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPYGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~AAC(6')-32~~~WP_071846213.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-32~~~WP_071846213.1~~~aminoglycoside~~~unknown MSASKTPVTLRLMTERDLPMLHEWLNRPHIVEWWGGEEARPTLHEVLEHYLPRVLAEESVTPYIAMLGDEPIGYAQSYVALGSGDGWWEDETDPGVRGIDQFLANQTQLNKGLGTKLVQALVERLFSDPTVTKIQTDPAPNNHRAIRCYEKAGFVQQNVITTPDGPAVYMVQTRQAFERVRSAA ->ARGMiner~~~dfrA12~~~WP_071846212.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA12~~~WP_071846212.1~~~diaminopyrimidine~~~unknown MNSESVRIYLVAAMGANRVIGNGPNIPWKIPGEQKIFRRLTDGKVVVMGRKTFESIGEPLPNRHTLVISRQANYRATGCVVVSTLSHAIALASELGNELYVAGGAEIYTLALPHAHGVFLSEVHQTFEGDAFFPMLNETKFELVSTETIQAVIPYTHSVYARRNG ->ARGMiner~~~EreA~~~WP_071846210.1~~~macrolide unknown +>ARGMiner~~~EreA~~~WP_071846210.1~~~macrolide~~~unknown MTWRTTKTLLQPQNLDFNEFEILTSVIEGARIVGIGEGAHFVAEFSLARASLIRYLVERHDFNAIGLECGAIQASRLSEWLNSTAGAHELERFSDTLTFSVYGSVLIWLKSYLRESGRKLQLVGIDLPNTLNPTDDLAQLAEIIQLIDHLMKPHVDMLTHLLASIDGQSAVISSAKWGELETARQEKAISGVTRLKLRLASLAPVLKKHVNSDLFRKASDRIESIEYTLETLRIMKTFFDGTSLEGDTSVRDSYMAGVVDGMVRANPDVKIILLAHNNHLQKTPVSFSGELTAVPMGQHLAERVNYRAIAFTHLGPTVPEMHFPSPDSPLGFSVVTTPADAIREDSMEQYVIDACGTENSCLTLTDAPMEAKRMRSQSASVKTKLSEAFDAIVCVPSAGKDSLVAL ->ARGMiner~~~AAC(6')-Ib'~~~WP_071846209.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib'~~~WP_071846209.1~~~aminoglycoside~~~unknown MTNSNDSVALRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVVAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~AAC(6')-Ib'~~~WP_071846208.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib'~~~WP_071846208.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVPKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~catB3~~~WP_071846207.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_071846207.1~~~phenicol~~~unknown MKNYFNSPFKGELLSEQVKNPNIRVGRYSYYSGYYHGHSFDECARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSEAMVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPMLCSSNIVGLHKYWLEFAV ->ARGMiner~~~aadA~~~WP_071846206.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846206.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPPSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~AAC(6')-IIc~~~WP_071846204.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIc~~~WP_071846204.1~~~aminoglycoside~~~unknown MYTKNAAIVLRLMTESDLPMLHAWLNRPHIVEWWGGEDKRPTLGEVLEHYSPRVLAEQAVVPYIAMLDDEPIGYAQSYTALGSGDGWWEDETDPGVRGIDQSLANPSQLNKGLGTTLVRSLVELLFSDPAVSKIQTDPSPNNHRAIRCYEKAGFAQDKIILTPDGPAVYMVQTRQAFESQRNAA ->ARGMiner~~~OXA-2~~~WP_071846203.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~OXA-2~~~WP_071846203.1~~~carbapenem;cephalosporin;penam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLARSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNADPSTSNGDYWIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~arr-3~~~WP_071846202.1~~~rifamycin unknown +>ARGMiner~~~arr-3~~~WP_071846202.1~~~rifamycin~~~unknown MVKDWIPISHDNYKQVQGPFYHGTKANLAIGDLLTTGFISHFEDGRILKHIYFSALMEPAVWGAELAMSLSGLEGRGYIYIVESTGPFEDDPNLTNKRFPGNPTQSYRTCEPLRIVGVVEDWEGHPVELIRGMLDSLEDLKRRGLHVIED ->ARGMiner~~~dfrA5~~~WP_071846201.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA5~~~WP_071846201.1~~~diaminopyrimidine~~~unknown MNVSLMAAKAKKGGIGCGPHIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGALPNRKYAVVTRSAWTADNDNVILFPSIEEAMYGLAELTDHVIVSGGGEIYRETLPMASTLHISTIDIEPEGNVFFPNIPNTFEVVFEQHFNSNINYCYQIWQKG ->ARGMiner~~~dfrA17~~~WP_071846199.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~WP_071846199.1~~~diaminopyrimidine~~~unknown MKISFISAVSENGVIGSGPDIPWSVKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISSSNENVLVFPSIENALKELSKVTDHVYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDIKFPIMPENFNLVFEQFFMSNINYTYQIWKKG ->ARGMiner~~~aadA17~~~WP_071846198.1~~~aminoglycoside unknown +>ARGMiner~~~aadA17~~~WP_071846198.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVTVRLDETTRLALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~cmlA5~~~WP_071846197.1~~~phenicol unknown +>ARGMiner~~~cmlA5~~~WP_071846197.1~~~phenicol~~~unknown MRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGVGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWASQSVLGFIAPMWLVGIGVATAVSVSPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRVKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~aadA5~~~WP_071846196.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_071846196.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAVYLGSEDGDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~dfrA17~~~WP_071846195.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~WP_071846195.1~~~diaminopyrimidine~~~unknown MKISLISAVSENGVIGSGPDIPRSVKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISSSNENVLAFPSIENALKELSKVTDHVYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDIKFPIMPENFNLVFEQFFMSNINYTYQIWKKG ->ARGMiner~~~aadA6~~~WP_071846194.1~~~aminoglycoside unknown +>ARGMiner~~~aadA6~~~WP_071846194.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAARLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKVRQHSLALAGSAAEDFFNPVPEGDLFKALSDTLKLWNSQPDWGGDERNVVLTFSRIWYSAATRKIAPKDIVANWAMERLPDQHKPVLLEARQAYLGQGEDCLASRADQLAAFVHFVKHEATKLLSAMPVMSNNLYMDSPHKQ ->ARGMiner~~~dfrA17~~~WP_071846193.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~WP_071846193.1~~~diaminopyrimidine~~~unknown MKISLISAVSENGVIGSGPDIPWSVKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISSSNENVRVFPSIENALKELSKVTDHVYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDIKFPIMPENFNLVFEQFFMSNINYTYQIWKKG ->ARGMiner~~~aadA5~~~WP_071846192.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_071846192.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKASSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKTTIERILR ->ARGMiner~~~dfrA17~~~WP_071846191.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~WP_071846191.1~~~diaminopyrimidine~~~unknown MKISLISAVSENGVIGSGPDIPWSVKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISSSNENVLVFPSIENALKELPKVTDHVYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDIKFPIMPENFNLVFEQFFMSNINYTYQIWKKG ->ARGMiner~~~AAC(6')-IIa~~~WP_071846189.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIa~~~WP_071846189.1~~~aminoglycoside~~~unknown MSASTPPITLRLMTERDLPMLHDWLNRPHIVEWWGGDEERPTLDEVLEHYLPRAMAEESVTPYIAMLGEEPIGYAQSYVALGSGDGWWEDEADPGVRGIDQSLADPTQLNKGLGTRLVRALVELLFSDPTVTKIQTDPTPNNHRAIRCYEKAGFVREKIITTPDGPAVYMVQTRQAFERKRGVA ->ARGMiner~~~aadA~~~WP_071846187.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846187.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWADDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~dfrA15~~~WP_071846186.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_071846186.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVATRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~AAC(6')-Ib-cr~~~WP_071766621.1~~~fluoroquinolone;aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib-cr~~~WP_071766621.1~~~fluoroquinolone;aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGRWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPYGPAVVMGQTRQAFERTRSDA ->ARGMiner~~~SFH-1~~~WP_071766619.1~~~carbapenem unknown +>ARGMiner~~~SFH-1~~~WP_071766619.1~~~carbapenem~~~unknown MNIKYLFTAVTFLLIACESMASEKNLTLTHFKGPLYIVEDKEYVQENSMVYIGTDGITIIGATWTPETAETLYKEIRKVSPLPINEVINTNYHTDRAGGNAYWKTLGAKIVATQMTYDLQKSQWGSIVNFTRQGNNKYPNLEKSLPDTVFPGDFNLQNGSIRAMYLGEAHTKDGIFVYFPAERVLYGNCILKENLGNMSFANRTEYPKTLEKLKGLIEQGELKVDSIIAGHDTPIHDVGLIDHYLTLLEKAPK ->ARGMiner~~~catB8~~~WP_071766400.1~~~phenicol unknown +>ARGMiner~~~catB8~~~WP_071766400.1~~~phenicol~~~unknown MKNYFNSPFKGELLSEQVKNPNIRVGRYSYYSGYYHGHSFDECARYLLPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRHDWASSFPFFYMQGEPAFSSALDAFQRAGDTVIGNDVWIGSEAMIMPGIKIGDGAVIGSRSLVTKDVEPYAIIGGNPAKQIKKRFSDEEISLLMEMEWWNWPLDKIKTAMPLLCSSNIFGLHKYWREFAV ->ARGMiner~~~AAC(6')-Ib-cr~~~WP_071766399.1~~~fluoroquinolone;aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib-cr~~~WP_071766399.1~~~fluoroquinolone;aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGRWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPYGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~QnrS7~~~WP_071701819.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrS7~~~WP_071701819.1~~~fluoroquinolone~~~unknown METYNHTYRHHNFSHKDLSDLTFAACTFIRSDFRRANLRDTTFVNCKFIEQGDIEGCHFDVTDLRDASFQQCQLAMANFSNANCYGIEFRACDLKGANFSRTNFAHQVSNRMYFCSAFISGCNLSYANMERVCLEKCELFENRWIGTNLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIAAWQQELILEALGIVVYPD ->ARGMiner~~~OXA-2~~~WP_071593233.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~OXA-2~~~WP_071593233.1~~~carbapenem;cephalosporin;penam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNADDPSTSNGDYWIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~catB8~~~WP_071593228.1~~~phenicol unknown +>ARGMiner~~~catB8~~~WP_071593228.1~~~phenicol~~~unknown MKNYFNSPFKGELLSEQVKNPNIRVGRYSYYSGYYHGHSFDECARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRHDWASSFPFFYMQEEPAFSRALDAFQRAGDTVIGNDVWIGSEAMIMPGIKIGDGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPMLCSSNIVGLHKYWLEFAVSQFNQADAASRHGLFQALGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~OXA-162~~~WP_071593227.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-162~~~WP_071593227.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANADYIIRAKTGYSGRIEPKFGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~IMP-27~~~WP_071593226.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-27~~~WP_071593226.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCVFVFCSITVAAETLPNLRVEKLEEGVYVHTSYEEVKGWGVVTKHGLVVLIGADAYLIDTPFTAKDTEKLVNWFVERGYKIKGTVSSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFDGVSYWLAKDKIEVFYPGPGHTQDNVVVWLPEKEILFGGCFVKPHGLGNLGDANLEAWPESAKILMEKYGKAKLVVSGHSETGDATHLKRTWEQAVKGLKESKKTLQPSN ->ARGMiner~~~IMP-12~~~WP_071593225.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-12~~~WP_071593225.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCIFLFLSITASGEVLPDLKIEKLEEGVYLHTSFEEVSGWGVVTKHGLVVLVNNDAYLIDTPFTNKDTEKLVAWFVGRGFTIKGSVSSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKNGKVQATNSFSGVSYWLVKNKIEIFYPGPGHTQDNVVVWLPENKILFGGCFVKPDGLGNLDDANLKAWPKSAKILMSKYGKAKLVVSSHSEIGNASLLKLTWEQAVKGLKESKKPLLPSN ->ARGMiner~~~CMY-13~~~WP_071593224.1~~~cephamycin unknown +>ARGMiner~~~CMY-13~~~WP_071593224.1~~~cephamycin~~~unknown MMKKSLCCALLLTVSFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEINLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAITRRVLQPLKLAHTWITVPQSEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSNVTDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFIPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-62~~~WP_071593223.1~~~cephamycin unknown +>ARGMiner~~~CMY-62~~~WP_071593223.1~~~cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFDALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~vanTG~~~WP_071593222.1~~~glycopeptide unknown +>ARGMiner~~~vanTG~~~WP_071593222.1~~~glycopeptide~~~unknown MIRNKSYAGLDYFRIIAALLIIAIHTSPLLSYSKMADFVLTSIIARIAVPFFFMLSGFFLISTYNYNCNKLKSFLKNVLFIYGIAIVIYIPLNIYTGYFSMNNLVPNIIKDIVFDGTLYHLWYLPASIIGASIAYFLVKKLGLKYSLVITIVIYVIGMFGDSYYGFLEKIPLLKNLYGYIFEVSDYTRNGIFFAPVFFILGGIISNKSNYMSTKNSLIGFLISFLLMLCEGMFLHKLGVQRHDSMYIMLLPCMYFLFTALTFLKGSRARLLRTSSLIIYIIHPMMIVVIRMFSKIFGIQTVLVDNSLIHYIVVSIASFIASIIIILILKPLKKDLKGEHKVNTNRAWIEIDLNNLEHNVKMIQKAMPEDCELMAVVKANAYGHSAFEVATCANQIGVKAFAVATIDEGIDLRRYGILGEILILGYTNPIRAKELHKYNLTQSVIDLNHAISLNKQKYNIKSHIKIDTGMHRLGFDVNDVRSILKAFKLKYLDICGIFTHLCVSDSLKDEDVDFTNKQIECFYKLIDFLLKKGIKIPKVHIQSSYGFFNYPNLKCNYVRIGIALYGILSSPNDTTKLQLDLRPVLSLKSQVILIRKIQKDDSFGYGRVFIASRDSVIAILSIGYADGIPRNLSCGKSYVIINGCRAAIVGRICMDQLAVDITDIPNVEVGNTAIIIGRDNLAELSASEVANNSCSISNELLSRVGRRLNVIKK ->ARGMiner~~~aadA2~~~WP_071593219.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071593219.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLEPTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA2~~~WP_071593216.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071593216.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRKLQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTSSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAEQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA~~~WP_071593203.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071593203.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVSVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~AAC(6')-Ia~~~WP_071593202.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ia~~~WP_071593202.1~~~aminoglycoside~~~unknown MNIQILNLAECTEFQESAARVLLDGFREVGKIAWATYEEAMVEVQECTEIPNIAICAVDNNKVVGWVGIRPMYDYVWELHPMIVTKKYQKKGIGTKLLKEIEQIAKEKGLLGLALGTDDETDSTSLSKCDFSRDNILIEIANIKSSMSHPYAFYEKNGYFIVGVIPNANGKRKPDIWMWKELES ->ARGMiner~~~AAC(6')-Iak~~~WP_071593201.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iak~~~WP_071593201.1~~~aminoglycoside~~~unknown MSKLGPTKPAPSMANTPVGNVVPCKTPDHPGWLELRLQLWPDGSTEEFLPEMAAACAEPDRFGQFLFLSPGGLAEGLVEVALRTDYVNGTESSPVAFLEGVFVVPASRGLGIARALVAAAEGWARDRGCTEFASDAEVSNVGSHAMHAALGFVETERVVFFRKVVAP ->ARGMiner~~~PDC-8~~~WP_070698497.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_070698497.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLNVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~SAT-1~~~WP_070397093.1~~~nucleoside unknown +>ARGMiner~~~SAT-1~~~WP_070397093.1~~~nucleoside~~~unknown MKISVIPEQVAETLDAENHFIVREVFDVHLSDQGFELSTRSVRPFRKDYISDDDSDEDSACYGAFIDQELVGKIELNSTWNDLASIEHIVVSHTHRGKGVAHSLIEFAKKWALSRQLLGIRLETQTNNVPACNLYAKCGFSLGGIDLFTYKTRPQVSNETAMYWYWFSGAQDDA ->ARGMiner~~~SAT-1~~~WP_070397092.1~~~nucleoside unknown +>ARGMiner~~~SAT-1~~~WP_070397092.1~~~nucleoside~~~unknown MKISVIPEQVAETLDAENHFHREVFDVHLSDQGFELSTRSVSPYRKDYISDDDSDEDSACYGAFIDQELVGKIELNSTWNDLASIEHIVVSHTHRGKGVAHSLIEFAKKWALSRQLLGIRLETQTNNVPACNLYAKCGFTLGGIDLFTYKTRPQVSNETAMYWYWFSGAQDDA ->ARGMiner~~~ANT(3'')-Ii-AAC(6')-IId fusion protein~~~WP_070342373.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-Ii-AAC(6')-IId fusion protein~~~WP_070342373.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSQALNVIEHHLGSTLLAVHLYGSALDGGLKPCSDIDLLVTVTAQLDETVRQALFVDFLEVSASPGQSEALRALEVTIVVYGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKARQHSLALAGSAAEDFFNPVPESDLFKALADTLKLWNSQPDWAGDERNVVLTLSRIWYSAATGKIAPKDVAANWVMERLPVQHQPVLLEAQQAYLGQGMDCLASRADQLTAFIYFVKHEAASLLGSTPMMSNSSFNMSASTPPITLRLMTERDLPMLHDWLNRPHIVEWWGGDEERPTLDEVLEHYLPRAMAEESVTPYIAMLGEEPIGYAQSYVALGSGDGWWEDETDPGVRGIDQFLADPTQLNKGLGTRLVRALVELLFSDPTVTKIQTDPTPNNHRAIRCYEKAGFVREKIITTPDGPAVYMVQTRQAFERKRGVA ->ARGMiner~~~aadA2~~~WP_070342372.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_070342372.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKENHLASRADHLEEFIRFVKGETIKSVGK ->ARGMiner~~~aadA5~~~WP_070342371.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_070342371.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKXDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWXYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~aadA5~~~WP_070342369.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_070342369.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEEMAAFVRYAKATIERILR ->ARGMiner~~~dfrA12~~~WP_070342368.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA12~~~WP_070342368.1~~~diaminopyrimidine~~~unknown MNSESVRIYLVAAMGLNRVIGNGPNIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRHTLVISRQANYRATGCVVVSTLSHAIALASELGNELYVAGGAEIYTLALPHAHGVFLSEVHQTFEGDAFFPMLNETEFELVSTETIQAVIPYTHSVYARRNG ->ARGMiner~~~linG~~~WP_070342367.1~~~lincosamide unknown +>ARGMiner~~~linG~~~WP_070342367.1~~~lincosamide~~~unknown MLQLKMIELFKEGCHEDARIIATLMFGSFAIGEGDEFSDIEFAVFIQDDHFENFDQRSWLNAVSPVAAYFPDDFGHHTALFENGIRGEFHFMRKSDIPVISTWQGYGWFPSLEAAVLLDRSGELSRYASALVGDPPIREGAPLVEGLVLNLISLMLFGANLLNRGEYARAWALLSKAHENLLKLIRLDERATDHWPTPSRALEKDISADSYNRYLACTSSAEPRALCVAYRETWKWSLELYKSVAEPLNIPLPRTVITQAKRLLNESATPHNK ->ARGMiner~~~aadA15~~~WP_070342366.1~~~aminoglycoside unknown +>ARGMiner~~~aadA15~~~WP_070342366.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~lnuF~~~WP_070342364.1~~~lincosamide unknown +>ARGMiner~~~lnuF~~~WP_070342364.1~~~lincosamide~~~unknown MLQQKMIERFKEACHEDARIIAALMFGSFAIGEGDEFSDIEFAVFIQDDHFENFDQRSWLNAVSPVAAYFPDDFGHHTALFENGIRGEFHFMRKSDIPVISTWQGYGWFPSLEEAVLLDRSGELSRYASALVGSPPKREGAPLVEGLVLNLISLMLFGANLLNRGEYARAWALLSKAHENLLKLVRLHEGATDHWPTPSRALEKDVSEDSYNRYLACTGSAEPKALCVAYHETWKWSLELFRSVAGPLNIELPRIVIAQTKRLLNESATPHNKMLTSEEEL ->ARGMiner~~~aadA5~~~WP_070342362.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_070342362.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTRRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGPIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~lnuF~~~WP_070342360.1~~~lincosamide unknown +>ARGMiner~~~lnuF~~~WP_070342360.1~~~lincosamide~~~unknown MLQQKMIERFKEACHEDARIIAALMFGSFAIGEGDEFSDIEFAVFIQNDHFENFDQRSWLNAVSPVAAYFPDDFGHHTALFENGIRGEFHFMRKSDIPVISTWQGYGWFPSLEEAVLLDRSGELSRYASALVGSPPKREGAPLVEGLVLNLISLMLFGANLLNRGEYARAWALLSKAHENLLKLVRLHEGATDHWPTPSRALEKDVSEDSYNRYLACTGSAEPKALCVAYHETWKWSLELFRSVAGPLNIELPRIVIAQTKRLLNESATPHNK ->ARGMiner~~~aadA7~~~WP_070342356.1~~~aminoglycoside unknown +>ARGMiner~~~aadA7~~~WP_070342356.1~~~aminoglycoside~~~unknown MSEKVPAEISVQLSQALNVIGRHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAAPLNDAVRQALLVDLLXVSASPGQNKALRALEVTIVVHSDIVPWRYPARRELQFGEWQRKDILAGIFEPATTDSDLAILLTKAKQHSVVLAGSAAKDLFSSVPESDLFKALADTLKLWNSPPDWAGDERNVVLTLSRIWYTAATGKIAPKDVAATWAMARLPAQHQPILLNAKRAYLGQEEDYLPARADQVAALIKFVKYEAVKLLGASQ ->ARGMiner~~~OXA-184~~~WP_070233680.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_070233680.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDCNTSGTFIVFDGKNYASNDFQRAKQTFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQEGLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIREELLNKYLANFSNNNRIKSFY ->ARGMiner~~~OXA-184~~~WP_070210118.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_070210118.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDYNTSGTFIVFDGKNYASNDFQRAKQAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKFSQNSLPFSKKIQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIRENY ->ARGMiner~~~PDC-3~~~WP_070142141.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_070142141.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLKFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~CMY-2~~~WP_070064537.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-2~~~WP_070064537.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSISLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~OXA-237~~~WP_070064536.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-237~~~WP_070064536.1~~~cephalosporin;penam~~~unknown MKTLILLPLLSCLSLTACSLPVSNSSSQITSTQSIQTIAKLFDQAQSSGVLVIQRGPHLQVYGNDLSRAHTEYIPASTFKMLNALIGLQHGKATTNEIFKWDGKKRSFAAWEKDLTLAEAMQASAVPVYQELARRIGLELMQQEVQRIRFGNQQIGQHIDNFWLVGPLKITPEQEVEFASALAQEQLAFDPQVQQQVKAMLLLQERQAYRLYAKSGWGMDVEPQVGWLTGWIETPQDEIVAFSLNMQMQSNMDPAIRLKILQQALAELGLYPKAEG ->ARGMiner~~~OXA-66~~~WP_070064535.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-66~~~WP_070064535.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLVHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CTX-M-1~~~WP_070064534.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-1~~~WP_070064534.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKSVTNGL ->ARGMiner~~~vanSO~~~WP_070064533.1~~~glycopeptide unknown +>ARGMiner~~~vanSO~~~WP_070064533.1~~~glycopeptide~~~unknown MDRAPGLGVRLKLTLSYAGFLMLAGVLLLAAVWVFLLRGRSTNFQFPALADFARVFDPSNFGPVVFVPAAILALGFLLVFGLVGGWILAGRMLAPLARITRAAREAGSGSLSYRIELEGRNDEFRELADAFDAMLARLEARDAAQQRFAANASHELRTPLAITQTLLDVARNDPNRDGGELDERLRAVNARAIELTEALLLLSRTDQRSFSREDVDLSLIAEEAAETLLPFAEKHGVSIETSGDIAPVIGSHALLLQLTTNLLHNAIVHNVPEHGSVQISTAIGSESVMLTVENTGDKLSPQLVSTLTEPFQRGTARTRGDDARVGLGLAIVKSITQAHDGSLTLSPRAAGGLSVAVRLPAAQRRP ->ARGMiner~~~r39 beta-lactamase~~~WP_070064531.1~~~penam unknown +>ARGMiner~~~r39 beta-lactamase~~~WP_070064531.1~~~penam~~~unknown MDISFLKKSGRRTMAAAAAIALLGGCGADAGSEPATTAASTTAPSTATDAATAEFAALEQRSGARLGVYAVDTTSGAEVAYRADERFGMASTFKGLACGALLREHPLSSGYFDQVVRYSREEVVSYSPVTETRVDTGMTVAELCHATITVSDNTAGNQILKLLGGPAGFTAFLRSLGDEVSRLDRWETELNEVPPGEERDTTTPAAVAANYRALVLGDVLAEPERAQLRDWLVANTTGDQRIRAGVPAGWTVGDKTGGGSHGGNNDVAVAWTETGDPIVIALLSHRTDPAAKADNALLAEATRAVVTALR ->ARGMiner~~~AAC(6')-Ib4~~~WP_069985732.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib4~~~WP_069985732.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDLSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~SHV-1~~~WP_069280714.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-1~~~WP_069280714.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAEIGAALIEHWQR ->ARGMiner~~~OXA-1~~~WP_069280711.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-1~~~WP_069280711.1~~~cephalosporin;penam~~~unknown MKNTIHINFAIFLIIANIIYSSASASTDISTVASPLFEGTEGCFLLYDASTNAEIAQFNKAKCATQMAPDSTFKIALSLMAFDAEIIDQKTIFKWDKTPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVKNSAIENTIENMYLQDLDNSTKLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYFFVSALTGNLGSNLTSSIKAKKNAITILNTLNL ->ARGMiner~~~IMP-15~~~WP_069280710.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-15~~~WP_069280710.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MNKLSVFFMFMFCSITAAGESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNTEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFSGGSYWLVNNKIEVFYPGPGHTPDNVVVWLPENRVLFGGCFVKPYGLGNLGDANLEAWPKSAKILMSKYGKAKLVVSGHSETGNASLLKLTWEQAVKGLKESKKPSLPSN ->ARGMiner~~~GES-7~~~WP_069280709.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-7~~~WP_069280709.1~~~carbapenem;cephalosporin;penam~~~unknown MRFIHALFLAGIAHSASASENLTFRTDLEKLEREKAAEIGVAIVDPQGQIVAGHRIEQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGRDMIVKWSPAAERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTPTSTHTIERWLIGNQTGDATLRAGFPKDWVIGEKTGTCANGGRNDIGFFKAQDRDYAVAVYTTAPKLSAEQRDELVASVGQVITQLILSTDK ->ARGMiner~~~CTX-M-55~~~WP_069280706.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-55~~~WP_069280706.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYTDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~ADC-79~~~WP_069174569.1~~~cephalosporin unknown +>ARGMiner~~~ADC-79~~~WP_069174569.1~~~cephalosporin~~~unknown MQFKKISCLLLSPLFIFSTSIYADNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNRFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~SHV-111~~~WP_068981648.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-111~~~WP_068981648.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLANLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALSGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-91~~~WP_068981647.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-91~~~WP_068981647.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-380~~~WP_068981646.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-380~~~WP_068981646.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEIFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSLKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-51~~~WP_068981645.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXA-51~~~WP_068981645.1~~~monobactam;cephalosporin;penam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHSTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-100~~~WP_068981644.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-100~~~WP_068981644.1~~~cephalosporin;penam~~~unknown MNIKALLLITSTIFISACSPYIVTANPNHSTSKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEIFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARHIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-208~~~WP_068981643.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-208~~~WP_068981643.1~~~cephalosporin;penam~~~unknown MNIKALSLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-130~~~WP_068981642.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-130~~~WP_068981642.1~~~cephalosporin;penam~~~unknown MNIKTILLVTSTLLISACSPYIVTANPNNSALKADEKAQKIKDIFEEAHTTGVLVIQQGQTQQSYGNDLTRASTEYVPASTFKMLNALIGLEHHKVTTTEIFKWDKKKRLFPEWERDMTLGDAMKASAIPVYQDLARRIGLELMSAEVKRVNYGNTNIGTQVDNFWLVGPLKITPQQEAQFAYRLANKTLPFSQNVQEQVQSMLFIEEKNGNKIYAKSGWGWDIDPQVGWLTGWVVQPKGNIVAFSLNLEMKKGIPSSVRKEITYKSLEKLGIL ->ARGMiner~~~OXA-421~~~WP_068981641.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_068981641.1~~~cephalosporin;penam~~~unknown MTKKALFFAISTMFLSACSFNTVQQHQIQSISTNKNSEKIKSLFDQAQTEGVLVIKRGQIEEVYGNDPKRASTDYVPASTFKMLNALIGLEHHKATPTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDDFWLVGPLKITPQQEAQFAYELAHKTLPFSKNVQEQVQSMLFIEEKNGRKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OXA-206~~~WP_068981640.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-206~~~WP_068981640.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYLPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAISVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-71~~~WP_068981639.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-71~~~WP_068981639.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKTTTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-312~~~WP_068981638.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-312~~~WP_068981638.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKTTTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAFPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-421~~~WP_068981637.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_068981637.1~~~cephalosporin;penam~~~unknown MTKKALFFAIGTMFLSACSFNTVQQHQIQSISTNKNSEKIKSLFDQAQTEGVLVIKRGQTEEIYGNDLKRSSTEYVPASTFKMLNALIGLEHHKATPTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDDFWLVGPLKITPQQKAQFAYELAHKTLPFSKNVQEQVQSMLFIEEKNGRKIYAKSGWGWDVEPQVGWLTGWVVQPQGKIVAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OXA-90~~~WP_068981636.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-90~~~WP_068981636.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKNKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-338~~~WP_068981635.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-338~~~WP_068981635.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANNTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~KPC-1~~~WP_068981634.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-1~~~WP_068981634.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLSGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~CTX-M-15~~~WP_068981633.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_068981633.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYGDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-15~~~WP_068981632.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_068981632.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAATVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CARB-16~~~WP_068981631.1~~~penam unknown +>ARGMiner~~~CARB-16~~~WP_068981631.1~~~penam~~~unknown MDVRKHKASFFSVVITFLCLTLSLNANATDSVLEAVTNAETELGARIGLAVHDLETGKRWEHKSNERFPLTSTFKTLACANVLQRVDLGKERIDRVVRFSESNLVTYSPVTEKHVGKKGMSLAELCQATLSTSDNSAANFILQAIGGPKALTKFLRSIGDDTTRLDRWETELNEAVPGDKRDTTTPIAMVTTLEKLLIDETLSIKSRQQLESWLKGNEVGDALFRKGVPSDWIVADRTGAGGYGSRAITAVMWPPNRKPIVAALYITETDASFEERNAVIAKIGEQIAKTVLMENSRN ->ARGMiner~~~ADC-2~~~WP_068981630.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_068981630.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGIIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDKPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-2~~~WP_068981629.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_068981629.1~~~cephalosporin~~~unknown MRFKKNSCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGIIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDKPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-2~~~WP_068981628.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_068981628.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKE ->ARGMiner~~~ADC-81~~~WP_068981627.1~~~cephalosporin unknown +>ARGMiner~~~ADC-81~~~WP_068981627.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKVLKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-79~~~WP_068981626.1~~~cephalosporin unknown +>ARGMiner~~~ADC-79~~~WP_068981626.1~~~cephalosporin~~~unknown MQFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-79~~~WP_068981625.1~~~cephalosporin unknown +>ARGMiner~~~ADC-79~~~WP_068981625.1~~~cephalosporin~~~unknown MQFKKISCLLLSPLFIFSTSIYADNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSSSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-79~~~WP_068981624.1~~~cephalosporin unknown +>ARGMiner~~~ADC-79~~~WP_068981624.1~~~cephalosporin~~~unknown MQFKKISCLLLSPLFIFSTSIYADNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSSIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-76~~~WP_068981623.1~~~cephalosporin unknown +>ARGMiner~~~ADC-76~~~WP_068981623.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIHNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKVLKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGESRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-76~~~WP_068981622.1~~~cephalosporin unknown +>ARGMiner~~~ADC-76~~~WP_068981622.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKVLKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGESRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTSGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-2~~~WP_068981621.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_068981621.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVAKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNLQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-74~~~WP_068981620.1~~~cephalosporin unknown +>ARGMiner~~~ADC-74~~~WP_068981620.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNRSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPEYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLDAIKK ->ARGMiner~~~ADC-79~~~WP_068981619.1~~~cephalosporin unknown +>ARGMiner~~~ADC-79~~~WP_068981619.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYADNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTEQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGEIVGLSMKQPFSQVLEKTIFPDLGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPANIQRAINETHQGRYQVNTMYQALGWEEFAYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-15~~~WP_068981618.1~~~cephalosporin unknown +>ARGMiner~~~ADC-15~~~WP_068981618.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYADNTPKDQEIKKLVDQNFKPLLDKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKTKGTISFKDTTGKYWKELKNTPIDQVNLLQLATYTSGNLGLQFPDEVQTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQLLEKTIFPDLGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFINANLNPQKYPKDIQRAINETHQGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-15~~~WP_068981617.1~~~cephalosporin unknown +>ARGMiner~~~ADC-15~~~WP_068981617.1~~~cephalosporin~~~unknown MRFNKISCLLLSPLFIFNTSIYAGNTPKEQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYETYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGAYAKNKGKISFKDTPGKYWKELKNTPIDQVNLLQLATYTSGNLGLQFPDEVQTDQQVLTFFKDWKPKSSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPDLSLKHSYVNVPKTQMQNYAFGYNQQNQPIRVNPGPLDGPAYGVKSTLPDMLGFVHANLNPQQYPADIQRAINETHKGFYQVGTMYQALGWEEFSYPATLQTLLDSNSDQIVMKPNKVTAISKEPSVKIFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-43~~~WP_068981616.1~~~cephalosporin unknown +>ARGMiner~~~ADC-43~~~WP_068981616.1~~~cephalosporin~~~unknown MRFKKISCLLLPSLFILNTSIYAGNTSKDQGIKQLVDQNFKPLLEKYNVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSNTIFELGSVSKLFTATAGAYAKNKGKISFEDTPSKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKIVGLSMNQPFSQVLEKTIFPDLGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQSAINETHKGFYQVGTMYQALGWEEFSYPASLQTLLDSNSEQIVMKPNKVTAISNEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-5~~~WP_068981615.1~~~cephalosporin unknown +>ARGMiner~~~ADC-5~~~WP_068981615.1~~~cephalosporin~~~unknown MRFKKISCLLLPSLFILNTSIYAGNTSKDQEIKQLVDQNFKPLLEKYNVPGMAVGVIQNNKKYEMYYGLQSVQDKKVVNSNTIFELGSVSKLFTATAGAYAKNKGKISFEDTPSKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKIVGLSMNQPFSQFLEKTIFPDLGLKHSYVNVPKTQIQNYAFGYNPENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQSAINETHKGFYQVGTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVSAISKEPSVKMFHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~WP_068981614.1~~~cephalosporin;penam unknown +>ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~WP_068981614.1~~~cephalosporin;penam~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDKPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFIHANLNPQKYPADIQRAINETHQGFYQLETMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-2~~~WP_068981613.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_068981613.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPINQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-2~~~WP_068981612.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_068981612.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSITIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKE ->ARGMiner~~~ADC-5~~~WP_068981611.1~~~cephalosporin unknown +>ARGMiner~~~ADC-5~~~WP_068981611.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFNTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKVLKNTPIDQVNLLQLATYTSSNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGFYQLGTMYQALGWEEFSYPATLQTLLDINSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKE ->ARGMiner~~~ADC-2~~~WP_068981610.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_068981610.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDKPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFNQVLEKTIFPALGLKHSYVNIPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-79~~~WP_068981609.1~~~cephalosporin unknown +>ARGMiner~~~ADC-79~~~WP_068981609.1~~~cephalosporin~~~unknown MQFKKISCLLLSPLFIFSTSIYADNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTMFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPKDIQLAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKSNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-39~~~WP_068981608.1~~~cephalosporin unknown +>ARGMiner~~~ADC-39~~~WP_068981608.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTMFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPKDIQLAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKSNKVTAISKEPSVKMYHKTGSTSGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-56~~~WP_068981607.1~~~cephalosporin unknown +>ARGMiner~~~ADC-56~~~WP_068981607.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPASAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~OXA-421~~~WP_068611377.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_068611377.1~~~cephalosporin;penam~~~unknown MTKKALFFAIGTMFLSACSFNTVQQHQIQSISTNKNSEKIKSLFDQAQTEGVLVIKRGQIEEVYGNDLKRASTEYVPASTFKMLNALIGLEHHKATPTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDDFWLVGPLKITPQQEAQFAYELAHKTLPFSKNVQEQVQSMLFIEEKNGRKIYAKSGWGWDVDPQVGWFTGWVVQPQGEIIAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~QnrB2~~~WP_065908739.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB2~~~WP_065908739.1~~~fluoroquinolone~~~unknown MALALVGEKINRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEICHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRRVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~QnrB31~~~WP_065825703.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB31~~~WP_065825703.1~~~fluoroquinolone~~~unknown MALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALDIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~QnrB13~~~WP_065825699.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB13~~~WP_065825699.1~~~fluoroquinolone~~~unknown MALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRSVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~QnrB17~~~WP_065825693.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB17~~~WP_065825693.1~~~fluoroquinolone~~~unknown MALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNSSALGIEIRHCRAQGTDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~QnrB3~~~WP_065825684.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB3~~~WP_065825684.1~~~fluoroquinolone~~~unknown MALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGGKFSRAMLKDAIFKSCDLSMADFRNSSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~VEB-5~~~WP_065825678.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~VEB-5~~~WP_065825678.1~~~monobactam;cephalosporin~~~unknown MKIVKRILLVLLSLFFTIAYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKMWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWDYYLNK ->ARGMiner~~~MCR-3~~~WP_065804663.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_065804663.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIVWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNRARNSEGLLDVLQKTGISIFWKENDGGCKGVCDRVPNIEIEPKDHPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVVFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYQFAPDDQTRVPMQVWMSPGFTKEKGVDMACLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~MCR-3~~~WP_065801616.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_065801616.1~~~peptide~~~unknown MPSLIKIKIVPLMFFLALYFAFMLNWRGVLHFYEILYKLEDFKFGFAISLPILLVAALNFVFVPFSIRYLIKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLSLPIIVWVTIAGFIPAILLFFVEIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDENRARNSEGLLDVLQKTGISIFWKENDGGCKGVCDRVPNIEIEPKDHPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIGEMIAKLKTYEDKYNTALLYVSDHGESLGALGLYLHGTPYQFAPDDQTRVPMQVWMSPGFTKEKGVDMACLQQKAADTRYSHDNIFSSVLGIWDVKTSVYEKGLDIFSQCRNVQ ->ARGMiner~~~CMY-42~~~WP_065644637.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-42~~~WP_065644637.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQLPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHSSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~DIM-1 beta-lactamase~~~WP_065597326.1~~~carbapenem;cephalosporin unknown +>ARGMiner~~~DIM-1 beta-lactamase~~~WP_065597326.1~~~carbapenem;cephalosporin~~~unknown MFKFITGIVVAFVSFNTLSAPMLKPLQFEEVTTNIFLVKSFREFKNLKSPDNPIIIDANSLIYIDSKDAYLIDTPWNAENMPQLMTWIENRDLTLKKTVFTHFHEDQTGGLEYLQEHGFDTYATKLTNTLLVKDNKKAANHELDAQESVLLDNKIEVFYPGPGHSKDNSVVWFPKEKVLLGGCLMRANEVNTIGWTGDADLAKWAQSAKNVLIKYPETKLVIPGHGDIGKGTSVISHTVNIAESL ->ARGMiner~~~SHV-63~~~WP_065419573.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-63~~~WP_065419573.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTNQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-90~~~WP_065419572.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-90~~~WP_065419572.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAILVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWIVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~KPC-1~~~WP_065419571.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-1~~~WP_065419571.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~IMP-1~~~WP_065419570.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-1~~~WP_065419570.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLISRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~CTX-M-15~~~WP_065419569.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_065419569.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLSLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-2~~~WP_065419568.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-2~~~WP_065419568.1~~~cephalosporin~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQSVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~AAC(6')-Ib'~~~WP_065187201.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib'~~~WP_065187201.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDLSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~AAC(6')-Ib-cr~~~WP_065187000.1~~~fluoroquinolone;aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib-cr~~~WP_065187000.1~~~fluoroquinolone;aminoglycoside~~~unknown MTNSTDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGRWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPYGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~PDC-8~~~WP_065159032.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_065159032.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPIALKRLQAGNSTPMALQPHRVARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLAR ->ARGMiner~~~PDC-3~~~WP_065159028.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_065159028.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTYLDVPEAALAQYAQGYGKDDRPLRAGPGPLGAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~OXA-10~~~WP_065159014.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-10~~~WP_065159014.1~~~cephalosporin;penam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFGLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~BEL-1~~~WP_065158950.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~BEL-1~~~WP_065158950.1~~~monobactam;cephalosporin;penam~~~unknown MKLLLYPLLLFLVIPAFAQADFEHAISDLEAHNQAKIGVALVSENGNLIQGYRANERFAMCSTFKLPLAALVLSRIDAGEENPERKLHYDSAFLEEYAPAAKRYVATGYMTVTEAIQSALQLSDNAAANLLLKEVGGPPLLTKYFRSLGDKVSRLDRIEPTLNTNTPGDERDATTPMSMAQTVSKLIFGDTLTYKSKGQLRRLLIGNQTGDKTIRAGLPDSWVTGDKTGSCANGGRNDVAFFITTAGKKYVLSVYTNAPELQGEERALLIASVAKLARQYVVH ->ARGMiner~~~PDC-3~~~WP_065102311.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_065102311.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERIMEQRLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRQWAQALDATHRGYYKVGDMTQGLGWEAYDWPIALKRLQAGNSTPMALQPHRVARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLAR ->ARGMiner~~~PDC-2~~~WP_065102306.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-2~~~WP_065102306.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTVTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~IMP-1~~~WP_065102288.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-1~~~WP_065102288.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPKRKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~CTX-M-78~~~WP_065102278.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-78~~~WP_065102278.1~~~cephalosporin~~~unknown MMRKSVSRAILMTTACVSLLLASVPLYAQANDIQQKLAALEKSSGGRLGVALINTADNTQTLYRADERFAMCSTSKVMAAAAVLKQSETQKDLLSQRVEIKSSDLINYNPIAEKHVNGTMTLGELSAAALQYSDNTAMNKLIAHLGGPGKVTAFARVIGDDTFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLRNLTLGNALGDTQRAQLVTWLKGNTTGAASIQAGLPTSWVVGDKTGSGDYGTTNDIAVIWPEGRAPLVLVTYFTQPKPKAESRRDVLAAAARIVTDGY ->ARGMiner~~~CMY-2~~~WP_065102238.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-2~~~WP_065102238.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDNKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~BLA1~~~WP_065102178.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_065102178.1~~~penam~~~unknown MRIRPTRRLLLGAVAPLALVPLVACGQASGSESGQQPGLGGCGTSAHGSADAHEKEFRALEKKFDAHPGVYAIDTRDGQEITHRADERFAYGSTFKALQAGAILAQVLRDGREVRRGAEADGMDKVVHYGQDAILPNSPVTEKHVADGMSLRELCDAVVAYSDNTAANLLFDQLGGRRGSTRVLKQLGDHTTSMDRYEQELGSAVPGDPRDTSTPRAFAEDLRAFAVEDGEKAALAPNDREQLNDWMSGSRTGDALIRAGVPKDWKVEDKSGQVKYGTRNDIAVVRPPGRAPIVVSVMSHGDTQDAEPHDELVAEAGLVVADGLK ->ARGMiner~~~OXA-60~~~WP_064808881.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-60~~~WP_064808881.1~~~cephalosporin;penam~~~unknown MFPRWSKTFALALTAYAFVMGASQAHAELVVRDDLKRVFDEAGVTGTFVLMDIRGDRTYVVDPARAARRIHPASTFKIPNSLIAFDTGAVRDDQEVIPYGGKPQPFKQWEKDMALPEAIRVSNVPIYQEVARRIGPARMQAYVDAFDYGNRQIGSVIDQFWLRGPLEISAFEEARFTSRLALKQLPVKPRTWDLVHRMLLIEKQGDAALYAKTGVATEYQPEIGWWVGWVEREGKVYAFALNIDMPLEADMAKRIPLGKRLMQALEVWPTP ->ARGMiner~~~OXA-22~~~WP_064808581.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-22~~~WP_064808581.1~~~cephalosporin;penam~~~unknown MMKLRHAATGALLAALATFAHAERTICTIVADAATGKVVLHEGQCAERVTPASTFKLALAVMGYDHGFLRDEHTPIEHMKAGDPDWGGEAWHQPIDPTLWLKYSVVWYSQRITHAMGAQTLHAYLRKFDYGNMDASGDPGKNNGLDRSWITSSLKISPEEQVGFMRKIVNRQLPVSAHTYEMVDRTVQTWPVPGGWAVQGKTGTAGPGPGNTSPDGTWDQAHAYGWFVGWAKKGTGDDSRTYVFANLIQDDKLEPTSGGLRSRDALLARLPEVLALASH ->ARGMiner~~~PDC-5~~~WP_064511515.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_064511515.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDSISLLDLATYTAGGLPLKFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-6~~~WP_064511514.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-6~~~WP_064511514.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASEHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_064511513.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_064511513.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVVLANRNYPIAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_064511512.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_064511512.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRTWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_064511511.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_064511511.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTSAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-7~~~WP_064511510.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_064511510.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHATILNLCGLAASTLFFATTSAFATAAPAERLKALVDAAVQPVMKANDIPGLAVAITLKGEPHYFSYGVASKEDARKVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASRHWPALQGSRFDGISLLDLGTYSAGGLPLQFPDAVQKDPAQIRDYYRQWQPTYAPGSHRQYSNPSIGLFGYLAARSLGQPFERSMERQLFPALGLEHTFIRVPAAQQGLYAQGYGKDDRPLRVGPGSLDAEAYGLKSSAADLLRFVEANLHPERLEKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPIDLKRLQAGNSAPMALQAHKVARLPAPQALDGQRLLNKTGSTNGFGAYLAFIPGRDVGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLVR ->ARGMiner~~~PDC-7~~~WP_064511509.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_064511509.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNLSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-10~~~WP_064511508.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_064511508.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVSEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-8~~~WP_064511507.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_064511507.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPTAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_064511506.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_064511506.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGRQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_064511505.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_064511505.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLKQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLGAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTSMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_064511504.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_064511504.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPSPLDAESYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-7~~~WP_064511503.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_064511503.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLIVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDRAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-2~~~WP_064511502.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-2~~~WP_064511502.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTVTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQCAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_064511501.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_064511501.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPKAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_064511500.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_064511500.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDGRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_064511499.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_064511499.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFTTTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDDISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTYLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_064511497.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_064511497.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQTGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_064511496.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_064511496.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQPKVPLKR ->ARGMiner~~~PDC-3~~~WP_064511495.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_064511495.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQRDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDGRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTSGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_064511494.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_064511494.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_064511493.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_064511493.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTSAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~FOX-5~~~WP_064511492.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-5~~~WP_064511492.1~~~cephalosporin;cephamycin~~~unknown MQQRRAFALLTLGSLLLAPCTYAGGEAPLTAAVDGIIQPMLKEYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVNEQTLFEIGSVSKTLTATLGAYAAVKGGFELDDKVSQHGPWLKGSALDGVTMAELATYSAGGLPLQFPDEVDSNDKMRTYYQSWSPVYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMVNYAYGYSKEDKPVRVTPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAAVKSAIALTHTGFYSVGEMTQGLGWESYAYPVTEQTLLAGNSPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~CTX-M-15~~~WP_064511491.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_064511491.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADTSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-15~~~WP_064511490.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_064511490.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQKADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~PDC-8~~~WP_064484006.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_064484006.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQGKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_064484005.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_064484005.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHATILNLCGLAASTLFFATTSAFATEAPAERLKALVDAAVQPVMKANDIPGLAVAITLKGEPHYFSYGVASKEDARKVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASRHWPALQGSRFDGISLLDLGTYTAGGLPLQFPDAVQKDPAQIRDYYRQWQPTYAPGSHRQYSNPSIGLFGYLAARSLGQPFERSMERQLFPALGLEHTFIRVPAAQQGLYAQGYGKDDHPLRVGPGPLDAEAYGLKSSAADLLRFVEANLHPERLEKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPIDLRRLQAGNSAPMALQAHKVARLPAPQALDGQRLLNKTGSTNGFGAYLAFIPGRDVGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLVR ->ARGMiner~~~PDC-5~~~WP_064484004.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_064484004.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLTR ->ARGMiner~~~PDC-7~~~WP_064484003.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_064484003.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQPKVPLKR ->ARGMiner~~~PDC-3~~~WP_064484002.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_064484002.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLLATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDSASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_064484001.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_064484001.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTSGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-2~~~WP_064484000.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-2~~~WP_064484000.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTVTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSFGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-7~~~WP_064483999.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_064483999.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFSDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-10~~~WP_064483998.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_064483998.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERIMEQRLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRQWAQALDATHRGYYKVGDMTQGLGWEAYDWPIALKRLQAGNSTPMALQPHRVARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLAR ->ARGMiner~~~PDC-3~~~WP_064483997.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_064483997.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTSGFGAYVAFVPGRDLGLVILANRNYPIAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_064483996.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_064483996.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGETPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_064483995.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_064483995.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQGKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQTGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_064483994.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_064483994.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRGGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_064483993.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_064483993.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRITRLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-7~~~WP_064483992.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_064483992.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDRAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-2~~~WP_064483991.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-2~~~WP_064483991.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTVTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~OXA-363~~~WP_064483990.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-363~~~WP_064483990.1~~~cephalosporin;penam~~~unknown MKILIFLPLLSCLSLTACSLPVSSSPSQITSTQSTQTIAQLFDQAQSSGVLGIQRGQQIQVYGNDLSRANTEYVPASTFKMLNALIGLQHGKATTNEIFKWDGKKRSFSAWEKDMTLGQAMQASAVPVYQELARRIGLELMQQEVQRIQFGNQQIGQQVDNFWLVGPLKVTPKQEVQFVSVLAREQLAFDPQVQQQVKAMLFLQERKAYRLYVKSGWGMDVEPQVGWLTGWVETPQAEIVAFSLNMQMQNGIDPAIRLEILQQALGELGLYPKVGQQSK ->ARGMiner~~~AAC(6')-Iak~~~WP_064239013.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iak~~~WP_064239013.1~~~aminoglycoside~~~unknown MTGSAATIRPAKAADAVAWAQLRLGLWPDADDPLETLVAALAEDAGAVFLACAAGGQAIGFAEVRLRHDYVNGTDSSPVGFLEGWYVQPQWQGCGVGRALLAAVRAWTRDAGCRELASDSRVEDVQAHAAHRACGFEETERVVYFRMPLEPSA ->ARGMiner~~~IMI-1~~~WP_064190970.1~~~carbapenem unknown +>ARGMiner~~~IMI-1~~~WP_064190970.1~~~carbapenem~~~unknown MSLNVKPSRIAILFSSCLVSISFFSQANTKGIDEIKNLETDFNGRIGVYALDTGSGKSFSYKANERFPLCSSFKGFLAAAVLKGSQDNQLNLNQIVNYNTRSLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDKDFRLDRWELDLNTAIPGDERDTSTPAAVAKSLKTLALGNILNEREKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKNEKEAKHDDKVIAEASRIAIDNLK ->ARGMiner~~~tet(Z)~~~WP_064190967.1~~~tetracycline unknown +>ARGMiner~~~tet(Z)~~~WP_064190967.1~~~tetracycline~~~unknown MHFIIDNFILDKVTARYSHLTARYSHRGILTAVLITATLDAAGLGLVMPILPTLLDQVGAPDDMIPLHVGLLTALYAIMQFLCAPILGRLSDRFGRRRVLVASLAGATIDYLVLALTDTLWVFYLARAVAGITGATNAVTATVIADITPPDQRAKRYGWLGACYGGGMIAGPAIGGLFGGVSPHLPFLVAAALAGITLVLSASLLRETRPPGSNGSHAQQPGTAKRTAVPGMLILLAVFGIVQFIGQAPGSTWVLFTQQRLDWNPVEVGVSLSIFGMVQVFVQAALTGRIVSRIGETRAILVGIAADAIGLIGLALIASTWAMLPILAALGLGSITLPALQTLLSRRAPEQQQGRLQGTLASLNSLTSIIGPVTFTGIFALTRTNADGTLWICAAALYVLCALLMIRETCASRRSR ->ARGMiner~~~QnrS7~~~WP_064186845.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrS7~~~WP_064186845.1~~~fluoroquinolone~~~unknown METYNHTYRHHNFSHKDLSDLTFTACTFIRCDFRRANLRDTTFVNCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIEFRACDLKGANFSRTNFAHQVSNRMYFCSAFISGCNLSYANMERVCLEKCELFENRWIGTNLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIAAWQQELILEALGIVVYPD ->ARGMiner~~~OXA-142~~~WP_064056056.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-142~~~WP_064056056.1~~~cephalosporin;penam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEDQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~kamB~~~WP_063964001.1~~~aminoglycoside unknown +>ARGMiner~~~kamB~~~WP_063964001.1~~~aminoglycoside~~~unknown MRRVVGKRVLEFSEAEFDQLRSQYDEVVLDVGTGDGKHPYKVARQHPNQLVVALDADKTRMERMSAKAAAKPAKGGLPNLLYLWATAEKLPPLTGVGELHVLMPWGSLLRGILGSSPEMLRGLAAVCRPDAAFLVALNLHAWRPPVPEVGEHPEPTPETVDEGLAARYAQAGWQLTDCRYLAAEEVAALETSWTRRLNSSRDRFDVLALTGKINP ->ARGMiner~~~rmtB~~~WP_063866490.1~~~aminoglycoside unknown +>ARGMiner~~~rmtB~~~WP_063866490.1~~~aminoglycoside~~~unknown MNIDEMAAEVLSSKKYTSVDPAVVRRVCMETAPKYPKKKEAIKAVKNELHIIHEVFLQNECYKNALSFLSQLSLDFNNAQLIDITMQIMQSHTSTKERLGDIEAVCSFLSTHISKEGSVMDIGCGFNPFALPLLHEFPATYYAYDICSEGINILNKYFSILKKGEYRAELLDAVSVTPKEKVDVALLFKLLPLLQQQKKGRGFSILEELDFDKAIVSFPIKSLGGKQKGMETFYSNLFEENLPSSLEIIEKQTFSNEMFYVIQNKTKNGGNQS ->ARGMiner~~~rmtB~~~WP_063866478.1~~~aminoglycoside unknown +>ARGMiner~~~rmtB~~~WP_063866478.1~~~aminoglycoside~~~unknown MNIDEMVAEVLSSKKYTSVDPAVVRRVCMETAPKYPKKKEAIKAVKNELHIIHEVFLQNECYKNALSFLSQLSLDFNNAQLIDITMQIMQSHTSTKERLGDIEAVCSFLSTHISKEGSVMDIGCGFNPFALPLLHEFPATYYAYDICSEGINILNKYFSILKKGEYRAELLDAVSVTPKEKVDVALLFKLLPLLQQQKKGRGFSILEELDFDKAIVSFPIKSLGGKQKGMETFYSNLFEENLPSSLEIIEKQTFSNEMFYVIQNKTKNGGNQS ->ARGMiner~~~rmtD~~~WP_063866469.1~~~aminoglycoside unknown +>ARGMiner~~~rmtD~~~WP_063866469.1~~~aminoglycoside~~~unknown MSELKEKLLASKKYRDVCPDTIERIWRECSAKFKKEKDADKAAREALHGVTGAFMTEREYKRAMELAATRDWEALLGMHASTRERLPVESMDRVFDQLFEAIGTPARILDLACGLNPVYLAHRLPNAAIAGVDISGQCVNVIRAFGGAEARLGDLLCEIPEDEADAALMFKVLPLLERQRTGAAMEALMRVNAEWIVASFPTRSLGGRNVGMEKHYSEWMEAHVPENRAIAARLTGENELFYVLKRK ->ARGMiner~~~oqxB~~~WP_063865577.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865577.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMKWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFVVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPFRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRILSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGARAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRTKPVQEDLRA ->ARGMiner~~~oqxB~~~WP_063865569.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865569.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELASRFPEDMKWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFVVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPFRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865552.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865552.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPSLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865544.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865544.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPGGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFAVYLLLLCAAGVMFKAVHGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTELFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865537.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865537.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPGGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSKGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865531.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865531.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPGGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRILSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865519.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865519.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIVGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865509.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865509.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTELFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPMAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865491.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865491.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINTEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTELFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865483.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865483.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCATGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTELFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865478.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865478.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTELFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRILSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865440.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865440.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDLQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPFRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRTKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865430.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865430.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFVVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPFRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGHNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865418.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865418.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFHPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865413.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865413.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHDAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTELFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865408.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865408.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVNIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_063865404.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063865404.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAITLVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxA~~~WP_063865399.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxA~~~WP_063865399.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MSLQKTWGNIHLTALGAMMLSFLLVGCDDSVAQNAAPPAPTVSAAKVLVKSISQWDSFNGRIEAVESVQLRPRVSGYIDKVNYTDGQEVKKGQVLFTIDDRTYRAALEQAQAALARAKTQASLAQSEANRTDKLVHTNLVSREEWEQRRSAAVQAQADIRAAQAAVDAAQLNLDFTKVTAPIDGRASRALITSGNLVTAGDTASVLTTLVSQKTVYVYFDVDESTYLHYQNLARREQGASSDNQALPVEIGLVGEEGYPHQGKVDFLDNQLTPSTGTIRMRALLDNSQRLFTPGLFARVRLPGSAEFKATLIDDKAVLTDQDRKYVYIVDKDGKAQRRDITPGRLADGLRIVQKGLNPGDSVIVDGLQKVFMPGMPVNAKTVAMTSSATLN ->ARGMiner~~~oqxA~~~WP_063865390.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxA~~~WP_063865390.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MSLQKTWGNIHLTALCAMMLSFLLVGCDDSVAQNAAPPAPTVSAAKVLVKSISQWDSFNGRIEAVESVQLRPRVSGYIDKVNYTDGQEVKKGQVLFTIDDRTYRAALEQAQAALARAKTQASLAQSEANRTDKLVHTNLVSREEWEQRRSAAVQAQADIRAAQAAVDAAQLNLDFTKVTAPIDGRASRALITSGNLVTAGDTASVLTTLVSQKTVYVYFDVDESTYLHYQNLARRGQGASSDNQVLPVEIGLVGEEGYPHQGKVDFLDNQLTPSTGTIRMRALLDNSQRLFTPGLFARVRLPGSAEFKATLIDDKAVLTDQDRKYVYIVDKDGKAQRRDITPGRLADGLRIVQKGLNPGDSVIVDGLQKVFMPGMPVNAKTVAMTSSATLN ->ARGMiner~~~oqxA~~~WP_063865385.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxA~~~WP_063865385.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MSLQKTWGNIHLTALGAMMLSFLLVGCDDSVAQNAAPPAPTVSAAKVLVKSISQWDSFNGRIEAVESVQLRPRVSGYIDKVNYTDGQEVKKGQVLFTIDDRTYRAALEQAQAALARAKTQASLAQSEANRTDKLVHTNLVSREEWEQRRSAAVQAQADIRAAQAAVDAEQLNLDFTKVTAPIDGRASRALITSGNLVTAGDTASVLTTLVSQKTVYVYFDVDESTYLHYQNLARRGQGASSDNQALPVEIGLVGEEGYPHQGKVDFLDNQLTPSTGTIRMRALLDNSQRLFTPGLFARVRLPGSAEFKATLIDDKAVLTDQDRKYVYIVDKDGKAQRRDITPGRLADGLRIVQKGLNPGDSVIVDGLQKVFMPGMPVNAKTVAMTSSATLN ->ARGMiner~~~oqxA~~~WP_063865373.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxA~~~WP_063865373.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MSLQKTWGNIHLTALGAMMLSFLLVGCDDSVAQNAAPPAPTVSAAKVLVKSISQWDSFNGRIEAVESVQLRPRVSGYIDKVNYTDGQEVKKGQVLFTIDDRTYRAALEQAQAALARAKTQASLAQSEANRTDKLVHTNLVSREEWEQRRSATVQAQADIRAAQAAVDAAQLNLDFTKVTAPIDGRASRALITSGNLVTAGDTASVLTTLVSQKTVYVYFDVDESTYLHYQNLARRGQGASSDNQALPVEIGLVGEEGYPHQGKVDFLDNQLTPSTGTIRMRALLDNSQRLFTPGLFARVRLPGSAEFKATLIDDKAVLTDQDRKYVYIVDKDGKAQRRDITPGRLADGLRIVQKGLNPGDSVIVDGLQKVFMPGMPVNAKTVAMTSSATLN ->ARGMiner~~~oqxA~~~WP_063865368.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxA~~~WP_063865368.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MSLQKTWGNIHLTALGAMMLSFLLVGCDDSVAQNAAPPAPTVSAAKVLVKSISQWDSFNGRIEAVESVQLRPRVSGYIDKVNYTDGQEVKKGQVLFTIDNRTYRAALEQAQAALARAKTQASLAQSEANRTDKLVHTNLVSREEWEQRRSAAVQAQADIRAAQAAVDAAQLNLDFTKVTAPIDGRASRALITSGNLVTAGDTASVLTTLVSQKTVYVYFDVNESTYLHYQNLARRGQGASSDNQALPVEIGLVGEEGYPHQGKVDFLDNQLTPSTGTIRMRALLDNSQRLFTPGLFARVRLPGSAEFKATLIDDKAVLTDQDRKYVYIVDKDGKAQRRDITPGRLADGLRIVQKGLNPGDSVIVDGLQKVFMPGMPVNAKTVAMTSSATLN ->ARGMiner~~~oqxA~~~WP_063865358.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxA~~~WP_063865358.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MSLQKTWGNIHLTALGAMMLSFLLVGCDDSVAQNAAPPAPTVSAAKVLVKSISQWDSFNGRIEAVESVQLRPRVSGYIDKVNYTDGQEVKKGQVLFTIDDRTYRAALEQAQAALARAKTQASLAQSEANRTDKLVHTNLVSREEWEQRRSAAVQAQADVRAAQAAVDAAQLNLDFTKVTAPIDGRASRALITSGNLVTAGDTASVLTTLVSQKTVYVYFDVDESTYLHYQNLARRGQGASSDNQALPVEIGLVGEEGYPHQGKVDFLDNQLTPSTGTIRMRALLDNSQRLFTPGLFARVRLPGSAEFKATLIDDKAVLTDQDRKYVYIVDKDGKAQRRDITPGRLADGLRIVQKGLNPGDSVIVDGLQKVFMPGMPVNAKTVAMTSSATLN ->ARGMiner~~~cphA6~~~WP_063865212.1~~~carbapenem unknown +>ARGMiner~~~cphA6~~~WP_063865212.1~~~carbapenem~~~unknown MMKGWMKCGLAGAVVLMASFWGGSVRAAGISLKQVSGPVYVVEDNYYVKENSMVYFGAKGVTAVGATWTPDTARELHKLIKRVSSKPVLEVINTNYHTDRAGGNAYWKSIGAKVVATRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFKLQDGKVRAFYAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFANVKEYPQTIERLKAMKLPIKTVIGGHDSPLHGPELIDHYEALIKAAAHS ->ARGMiner~~~CcrA beta-lactamase~~~WP_063865207.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_063865207.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGMIVINNYQAALLDTPINDAQTETLVNWVADSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVQSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQATSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGDYGGTELIEHTKQIVNQYIESTSKP ->ARGMiner~~~CcrA beta-lactamase~~~WP_063865206.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_063865206.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGMIVINNHQAALLDTPINDAQTETLVNWVADSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVQSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQATSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGDYGGTELIEHTKQIVNQYIESTLKP ->ARGMiner~~~CcrA beta-lactamase~~~WP_063865205.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_063865205.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGMIVINNHQAALLDTPINDAQTETLVNWVADSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVQSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQATSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGDYGGTELIEHPKQIVNQYIESTSKP ->ARGMiner~~~cepA beta-lactamase~~~WP_063865204.1~~~cephalosporin unknown +>ARGMiner~~~cepA beta-lactamase~~~WP_063865204.1~~~cephalosporin~~~unknown MQKRLIHLSIIFFLLCPALVVAQNSPLETQLKKAIEGKKAEIGIAVIIDGQDTITVNNDIHYPMMSVFKFHQALALADYMHHQKQPLKTRLLIKKSDLKPDTYSPLREIYPQGGIEMSIADLLKYTLQQSDNNACDILFNYQGGPDAVNKYLHSLGIRECAVIHTENDMHENLEFCYQNWTTPLAAAKLLEIFRNENLFDKEYKNFIYQTMVECQTGQDRLIAPLLDKKVTMGHKTGTGDRNAKGQQIGCNDIGFILLPDRHAYSIAVFVKDSEADNRENSEIIAEISRIVYEYVTQQID ->ARGMiner~~~VIM-2~~~WP_063865200.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-2~~~WP_063865200.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSVGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~VIM-24~~~WP_063865199.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-24~~~WP_063865199.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGSEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSLTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~VIM-5~~~WP_063865198.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-5~~~WP_063865198.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHISTQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRKAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVLALSRTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVTAHKNRSVAE ->ARGMiner~~~VIM-13~~~WP_063865197.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-13~~~WP_063865197.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFKVVSSLLFYMTASLMAVASPLAHSGESRGEYPTVSEIPVGEVRLYQIDDGVWSHIATHTFDGVVYPSNGLIVRDGDELLLIDTAWGTKNTVALLAEIEKQIGLPVTRSVSTHFHDDRVGGVDALRAAGVATYASPSTRRLAEAEGNEVPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVLELSRTSAGNVADADLAEWPGSVERIQQHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHTNRSVAE ->ARGMiner~~~VIM-2~~~WP_063865196.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-2~~~WP_063865196.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFKFLSKLLVYLTASIMAIASPLAFSVDSSGEYPTASEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~VIM-2~~~WP_063865195.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-2~~~WP_063865195.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPIVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~VIM-2~~~WP_063865194.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-2~~~WP_063865194.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVNAHTNRSVVE ->ARGMiner~~~VIM-2~~~WP_063865191.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-2~~~WP_063865191.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVANADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~VIM-4~~~WP_063865190.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-4~~~WP_063865190.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLVEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSRTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~CARB-18~~~WP_063865165.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_063865165.1~~~penam~~~unknown MKKLFLLLGLLACSSATYAAKLNEDISAIEEHISGRIGVSVWDTQTDEHWDYRGDERFPMMSTFKTLACATMLSDMDNEKLDKNATAKVEERNMVVWSPVMDRMAGQTTRFEHACEAAMLMSDNSAAHIVLRSIWGVHAGGTSFLRSIGDKATRLDRFEPRLNEANPGDKRDTTTPNAMVNTLHTLLEGDALSYESRIQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGGFGSRGITAMIWKENHKPVYISIYITETDLSLQARDQVIAQVSQLILDEVQYYLALAKY ->ARGMiner~~~CARB-18~~~WP_063865164.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_063865164.1~~~penam~~~unknown MKKLFLLLGLLACSSATYAANLMKTSPRLKKHISGRIGVSVWDTQTDEHWDYRGDERFPMMSTFKTLACATMLSDMDNEKLDKNATAKVEERNMVVWSPVMDRMASQTTRIEHACEAAMLMSDNTAANIVLRSIGGPRGVTTFLRSIGDKATRLDRFEPRLNEANPGDKRDTTTPNAMVNTLHTLLEGDALSYESRIQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGGFGSRGITAMIWKENHKPVYISIYITETDLSLQARDQVIAQVSQLILDEYNTI ->ARGMiner~~~VEB-5~~~WP_063865157.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~VEB-5~~~WP_063865157.1~~~monobactam;cephalosporin~~~unknown MKIVKRILLVLLSLFFTIAYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHYPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKMWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWDYYLNK ->ARGMiner~~~VEB-6~~~WP_063865155.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~VEB-6~~~WP_063865155.1~~~monobactam;cephalosporin~~~unknown MKIVKRILLVLLSLFFTVVYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKMWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWDYYLNK ->ARGMiner~~~VEB-9~~~WP_063865154.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~VEB-9~~~WP_063865154.1~~~monobactam;cephalosporin~~~unknown MKIVKRILLVLLSLFFTVVYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKTWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~VEB-9~~~WP_063865153.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~VEB-9~~~WP_063865153.1~~~monobactam;cephalosporin~~~unknown MKIVKRILLVLLSLFFTVVYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKTWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTAGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~VEB-1b~~~WP_063865152.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~VEB-1b~~~WP_063865152.1~~~monobactam;cephalosporin~~~unknown MKIVKRILLVLLSLFFTVEYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKTWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTAGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~VEB-1~~~WP_063865151.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~VEB-1~~~WP_063865151.1~~~monobactam;cephalosporin~~~unknown MKIVKRILLVLLSLFFTIVYSNAQTDNLTLKIENVLKEKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKTWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~VEB-4~~~WP_063865150.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~VEB-4~~~WP_063865150.1~~~monobactam;cephalosporin~~~unknown MKIVKRILLVLLSLFFTIVYSNAQTDNLTLKIENVLKAKNARIGVAIFNSNEKDTLKINNDFHFPMQSVMKFPIALAVLSEIDKGNLSFEQKIEITPQDLLPKMWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWDYYLNK ->ARGMiner~~~TEM-57~~~WP_063865146.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-57~~~WP_063865146.1~~~monobactam;cephalosporin;penam;penem~~~unknown MRHSLFAPILLLALFALPAWAHEATVNQVKAAERQLEARVGYAELDLASGRLLASYRPDERFPMMSTFKVPLCAAVLARVDAGEEKLDRRVRYRQQDLVEYSPVTEKHLADGMTVAELCAAAITLSDNTAANLLLASVGGPQGLTAFLRRSGDQTSRLDRWETELNEALPGDARDTTTPQAMAKTLRNLLTGSVLSAASRQQLRSWMVDDKVAGPLLRSALPHGWFIADKTGAGNRGSRGIIAALGPDGQPARIVIIYLTGTEASMDERNRQIAEIGETLVKHW ->ARGMiner~~~TEM-57~~~WP_063865145.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-57~~~WP_063865145.1~~~monobactam;cephalosporin;penam;penem~~~unknown MRHSLFAPILLLALFALPAWAHEATVNQVKAAERQLEARVGYAELDLASGRLLASYRPDERFPMMSTFKVPLCAAVLARVDAGEEKLDRRVRYRQQDLVEYSPVTEKHLADGMTVAELCAAAITLSDNTAANLLLASVGGTQGLTAFLRRSGDQTSRLDRWETELNEALPGDARDTTTPQAMAKTLHNLLTGSVLSAASRQQLRSWMVDDKVAGPLLRSTLPHGWFIADKTGAGNRGSRGIIAALGPDGQPARIVIIYLTGTEASMDERNRQIAEIGETLVKHW ->ARGMiner~~~TEM-80~~~WP_063864910.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-80~~~WP_063864910.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERDRQIAEIGASLIKHW ->ARGMiner~~~TEM-184~~~WP_063864908.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-184~~~WP_063864908.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIKHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMTDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-1~~~WP_063864901.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~WP_063864901.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVENSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~SRT-1~~~WP_063864750.1~~~cephalosporin unknown +>ARGMiner~~~SRT-1~~~WP_063864750.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAHAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFNDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTDNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFTQAMEQGMLPALGMRHTYVQVPAAQMANYAQGYNKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAHAWREALTATHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYRIVQALDKR ->ARGMiner~~~SIM-1 beta-lactamase~~~WP_063864722.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SIM-1 beta-lactamase~~~WP_063864722.1~~~carbapenem;cephalosporin;penam~~~unknown MRTLLILCLFGTLNTAFAEEAQPDLKIEKIEEGIYLHTSFQEYKGFGIVKKQGLVVLDNHKAYLIDTPASAGDTEKLVNWLEKNDFTVNGSISTHFHDDSTAGIEWLNTKSIPTYASKLTNELLNKNGKTQAKHSFDKESFWLVKNKIEIFYPGPGHTQDNEVVWIPNKKILFGGCFIKPNGLGNLSDANLEAWPDSAKKMISKYSKAKLVIPSHSEIGDASLLKLTWEQAIKGLNESKSKPPLIN ->ARGMiner~~~SHV-1~~~WP_063864669.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-1~~~WP_063864669.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLTSGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~SHV-11~~~WP_063864668.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-11~~~WP_063864668.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADELFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~SHV-27~~~WP_063864667.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-27~~~WP_063864667.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLVSGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIDDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~SHV-11~~~WP_063864666.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-11~~~WP_063864666.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALLEHWQR ->ARGMiner~~~SHV-120~~~WP_063864631.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-120~~~WP_063864631.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGKRGARGIVALLGPNNKAERIVVIYLRDTPASMAKRNQQIAGIGAALIEHWQR ->ARGMiner~~~SHV-1~~~WP_063864620.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-1~~~WP_063864620.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMLSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~CTX-M-95~~~WP_063864601.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-95~~~WP_063864601.1~~~cephalosporin~~~unknown MMKNTLRKTVLMAAAVVPMLAFSAVSWAQTATKMTSVQQQLTALEKESGGRLGVMLINTEDNSQIAYRADERFAMCSTSKFMAASAILKQSETQTELLNRRVSLTRSDLVNYNPITEKHLDTGMTVGELAAAALQYSDNTAMNKLIEQLGGPQKVTEYARTLGDKTFRLDRTEPTLNTAIPGDDRDTTSPRAMALSLQHVTLGSALAEPQRAQLVEWMKGNTTGAMSIRAGLPATWVVGDKTGSGDYGTTNDIAVIWPDNKAPLILITYFTQPQKDAKSRRDVLASAAKIVTPGY ->ARGMiner~~~LRA-1~~~WP_063864599.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~WP_063864599.1~~~cephalosporin;penam~~~unknown MFLYFTQIRAWPLAALLLFMLAACAGAPRAPDDATDTASDALAALELRNGGRLGVFALDAGSGRSLGWREDERFGMCSTFKLLLAATVLDAARQGRLDGTAPIHFSDDDLVPHSPVLREHLALGSSTLTAPELARATQLTSDNAAANLLIRKLGGPEAVTALWRASGDEVSRLDRLEPDMNLLPPGDLRDSTSPRAMAQHVARLFTSEMLVPEDRERLREWMVETGTGLARLRAATPPHWQAGDKTGSAIAPLMPNKTHDVAVFWPPGRAPVIVAAYYESDAHHAGRIRAQDEAVLAQVGRIAVAWAGD ->ARGMiner~~~TEM-166~~~WP_063864598.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-166~~~WP_063864598.1~~~monobactam;cephalosporin;penam;penem~~~unknown MRQYRFALLPLLAALALPGWAHQATVTTVKQAESQLQGRVGYAELDLASGQLLAGYRSDERFPMMSTFKVLLCGAVLSRVDAGEEQLDRRIHYRQQDLVEYSPVTEKHLTDGLTVGELCAAAITLSDNTAANLLLTTLGGPQGLTSFLRHSGDQTSRLDRWETELNEARPGDVRDTTTPQAMARTLRNLLTGSVLSSASQQQLQRWMVEDKVAGPLLRSVLPAGWFIADKTGAGNRGSRGIIAALGPDGKAARIVVIYLTGTPATMDERNKQIAAIGATLIRHWSADENRP ->ARGMiner~~~PER-1~~~WP_063864597.1~~~monobactam;carbapenem;cephalosporin;penam;penem unknown +>ARGMiner~~~PER-1~~~WP_063864597.1~~~monobactam;carbapenem;cephalosporin;penam;penem~~~unknown MNVIIKAVVTASTLLMVSFSSFETSAQSPLLKEQIESIVIGKKATVGVAVWGPDDLEPLLINPFEKFPMQSVFKLHLAMLVLHQVDQGKLDLNQTVIVNRAKVLQNTWAPIMKAYQGDEFSVPVQQLLLYSVSHSDNVACDLLFELVGGPAALHDYIQSMGIKETAVVANEAQMHADDQVQYQNWTSMKGAAEILKKFEQKTQLSETSQALLWKWMVETTTGPERLKGLLPAGTVVAHKTGTSGIKAGKTAATNDLGIILLPDGRPLLVAVFVKDSAESSRTNEAIIAQVAQTAYQFELKKLSALSPN ->ARGMiner~~~PER-7~~~WP_063864596.1~~~monobactam;carbapenem;cephalosporin;penam;penem unknown +>ARGMiner~~~PER-7~~~WP_063864596.1~~~monobactam;carbapenem;cephalosporin;penam;penem~~~unknown MNVIIKAVVTASTLLMVSFSSFETSAQSPLLKEQIESIVIEKKATVGVAVWGPDDLEPLLINPFEKFPMQSVFKLHLAMLVLHQVDQGKLDLNQTVIVNRAKVLQNTWAPIMKAYQGDQFSVPVQQLLQYSVSHSDNVACDLLFELVGGPAALHDYIQSMGIKETAVVANEAQMHADDQVQYQNWTSMKGAAEILKKFEQKTQLSETSQALLWKWMVETTTGPERLKGLLPAGTVVAHKTGTSGVRAGKTAATNDLGIILLPDGRPLLVAVFVKDSAESSRTNEAIIAQVAQAAYQFELKKLSALSPN ->ARGMiner~~~PDC-3~~~WP_063864589.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_063864589.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFSCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTTTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-7~~~WP_063864588.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_063864588.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNLSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-10~~~WP_063864587.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_063864587.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFSDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_063864586.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_063864586.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGEDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_063864583.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_063864583.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGINLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_063864582.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_063864582.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHATILNLCGLAASTLFFATTSAFATEAPAERLKALVDAAVQPVMKANDIPGLAVAITLKGEPHYFSYGVASKEDARKVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASRHWPALQGSRFDGISLLDLGTYSAGGLPLQFPDAVQKDPAQIRDYYRQWQPTYAPGSHRQYSNPSIGLFGYLAARSLGQPFERSMERQLFPALGLEHTFIRVPAAQQGLYAQGYGKDDRPLRVGPGPLDAEAYGLKSSAADLLRFVEANLHPERLEKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPIDLRRLQAGNSAPMALQAHKVARLPAPQALDGQRLLNKTGSTNGFGAYLAFIPGRDVGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLVR ->ARGMiner~~~PDC-8~~~WP_063864581.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_063864581.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRHYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_063864579.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_063864579.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKEPLKR ->ARGMiner~~~PDC-8~~~WP_063864577.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_063864577.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKAYDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLNVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_063864576.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_063864576.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVSGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_063864575.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_063864575.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDHPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_063864572.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_063864572.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLTARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_063864571.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_063864571.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDDRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_063864570.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_063864570.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRLWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPTAERVKIAYTILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_063864569.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_063864569.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPTIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_063864568.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_063864568.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASILLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFSDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-5~~~WP_063864567.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_063864567.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLRRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_063864566.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_063864566.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSCLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_063864565.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_063864565.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQGLDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_063864564.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_063864564.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFSCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_063864563.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_063864563.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFSCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQTKVPLKR ->ARGMiner~~~PDC-7~~~WP_063864562.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_063864562.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFSCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQTQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-7~~~WP_063864561.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_063864561.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDLAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-10~~~WP_063864560.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_063864560.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~OXY-2-2~~~WP_063864550.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXY-2-2~~~WP_063864550.1~~~monobactam;cephalosporin;penam~~~unknown MIKSSWRKIAMLAAAVPLLLASGALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPNTAIPGDERDTSTPLAMAESLRKLTLGDALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGAGDYGTTNDIAVIWPEDHAPLILVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~OXY-2-1~~~WP_063864549.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXY-2-1~~~WP_063864549.1~~~monobactam;cephalosporin;penam~~~unknown MIKSSWRKIAMLAAAVPLLLASGALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGHLGGPEKVTAFAHSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGDALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGAGDYGTTNDIAVIWPEDHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~OXY-2-10~~~WP_063864548.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXY-2-10~~~WP_063864548.1~~~monobactam;cephalosporin;penam~~~unknown MIKSSWRKIAMLAAAVPLLLASGALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGDALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~OXA-184~~~WP_063864216.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_063864216.1~~~cephalosporin;penam~~~unknown MKKIFLLFGLFCSFALANENLKDLFKDYNESGVFIAYDGKNYYSNDFKKANKRILPASTFKIFNALIALNEDVVKDTNEIFYHYKGEKVFLPSWKNNANLALAMQRSQLPAYKELARKIGLEKMQKNLNKLNYGNQKISKIDEFWIDDSLQISLKEQATLLFKLANLTLDYPKHIQEEVINIIKLKENDHYELFAKTGWGLRQYGQIVGFIKSKKSDKIYAFALNMNISDFNKLYLREEIVQLYLDQL ->ARGMiner~~~OXA-98~~~WP_063864208.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-98~~~WP_063864208.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQDQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASALPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-243~~~WP_063864194.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-243~~~WP_063864194.1~~~cephalosporin;penam~~~unknown MTVRRFSCALGAALSLSALAGVPARAAVLCTVVADAADGRIVFQQGTQAACAERYTPASTFKLPIALMGADAGILQGPHAPVWNYQPGYPDWGGDAWRQPTDPARWIKYSVVWYSQLTARALGQERFQRYASAFQYGNEDVSGEPGKHNGLDGAWINSSLRISPLEQLAFLRKLVNRQLPLKPAAYDLAETLFDAGEAGGWRLYGKTGTGSPGSNGVYTPDNAYGWFVGWARKDGRQLVFARLLQDEKATKPNAGLRARDDLMRDWPAMADAPRQ ->ARGMiner~~~OXA-58~~~WP_063864184.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-58~~~WP_063864184.1~~~cephalosporin;penam~~~unknown MKLLKILSLVCLSISIGACAEHSMSRAKTSTFPQVNNSIIDQNVQALFNEISADAVFVTYDGQNIKKYGTHLDRAKTAYIPASTFKIANALIGLENHKATSTEIFKWDGKPRFFKEWDKDFTLGEAMQASTVPVYQELARRIGPSLMQSELQRIGYGNMQIGTEVDQFWLKGPLTITPIQEVKFVYDLAQGQLPFKPEVQQQVKEMLYVERRGENSLYAKSGWGMAVDPQVGWYVGFVEKADGQVVAFALNMQMKAGDDSALRKQLSLDVLDKLGVFHYL ->ARGMiner~~~OXA-313~~~WP_063864158.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-313~~~WP_063864158.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKTTTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAILVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-138~~~WP_063864150.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-138~~~WP_063864150.1~~~cephalosporin;penam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-69~~~WP_063864138.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-69~~~WP_063864138.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGEKRLFPEWEKNMTLGDAMKASAILVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-48~~~WP_063864118.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_063864118.1~~~cephalosporin;penam~~~unknown MRVLALSTVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~OXA-12~~~WP_063864115.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-12~~~WP_063864115.1~~~cephalosporin;penam~~~unknown MPRMLLSSLLAAGLFCALPASAASGCMLFADGTGKPVSTQGDCAAQLTPASTFKIPLALMGYDSGFLVDEQLPALPFKAGDPDFLPEWKQTTTPSSWMQFSVIWYSQRLTEWLGEARFQHYVDSFDYGNRDLEGNPGKHDGLTQAWLSASLAISPQEQARFLGKMVSGKLPVSAQTLRHTANLMRQPDIDGWQIHGKTGMGYPKLLDGSLDREQQIGWFVGWASKQDKTLIFVHTVIQTPGKQFASLRAREEVFAALPARLKTL ->ARGMiner~~~OXA-421~~~WP_063864114.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_063864114.1~~~cephalosporin;penam~~~unknown MTKKALFFAIGTMFLSACSFNTVQQHQIQSISTNKNSEKIKSLFDQAQNEGVLVIKRGQTEEIYGNDLKRSSTEYVPASTFKMLNALIGLEHHKATPTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDDFWLVGPLKITPQQEAQFAYELAHKTLPFSKNVQEQVQSMLFIEEKNGRKIYAKSGWGWDVEPQVGWLTGWVVQPQGKIVAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OXA-421~~~WP_063864113.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_063864113.1~~~cephalosporin;penam~~~unknown MTKKALFFAIGTMFLSACSFNTVEQHQIQSISTNKNSEKIKSLFDQAQTTGVLVIKHGQTEEVYGNDLKRASTEYVPASTFKMLNALIGLEHHKATPTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDDFWLVGPLKITPQQEAQFAYELAHKTLPFSKNVQEQVQSMVFIEEKNGRKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OXA-255~~~WP_063864112.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-255~~~WP_063864112.1~~~cephalosporin;penam~~~unknown MKKFILPIFSISTLLSLSACSSIQNKFENTSDISDQQHEKAIKSYFDEAQTQGVIIIKEGKNIRIYGNNLVRAHTEYVPASTFKMLNALIGLENHKATTTEIFKWDGKKRSYPMWEKDMTLGDAMALSAVPVYQELARRTGLDLMQKEVKRVGFGNMNIGTQVNNFWLVGPLKITPIQEANFADDLANNRLPFKLETQEEVKKMLLIKEVNGSKIYAKSGWGMDVTPQVGWLTGWVEKSNGEKVPFSLNLEMKQGMSGSIRNEITYKSLENLGII ->ARGMiner~~~OXA-232~~~WP_063864110.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-232~~~WP_063864110.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIAAWNRDHDLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATQQIAFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTGIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~OXA-23~~~WP_063864109.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-23~~~WP_063864109.1~~~cephalosporin;penam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAVALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~OXA-23~~~WP_063864108.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-23~~~WP_063864108.1~~~cephalosporin;penam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVINQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~OXA-66~~~WP_063864106.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-66~~~WP_063864106.1~~~cephalosporin;penam~~~unknown MNIKALLFITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-136~~~WP_063864102.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-136~~~WP_063864102.1~~~cephalosporin;penam~~~unknown MSKKNFILIFIFVILISCKNTEKISNETTLIDNIFTNSNAEGTLVIYNLNDDKYIIHNKERAEQRFYPASTFKIYNSLIGLNEKAVKDVDEVFYKYNGEKVFLESWAKDSNLRYAIKNSQVPAYKELARRIGLKKMKENIEKLDFGNKSIGDSVDTFWLEGPLEISAMEQIKLLTKLAQNELPYPIEIQKAVSDITILEQTYNYTLHGKTGLADSKNMTTEPIGWFVGWLEENDNIYVFALNIDNINSDDLAKRINIVKESLKALNLLK ->ARGMiner~~~OXA-136~~~WP_063864101.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-136~~~WP_063864101.1~~~cephalosporin;penam~~~unknown MSKKNFILIFIFVILISCKNTEKISNETTLIDNIFTNSNAEGTLVIYNLNDDKYIIHNKERAELRFYPASTFKIYNSLIGLNEKAVKDVDEVFYKYNGEKVFLESWAKDSNSRYAIKNSQVPAYKELARRIGLKKMKENIEKLDFGNKSIGDSVDTFGIEGPLEISAMEQVKLLTKLAQNELPYPIEIQKAVSDITILEQTYNYTLHGKTGLVDSKNMTTEPIGWFVGWLEENDNIYVFALNIDNINSDDLAKRINIVKESLKALNLLK ->ARGMiner~~~OXA-136~~~WP_063864100.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-136~~~WP_063864100.1~~~cephalosporin;penam~~~unknown MSKKNFILIFIFVILISCKNTEKISNETTLIDNVFTNSNAEGTLVIYNLNDDKYIIHNKERAEQRFYPASTFKIYNSLIGLNEKAVKDVDEVFYKYIGEKVFLEFWAKDSNLRYAIKNSQVPAYKELARRIGLKKMKENIEKLDFGNKNIGDSVDTFWLEGPLEISAMEQVELLTKLAQNELPYPIEIQKAVSDITILEQTYNYTLHGKTGLADSKNMTTEPIGWFVGWLEENDNIYVFALNIDNINSDDLAKRINIVKESLKALNLLK ->ARGMiner~~~OXA-136~~~WP_063864099.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-136~~~WP_063864099.1~~~cephalosporin;penam~~~unknown MSKKNFILIFIFVILISCKNTEKISNETTLIDNIFTNSNAEGTLVIYNLNDDKYIIHNKERAEQRFYPASTFKIYNSLIGLNEKAVKDVDEVFYKYNGEKVFLESWAKDSNLRYAIKNSQVPAYKELARRIGLKKMKENIEKLDFGNKSIGDNVDTFWLEGPLEISAMEQVKLLTKLAQNELPYPIEIQKAVSDITILEQTYNYTLHGKTGLADSENMTTEPIGWFVGWLEENDNIYVFALNIDNINSDDLAKRINIVKESLKALNLLK ->ARGMiner~~~OXA-136~~~WP_063864098.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-136~~~WP_063864098.1~~~cephalosporin;penam~~~unknown MSKKNFILIFIFVILISCKNTEKISNETTLIDNIFTNSNAEGTLVIYNLNDDKYIIHNKERAEQRFYPASTFKIYNSLIGLNEKAVKDVDEVFYKYNGEKVFLESWAKDSNLRYAIKNSQVPAYKELARRIGLKKMKENIEKLDFGNKSIGDSVDTFWLEGPLEISAMEQVKLLTKLAQNELPYPIEIQKAVSDITILEQTYNYTLHGKTGLADSENMTTEPIGWFVGWLEENDNIYVFALNIDNINSDDLAKRINIVKEGLKALNLLK ->ARGMiner~~~OXA-136~~~WP_063864097.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-136~~~WP_063864097.1~~~cephalosporin;penam~~~unknown MSKKNFILIFIFVILISCKNTEKISNETTLIDNVFTNSNAEGTLVIYNLNDDKYIIHNKERAEQRFYPASTFKIYNSLIGLNEKAVKDVDEVFYKYNGEKVFLEFWAKDSNLRYAIKNSQVPAYKELARRIGLKKMKENIEKLDFGNKSIGDSVDTFWLEGPLEISAMEQVKLLTKLAQNELPYPIEIQKAVSDITILEQTYNYTLHGKTGLADSKNMTTEPIGWFVGWLEENDNIYVFALNIDNINSDDLAKRINIVKESLKALNLLK ->ARGMiner~~~OXA-136~~~WP_063864096.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-136~~~WP_063864096.1~~~cephalosporin;penam~~~unknown MSKKNFILIFIFVILISCKNTEKISNETTLIDNIFTNSNAEGTLVIYNLNDDKYIIHNKERAEQRFYPASTFKIYNSLIGLNEKAVKDVDEVFYKYNGEKVFLEFWAKDSNLRYAIKNSQVPAYKELARRIGLKKMKENIEKLDFGNKSIGDSVDTFWLEGPLEISAMEQVKLLTKLAQNELPYPIEIQKAISDITILEQTYNYTLHGKTGLADSKNMTTEPIGWFVGWLEENDNIYVFALNIDNINSDDLAKRINIVKESLKALNLLK ->ARGMiner~~~OXA-136~~~WP_063864095.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-136~~~WP_063864095.1~~~cephalosporin;penam~~~unknown MSKKNFILIFIFVILISCKNTEKISNETTLIDNIFTNSNAEGTLVIYNLNDDKYIIHNKERAELRFYQASTFKIYNSLIGLNEKAVKDVDEVFYKYNGEKVFLESWAKDSNLRYAIKNSQVPAYKELARRIGLKKMKENIEKLDFGNKSIGDSVDTFWLEGPLEISAMEQIKLLTKLAQNELPYPIEIQKAVSDITILEQTYNYTLHGKTGLADSKNMTTEPIGWFVGWLENDNIYVFALNIDNINSDDLAKRINIVKESLKALNLLK ->ARGMiner~~~OXA-136~~~WP_063864094.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-136~~~WP_063864094.1~~~cephalosporin;penam~~~unknown MSKKNFILIFIFVILISCKNTEKISNETTLIDNIFTNSNAEGTLVIYNLNDDKYIIHNKERAEQRFYPASTFKIYNSLIGLNEKAVKDVDEVFYKYNGEKVFLESWAKDSNLRYAIKNSQVPAYKELARRIGLKKMKENIEKLDFGNKSIGDSVDTFWLEGPLEISAMEQVKLLTKLAQNELPYPIEIQKAISDITILEQTYNYTLHGKTGLADSKNMTTEPIGWFVGWLEENDNIYVFALNIDNINSDDLAKRINIVKESLKALNLLK ->ARGMiner~~~OXA-136~~~WP_063864093.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-136~~~WP_063864093.1~~~cephalosporin;penam~~~unknown MSKKNFILIFIFVILISCKNTEKISNETTLIDNIFTNSNAEGTLVIYNLNDDKYIIHNKERAEQRFYPASTFKIYNSLIGLNEKAVKDVDEVFYKYNGEKVFLESWAKDSNLRYAIKNSQVPAYKELARRIGLKKMKENIEKLDFGNKSIGDSVDTFWLEGPLEISAMEQIKLLTKLAQNELPYPIEIQKAVSDITILEQTYNYTLHGKTGLADSKNMTTEPIGWFVGWLEENDNIYVFALNIDNINSDDLAKRINIEKESLKALNLLK ->ARGMiner~~~OXA-184~~~WP_063864091.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_063864091.1~~~cephalosporin;penam~~~unknown MKKILLLFSLFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNNFKRAKEPFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDASLRSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFIESKNKILSFALNVDIKNIKNLKIREELLEKYIYSLN ->ARGMiner~~~OXA-243~~~WP_063864089.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-243~~~WP_063864089.1~~~cephalosporin;penam~~~unknown MTVRLSSCALGAALSLSALAGAPAQAAVLCTVVADAADGRIVYQQGTQQACAARYTPASTFKLPIALMGADAGILTGPHAPVWNYQPDYPDWGGDAWRQPTDPARWIKYSVVWYSQLTARALGQERFQRYASAFHYGNEDVSGEPGKHNGTDGAWIISSLRISPLEQLAFLRKVVNRQLPVKAAAYDLAENLFEVGEAGGWRLHGKTGTGSPGSNGVYTAANAYGWFVGWARKDGRQLVFARLVQDEQATKPNAGLRARDDLMRDWPAMADAPRK ->ARGMiner~~~OXA-243~~~WP_063864088.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-243~~~WP_063864088.1~~~cephalosporin;penam~~~unknown MTVRLSSTALGAALSLSALAGAPAQAAVLCTVVADAADGRIVYQQGTQQACAARYTPASTFKLPIALMGADAGILTGPHAPVWNYQPGYPDWGGDAWRQPTDPARWIKYSVVWYSQLTARALGQERFQRYASAFHYGNEDVSGEPGKHNGLDGAWINSSLRISPLEQLAFLRKLVNRQLPLKAAAYDLAENLFEVGEAGGWRMHGKTGTGSPGSNGVYTAANAYGWFVGWARKDGRQLVFARLVQDEQATKPNAGLRARDDLMRDWPAMADAPRK ->ARGMiner~~~OXA-243~~~WP_063864087.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-243~~~WP_063864087.1~~~cephalosporin;penam~~~unknown MTVRLFSTALGAALSLSALAGAPAQAAILCTVVADAADGRIVYQQGTQQACAARYTPASTFKLPIALMGADAGILTGPHAPVWNYQPGYPDWGGDAWRQPTDPARWIKYSVVWYSQLTARALGQERFQRYASAFHYGNEDVSGEPGKHNGLDGAWINSSLRISPLEQLAFLRKLVNRQLPVKAAAYDLAENLFEVGEASGWHLYGKTGTGSPGSNGVYTAANAYGWFVGWARKDGRQLVFARLVQDEQATKPNAGLRARDDLMRDWPAMADAPRK ->ARGMiner~~~OXA-184~~~WP_063864085.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_063864085.1~~~cephalosporin;penam~~~unknown MKKIILFLWILNFAFGQDKILEDFFKDYNTSGTFIIFDGKNYASNDFQRAKQTFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSNKSQEEVKKLLLFKENKIQKIYAKTGFNDNINLAWIVGFVKTKNKILSFALNVDIKDIKNIKIREELLEKYIYSLN ->ARGMiner~~~OXA-184~~~WP_063864084.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_063864084.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDCNTSGTFIVFDGKNYASNDFQRAKQAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQEGLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNIDIKDIKNIKIREELLEKYLAIITN ->ARGMiner~~~OXA-68~~~WP_063864083.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-68~~~WP_063864083.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQEVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLVMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-165~~~WP_063864082.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-165~~~WP_063864082.1~~~cephalosporin;penam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDKKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~OXA-163~~~WP_063864081.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-163~~~WP_063864081.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVHQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYDTKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~OXA-163~~~WP_063864080.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-163~~~WP_063864080.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYGYDTKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~OXA-24~~~WP_063864079.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXA-24~~~WP_063864079.1~~~monobactam;cephalosporin;penam~~~unknown MKKFILPIFSISILVSLSACSSIKTKSEDNFHISSQQHEKAIKSYFDEAQTQGVIIIKEGKNLSTYGNALARANKEYVPASTFKMLNALIGLENHKATTNEIFKWDGKKRTYPMWEKDMTLGEAMALSAVPVYQELARRTGLELMQKEVKRVNFGNTNIGTQVDNFWLVGPLKITPVQEVNFADDLAHNRLPFKLETQEEVKKMLLIKEVNGSKIYAKSGWGMGVTPQVGWLTGWVEQANGKKISFSLNLEMKEGMSGSIRNEITYKSLENLGII ->ARGMiner~~~OXA-208~~~WP_063864077.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-208~~~WP_063864077.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSDEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-208~~~WP_063864076.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-208~~~WP_063864076.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASRSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-91~~~WP_063862945.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-91~~~WP_063862945.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVSTNPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-69~~~WP_063862927.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-69~~~WP_063862927.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALISLEHHKATTTEVFKWDGEKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-180~~~WP_063862915.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-180~~~WP_063862915.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQDQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLELLGIL ->ARGMiner~~~OXA-48~~~WP_063862782.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_063862782.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNLDTFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANADYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~OXA-314~~~WP_063862769.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-314~~~WP_063862769.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-376~~~WP_063862768.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-376~~~WP_063862768.1~~~cephalosporin;penam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEYHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-106~~~WP_063862767.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-106~~~WP_063862767.1~~~cephalosporin;penam~~~unknown MNVKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-51~~~WP_063862766.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXA-51~~~WP_063862766.1~~~monobactam;cephalosporin;penam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKTTTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-106~~~WP_063862765.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-106~~~WP_063862765.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACCSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEKKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-384~~~WP_063862764.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-384~~~WP_063862764.1~~~cephalosporin;penam~~~unknown MNIQALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRIGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGISSSVRKEITYRSLEQLGIL ->ARGMiner~~~OXA-106~~~WP_063862763.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-106~~~WP_063862763.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-163~~~WP_063862762.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-163~~~WP_063862762.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~OXA-51~~~WP_063862761.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXA-51~~~WP_063862761.1~~~monobactam;cephalosporin;penam~~~unknown MNIKTLLLITSAILISACSPYIVTANPPHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-69~~~WP_063862760.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-69~~~WP_063862760.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPHHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGEKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-198~~~WP_063862739.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-198~~~WP_063862739.1~~~cephalosporin;penam~~~unknown MMHIFLVFLILCSNFALAEDKAISAIFSTEGVDGTIILKSLRGDKTITHNDARASRRFASASTFKIFNTLIAVQENVVSLSGTAFRWDGKTHDIPDWNRDQTLESAFKVSCVWCYQEIAKQVGEETYRRYLTLARYGVLTNVADTTTFWLDGSFTVSAVEQIALLEKIYLRELPFRDEAYDALKQVMLAEQTDSYKLYAKTGWAARMNPQIGWYVGYVETSDDVWFFAINLTLRSELDLGLRQKITKAALRAERIIP ->ARGMiner~~~OXA-243~~~WP_063862734.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-243~~~WP_063862734.1~~~cephalosporin;penam~~~unknown MPLRLPLRALGAALSLFALAGAPASAAVLCTVVADAADGRIVFQQGTQAACAERYTPASTFKLPIALMGADAGILQGAHAPVWNYQPGYPDWGGDAWRQPTDPARWIKYSVVWYSQLTARALGQERFQRYASAFQYGNEDVSGEPGKHNGLDGAWINSSLRISPLEQLAFLRKLVNRQLPLKPAAYDLAETLFDAGEAGGWRLYGKTGTGSPGSNGVYTPDNAYGWFVGWARKDGRQLVFARLLQDEKATRPNAGLRARDELLREWPAMADAPRQ ->ARGMiner~~~OXA-69~~~WP_063862717.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-69~~~WP_063862717.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGEKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSILFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-104~~~WP_063862716.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-104~~~WP_063862716.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-180~~~WP_063862715.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-180~~~WP_063862715.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-130~~~WP_063862714.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-130~~~WP_063862714.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTTNPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNTDIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWIVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-90~~~WP_063862713.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-90~~~WP_063862713.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFREWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-180~~~WP_063862712.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-180~~~WP_063862712.1~~~cephalosporin;penam~~~unknown MNIKAPLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNIFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYRSLEQLGIL ->ARGMiner~~~OXA-208~~~WP_063862711.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-208~~~WP_063862711.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-66~~~WP_063862707.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-66~~~WP_063862707.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKNTPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-184~~~WP_063861300.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_063861300.1~~~cephalosporin;penam~~~unknown MKKILLLFSLFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNNFKRAKEPFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFIESKNKILSFALNVDIKNIKNIKIREELLEKYIYSLN ->ARGMiner~~~OXA-62~~~WP_063861087.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-62~~~WP_063861087.1~~~cephalosporin;penam~~~unknown MKKTLSRWRRGALALRLLGALASPVVFAMPGHAAEPAHSSAVRIAERADWGKYFADEGVKGTVIVLDGRTQTYQAYDAARAERRMSPASTYKIFNSLLALESGALDNEREVIPWDGKPRRVKAWNAALDLRNAFRVSCLPCYQVVSHKIPRQYAQAKLNEAGYGNRTIGRAAHAYWIDDSLQISAREQVDFLQRLATGALPFSARSQDIVRNISIVEANVDYVLHGKTGWFTEKKPDIGWWVGWLERDGNLTMIALNIDIQTDADAPKRARIVRNVLKDLKLI ->ARGMiner~~~OXA-62~~~WP_063861081.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-62~~~WP_063861081.1~~~cephalosporin;penam~~~unknown MKKTLSRWRRGALALRLLGALASPVVFAMPGHAAEPAHSSAVRIAERADWGKYFADEGVKGTVIVLDGRTQTYQAYDAARAERRMSPASTYKIFNSLLALESGALDNEREVIPWDGKPRRVKAWNAALDLRNAFRVSCLPCYQVVSHKIPRQYAQAKLNEAGYGNRTIGRAAHAYWIDDSLQISAREQVDFLQRLATGTLPFSARSQDIVRNISIVEANVDYVLHGKTGWFTEKKPDIGWWVGWLERDGNLTMIALNIDIQTDADAPKRARIVRNVLKDLKLI ->ARGMiner~~~OXA-62~~~WP_063861067.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-62~~~WP_063861067.1~~~cephalosporin;penam~~~unknown MKRILSRWRRAAVVLRLASAVVAHGLLPSPAHALELSRASAAAAPSVAAPVHVTERADWGKFFAAENVKGTVVVLDGKTQTYQAYDSARAERRMSPASTYKIFNSLLALESGALDNERETIPWDGKPRRIKAWNAELNLRDAFRVSCYPCYQVVSHKIPRAYAQAKLDAVGYGNRTIGRVNDTYWVDDSLQISAREQVDFLQRLARGTLPFSARSQDIVRQISIVEANADYVLHGKTGWFVEKKPDIGWWVGWLERDGNLTMIALNIDMNGDADGPKRARIVREVLKNLKLI ->ARGMiner~~~OXA-62~~~WP_063861062.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-62~~~WP_063861062.1~~~cephalosporin;penam~~~unknown MKRILSHWCRAAVVLRLASAVVAHGLLPSPAHALELSRASAAAAPSVAAPVHVTERADWGKFFAAENVKGTVVVLDGKTQTYQAYDSARAERRMSPASTYKIFNSLLALESGALDNERETIPWDGKPRRIKAWNAELNLRDAFRVSCYPCYQVVSHKIPRAYAQAKLDAVGYGNRTIGRVNDTYWVDDSLQISAREQVDFLQRLARGTLPFSARSQDIVRQISIVEANADYVLHGKTGWFVDKKPDIGWWVGWLERDGNLTMIALNIDMNGDADGPKRARIVREVLKNLKLI ->ARGMiner~~~OXA-62~~~WP_063861050.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-62~~~WP_063861050.1~~~cephalosporin;penam~~~unknown MNTIISRRWRAGLWRRLVGAVVLPATLAATPAAYAADVPKAAPGRITERADWGKLFAAEGVKGTIVVLDARTQTYQAYDAARAGKRMSPASTYKIFNSLLALDSGALDNERAIIPWDGKPRRIKNWNAAMDLRTAFRVSCLPCYQVVSHKIGRQYAQAKLNEVGYGNRTIGGAPDAYWVDDSLQISAREQVDFVQRLARGTLPFSARSQDIVRQMSIVEATPDYVLHGKTGWFVDKKPDIGWWVGWIERDGNITSVAINIDMLSEADAPKRARIVKAVLKDLKLI ->ARGMiner~~~OXA-66~~~WP_063861034.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-66~~~WP_063861034.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWQVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-70~~~WP_063861033.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-70~~~WP_063861033.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQHEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVRPQGNIVAFSLNLEMKKGIASSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-208~~~WP_063861032.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-208~~~WP_063861032.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNAHIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-106~~~WP_063861031.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-106~~~WP_063861031.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-338~~~WP_063861030.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-338~~~WP_063861030.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEIAYKSLEQLGIL ->ARGMiner~~~OXA-114a~~~WP_063860980.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-114a~~~WP_063860980.1~~~cephalosporin;penam~~~unknown MTVRRLSCALGAALSLSALGGGPVQAAVLCTVVADAADGRILFQQGTQQACAERYTPGSTFKLAIALMGADAGILQGPHEPVWNYQPAYPDWGGDAWRQPTDPARWIKYSVVWYSQLTAKALGQDRFQRYTSAFGYGNADVSGEPGKHNGTDGAWIISSLRISPLEQLAFLRKLVNRQLPVKAAAYELAENLFEAGQADGWRLYGKTGTGSPGSNGVYTAANAYGWFVGWARKDGRQLVYARLLQDERATQPNAGLRARDELVRDWPAMAGAWRP ->ARGMiner~~~OKP-B-5~~~WP_063860936.1~~~cephalosporin;penam unknown +>ARGMiner~~~OKP-B-5~~~WP_063860936.1~~~cephalosporin;penam~~~unknown MRQYRFALLPLLAALALPGWAHEATVTTVKQAESQLQGRVGYAELDLASGQLLSGYRAGERFPMMSTFKVLLCGAVLSRVDTGEEQLDRRIHYRQQDLVEYSPVTEKHLADGLTVGELCAAAITLSDNTAANLLLTTLGGPQGLTTFLRHSGDQTSRLDRWETELNEARPGDVRDTTTPEAMARILRNLLTGRVLSPASQQQLQRWMVEDKVAGPLLRSALPAGWFIADKTGAGNRGSRGIIAALGPDGKAARIVVIYLTATPASMDERNKQIAAIGETLVRHWSKDDKRP ->ARGMiner~~~OKP-B-5~~~WP_063860935.1~~~cephalosporin;penam unknown +>ARGMiner~~~OKP-B-5~~~WP_063860935.1~~~cephalosporin;penam~~~unknown MRQYRFALLPLLAALALPGWAHEATVTTVKQAESQLQGRVGYAELDLASGQLLSGYRAGERFPMMSTFKVLLCGAVLSRVDTGEEQLDRRIHYRQQDLVEYSPVTEKHLADGLTVGELCAAAITLSDNTAANLLLTTIGGPQGLTTFLRHSGDQTSRLDRWETELNEARPGDVRDTTTPEAMARTLRNLLTGRVLSPASQQQLQRWMVEDKVAGPLLRSALPAGWFIADKTGAGNRGSRGIIAALGPDGKAARIVVIYLTATPASMDERNKQIAAIGETLVRHWSKDDKRP ->ARGMiner~~~OKP-B-5~~~WP_063860934.1~~~cephalosporin;penam unknown +>ARGMiner~~~OKP-B-5~~~WP_063860934.1~~~cephalosporin;penam~~~unknown MRQYRFALLPLLAALALPGWAHEATVTTVKQAESQLQGRVGYAELDLASGQLLSGYRAGERFPMMSTFKVLLCGAVLSRVDTGEEQLDRRIHYRQQDLVEYSPVTEKHLADGLNVGELCAAAITLSDNTAANLLLTTLGGPQGLTTFLRHSGDQTSRLDRWETELNEARPGDVRDTTTPEAMARTLRNLLTGRVLSPASQQQLQRWMVEDKVAGPLLRSALPAGWFIADKTGAGNRGSRGIIAALGPDGKAARIVVIYLTATPASMDERNKQIAAIGETLVRHWSKDDKRP ->ARGMiner~~~OKP-B-5~~~WP_063860933.1~~~cephalosporin;penam unknown +>ARGMiner~~~OKP-B-5~~~WP_063860933.1~~~cephalosporin;penam~~~unknown MRQYRFALLPLLAALALPGWAHEATVTTVKQAESQLQGRVGYAELDLASGQLLSGYCAGERFPMMSTFKVLLCGAVLSRVDTGEEQLDRRIHYRQQDLVEYSPVTEKHLADGLTVGELCAAAITLSDNTAANLLLTTLGGPQGLTTFLRHSGDQTSRLDRWETELNEARPGDVRDTTTPEAMARTLRNLLTGRVLSPASQQQLQRWMVEDKVAGPLLRSALPAGWFIADKTGAGNRGSRGIIAALGPDGKAARIVVIYLTATPASMDERNKQIAAIGETLVRHWSKDDKRP ->ARGMiner~~~OKP-B-5~~~WP_063860932.1~~~cephalosporin;penam unknown +>ARGMiner~~~OKP-B-5~~~WP_063860932.1~~~cephalosporin;penam~~~unknown MRQYRLALLPLLAALALPGWAHEATVTTVKQAESQLQGRVGYAELDLASGQLLSGYRAGERFPMMSTFKVLLCGAVLSRVDTGEEQLDRRIHYRQQDLVEYSPVTEKHLADGLTVGELCAAAITLSDNTAANLLLTTLGGPQGLTTFLRHSGDQTSRLDRWETELNEARPGDVRDTTTPEAMARTLRNLLTGRVLSPASQQQLQRWMVEDKVAGPLLRSALPAGWFIADKTGAGNRGSRGIIAALGPDGKAARIVVIYLTATPASMDERNKQIAAIGETLVRHWSKDDKRP ->ARGMiner~~~NDM-1~~~WP_063860859.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~NDM-1~~~WP_063860859.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGMVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTAHMADKLR ->ARGMiner~~~NDM-6~~~WP_063860858.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~NDM-6~~~WP_063860858.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGLVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASVRAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~NDM-4~~~WP_063860854.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~NDM-4~~~WP_063860854.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MELPNIMHPVAKLSTALAAALMLSGCMPGEIRPTIGQQMETGDQRFGDLVFRQLAPNVWQHTSYLDMPGFGAVASNGLIVRDGGRVLVVDTAWTDDQTAQILNWIKQEINLPVALAVVTHAHQDKMGGMDALHAAGIATYANALSNQLAPQEGVVAAQHSLTFAANGWVEPATAPNFGPLKVFYPGPGHTSDNITVGIDGTDIAFGGCLIKDSKAKSLGNLGDADTEHYAASARAFGAAFPKASMIVMSHSAPDSRAAITHTARMADKLR ->ARGMiner~~~CAU-1 beta-lactamase~~~WP_063860835.1~~~carbapenem;penam unknown +>ARGMiner~~~CAU-1 beta-lactamase~~~WP_063860835.1~~~carbapenem;penam~~~unknown MKRLILAAAASLLALASAAHADDMPANWTKPTKPYRVVGNIYYVGTEGISSWLITSSEGHVVLHGGPNAETGKLVERNITALGFQLADLKILINTHAHYDHAGGLAQLKADTGAKLWISRDDAPAMAAGHHIGDNIYGPTPMPAAKPDRSFGDQTKLKLGEIAMVAHLTPGHTIGCTSWTTAVVEKGRPLTVTFPCSLSVAGNVLVGNKTHRTIVADYRASFAKLRAIPTDVMLPAHEEQGNLLAKRQKQLRGDPNAFVDPGELARFVDASEAAFNKELARQQAAGPKP ->ARGMiner~~~AER-1~~~WP_063860834.1~~~penam unknown +>ARGMiner~~~AER-1~~~WP_063860834.1~~~penam~~~unknown MRNEEVISMWQRMKWGLCVLALSGSAMAAPLTAQYVSAIATQEEQRLHARIGIAVLDTATNSITHYRGEERFPLNSTHKPLLCAALLREVDRKALALSASTQFEPSQLVEYSPITEKHVAPDAMNWAQLCSAAVSYSDNTAANLIARKLNGPQAVTQFLRDSGDTITRLDRYEPELNSAIPGDERDSTTPVAIAKTLNTLLLGNVLQPSSREQLMQWMRDDKVADGLLRSVLPDGWKIADKTGAGDNGSRSIVSVVWPTSQKPLLVVIYITQTPATMAQRDAAIVRIGESLFSTLAVYD ->ARGMiner~~~AER-1~~~WP_063860833.1~~~penam unknown +>ARGMiner~~~AER-1~~~WP_063860833.1~~~penam~~~unknown MRNEEVISMWQRMKWGLCVLAALSGSAMAAPLTAQYVSTIATQEEQRLHARIGIAVLDTATNSITHYRGEERFPLNSTHKPLLCAALLREVDRKALALSASTQFESSQLVEYSPITEKHVAPDAMSWAQLCSAAVSYSDNTAANLIARKLNGPQAVTQFLRDSGDTITRLDRYEPELNSAIPGDERDSTTPVAIAQTLNTLLLGNVLQPSSREQLMQWMRDDKVADGLLRSVLPDGWKIADKTGAGDNGSRSIVSVVWPTSQKPLLVVIYITQTPATMAQRDAAIVRIGESLFSTLAVYD ->ARGMiner~~~KPC-1~~~WP_063860729.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-1~~~WP_063860729.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRPLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~KPC-1~~~WP_063860640.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-1~~~WP_063860640.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLILLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~IMP-22~~~WP_063860618.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-22~~~WP_063860618.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCVFLFCSITAAGESLPDLKIEKLEEGVYVHTSFEEVNGWGVFSKHGLVILVNTDAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNDLLKQNGKVQAKNSFSGVSYWLVKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPYGLGNLDDANVVAWPHSAEILMSRYGNAKLVVPSHSDIGDASLLKLTWEQAVKGLKESKKPSEPSN ->ARGMiner~~~IMP-18~~~WP_063860617.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-18~~~WP_063860617.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCVFFLCNIAAADDSLPDLKIEKLEKGVYVHTSFEEVKGWGVVTKHGLVVLVKNDAYLIDTPITAKDTEKLVNWFIEHGYRIKGSISTHFHGDSTAGIEWLNSQSISTYASELTNELLKKDNKVQATNSFSGVSYSLIKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGNLGDANLEAWPKSAKILMSKYGKAKLVVSGHSEIGNASLLQRTWEQAVKGLNESKKPLQPSS ->ARGMiner~~~IMP-30~~~WP_063860616.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-30~~~WP_063860616.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MSKLSVFFIFLICSIATAAESLPDLKIEKLEEGVYVHTSFKEVNGWGVVPKHGLVVLVNAEAYLIDTPFTAKDTEKLVTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKIFFGGCFIKPYGLGKLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~IMP-14~~~WP_063860615.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-14~~~WP_063860615.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCVFFFCNIAVAEESLPDLKIEKLEEGVYVHTSFEEVKGWSVVTKHGLVVLVKNDAYLIDTPVTAKDTEKLVNWFVERGYKIKGSISTHFHGDSTAGIEWLNSQSIPTYASELTNELLKKDNKVQAKHSFNGVSYSLIKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGYLGDANLEAWPKSAKILMSKYGKAKLVVSSHSDIGDVSLLKRTWEQAVKGLNESKKSSQPSD ->ARGMiner~~~IMP-45~~~WP_063860614.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-45~~~WP_063860614.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MSKLFVFFMFLFCSITAAGESLPDLKIEKLDEGVYVHTSFEEVNGWGVIPKHGLVVLVNTDAYLIDTPFTAKDTENLVNWFVERGYRIKGSISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKYSFSGVSYWLVKKKIEVFYPGSGHAPDNVVVWLPENRVLFGGCFVKPYGLGNLGDANLEAWPKSAKLLMSKYSKAKLVVPGHSDIGDSSLLKLTWEQTVKGFNESKKSTTAH ->ARGMiner~~~IMP-1~~~WP_063860613.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-1~~~WP_063860613.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MSKLSVFFIFLFCSIATAAESLPDLKIEKLDEGVYVHTSFEEVNGWGVVPKHGLVVLVNAEAYIIDTPFTAKDTEKLGTWFVERGYKIKGSISSHFHSDSTGGIEWLNSRSIPTYASELTNELLKKDGKVQATNSFSGVNYWLVKNKIEVFYPGPGHTPDNVVVWLPERKILFGGCFIKPYGLGNLGDANIEAWPKSAKLLKSKYGKAKLVVPSHSEVGDASLLKLTLEQAVKGLNESKKPSKPSN ->ARGMiner~~~IMP-18~~~WP_063860610.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-18~~~WP_063860610.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCVFFLCNIAAADDSLPDLKIEKLEKGVYVHTSFEEVKGWGVFTKHGLVVLVKNDAYLIDTPITAKDTEKLVNWFIEHGYRIKGSISTHFHGDSTAGIEWLNSQSISTYASELTNELLKKDNKVQATNSFSGVSYSLIKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGNLGDANLEAWPKSAKILMSKYGKAKLVVSSHSEIGNASLLQRTWEQAVKGLNESKKPLQPSS ->ARGMiner~~~NmcA beta-lactamase~~~WP_063860572.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~NmcA beta-lactamase~~~WP_063860572.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MSINAKKSKAAVFLSYFLIPISFYSQADTNAMDEIKKLETGFGGRVGVYALDTGSGKSFSYRANERFPLCSSFKGFLAAAVLKGSQDNQLNINEIVNYNKRSLEPHSPITQKYKENGMSLGDMAAAALQYSDNGAANIILERYIGGPEGMTNFMRSIGDEDFRLDRWELDLNTAIPGDERDTSTPAAVGKSLKNLALGNILNDHEKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGTCGAYGTANDYAVVWPKNRAPLIISVYTTKSEKEAKHDEKVIEEASRIAITHLK ->ARGMiner~~~IMI-3~~~WP_063860570.1~~~carbapenem unknown +>ARGMiner~~~IMI-3~~~WP_063860570.1~~~carbapenem~~~unknown MSLNVKPSRIAILFISCLFSISFFSQANTKGIDEIKNLETDFNGRVGVYALDTGSGKSFSYKANERFPLCSSFKGFLAAAVLKGSQDNQLNLNQIVNYNTRSLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDEDFRLDRWELDLNTAIPGDERDTSTPAAVAKSLKTLALGNILSEREKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKNEKEAKHEDKVIAEASRIAIDNLK ->ARGMiner~~~HERA-1~~~WP_063860567.1~~~penam unknown +>ARGMiner~~~HERA-1~~~WP_063860567.1~~~penam~~~unknown MKKITPLFAIAFLTLIALLAPAQASVTPDMTDFLRQQEQRLHARIGMAVVNAQGETVFGYRQDERFPLTSTFKTLACAALLERLQKNGGSLDEQVTIPPDALLDYAPVTKNYLAPATISLRMLCAAAVSYSDNTAGNRILTYLGGPDAVTQFMRGIGDPVTRLDRTEPTLNEATPGDARDTSSPQKMAAGLQKILTAPPLTPANRAVLAQWMRDDKVGDALLRAALPKGWAIADKTGAGGYGSRAIIAAVYPPERPPFYVAIFITQTEASMKMANEAIPAIGKQLFAGQP ->ARGMiner~~~HERA-1~~~WP_063860566.1~~~penam unknown +>ARGMiner~~~HERA-1~~~WP_063860566.1~~~penam~~~unknown MKKITPLFAIAFLTLIALLAPAQASVTPDMTDFLRQQEQRLHARIGMAVVNAQGETVFGYRQDERFPLTSTFKTLACAALLERLQKNGGSLDEQVTIPPDALLDYAPVTKNYLAPATISLRMLCAAAVSYSDNTAGNRILTYLGGPDAVTQFMRGIGDHVTRLDRTEPTLNEATPGDARDTSSPQKMAAGLQKILTAPPLTPANRATLAQWMRDDKVGDALLRAALPKGWAIADKTGAGGYGSRAIIAAVYPPERPPFYVAIFITQTEASMKMANEAIAAIGKQLFAGQP ->ARGMiner~~~HERA-1~~~WP_063860565.1~~~penam unknown +>ARGMiner~~~HERA-1~~~WP_063860565.1~~~penam~~~unknown MKKITPLFAIAFLTLIALLAPAQASVTPDMTDFLRQQEQRLHARIGMAVVNAQGETVFGYRQDERFPLTSTFKTLACAALLERLQKNGGSLDEQVTIPPDALLDYAPVTKNYLAPATISLRMLCAAAVSYSDNTAGNRILTYLGGPDAVTQFMRGIGEHVTRLDRTEPTLNEATPGDARDTSSPQKMAAGLQKILTAPPLTPANRATLAQWMRDDKVGDALLRAALPKGWAIADKTGAGGYGSRAIIAAVYPPERPPFYVAIFITQTEASMKMANETIAEIGKQLFAGQP ->ARGMiner~~~HERA-1~~~WP_063860564.1~~~penam unknown +>ARGMiner~~~HERA-1~~~WP_063860564.1~~~penam~~~unknown MKKITPLFVIAFLTLIALLAPAQASVTPDMTDFLRQQEQRLHARIGMAVVNAQGETVFGYRQDERFPLTSTFKTLACAALLERLQKNGGSLDEQVTIPPDALLDYAPVTKNYLAPATISLRMLCAAAVSYSDNTAGNRILTYLAGPDAVTQFMRGIGDHVTRLDRTEPTLNEATPGDARDTSSPQKMAAGLQKILTSPPLISANRATLAQWMRDDKVGDALLRAALPKGWAIADKTGAGGYGSRAIIAAVYPPERPPFYVAIFITQTEASMKMANETTAEIGKQLFAGQP ->ARGMiner~~~HERA-1~~~WP_063860563.1~~~penam unknown +>ARGMiner~~~HERA-1~~~WP_063860563.1~~~penam~~~unknown MKKITPLFAIAFLTLIALLAPAQASVTPDMTDFLRQQEQRLHARIGMAVVNAQGETVFGYRQDERFPLTSTFKTLACAALLERLQKNGGSLDEQVTIPPDALLDYAPVTKNYLAPATISLRMLCAAAVSYSDNTAGNRILTYLGGPDAVTQFMRGIGDHVTRVDRTEPTLNEATPGDARDTSSPQKMAAGLQKILTAPPLTPANRAVLAQWMRDDKVGDALLRAALPKGWAIADKTGAGGYGSRAIIAAVYPPERPPFYVAIFITQTEASMKMANETIAEIGKQLFAGQP ->ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860561.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860561.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFVILFFMFICLGLNAQVVKEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLPIIKANIQKLGFNYKDIKILLLTQAHYDHTGALQDLKTETGAKFYADKEDADVLRTGGKSDYEMGKYGVTFKPVTPDKTLKDQDKITLGNTILTLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSVIVDKKFSEVTAYPNIQSDYAYTFKAMKNLDFDIWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLNDLEKSYLNKRKKDSQDK ->ARGMiner~~~GES-7~~~WP_063860517.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-7~~~WP_063860517.1~~~carbapenem;cephalosporin;penam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAEIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVKWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~GES-5~~~WP_063860516.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-5~~~WP_063860516.1~~~carbapenem;cephalosporin;penam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLECEKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~GES-15~~~WP_063860514.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-15~~~WP_063860514.1~~~carbapenem;cephalosporin;penam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEAEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~GES-5~~~WP_063860513.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-5~~~WP_063860513.1~~~carbapenem;cephalosporin;penam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRNTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~GES-15~~~WP_063860512.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-15~~~WP_063860512.1~~~carbapenem;cephalosporin;penam~~~unknown MRFIHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEQEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~GES-5~~~WP_063860510.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-5~~~WP_063860510.1~~~carbapenem;cephalosporin;penam~~~unknown MRFIHALLLAGIAHSAHASEKLTFKTDLERLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSTDK ->ARGMiner~~~SME-2~~~WP_063860498.1~~~carbapenem unknown +>ARGMiner~~~SME-2~~~WP_063860498.1~~~carbapenem~~~unknown MFFFKKGASTFIFLLCLPLNSFASQVINSVEEMRELETSFGGRIGVYILNPKNGKEFAYRQDERFPLCSSFKAFLAASVLKRTQEKSVSLDDMVEYSGRVMEKHSPVSEKYRKTGASVQTLAKAAIQYSDNGASNLLMERYIGGPEGLTAFMRSTGDTDFRLDRWELELNTAIPGDERDTSTPKAVAMSLKNIAFGSVLDAKNKSLLQEWLKGNTTGNARIRAAVPDKWVVGDKTGTCGFYGTANDVAILWTDANSPAVMAVYTTRPNQNDKHDEAVIKNAAKIAIKAVYGSYK ->ARGMiner~~~FOX-5~~~WP_063860496.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-5~~~WP_063860496.1~~~cephalosporin;cephamycin~~~unknown MQQRRAFALLTLGSLLLAPCTYAGGEAPLTAAVDGIIQPMLKEYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVNEQTLFEIGSVSKTLTATLGAYAAVKGGFELDDKVSQHGPWLKGSALDGVTMAELATYSAGGLPLQFPDEVDSNDKMRTYYQSWSPVYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMVNYAYGYSKEDKPVRVTPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAAVKSAIALTHTGFYSVGEMTQGLGWESYAYPVTEQTLLAGNSPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLNE ->ARGMiner~~~FOX-7~~~WP_063860495.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-7~~~WP_063860495.1~~~cephalosporin;cephamycin~~~unknown MQQRRAFALLTLGSLLLAPCTYARGEAPLTAAVDGIIQPMLKEYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFELDDKVSQHAPWLKGSAFDGVTMAELATYSAGGLPLQFPDEVDSNDKMRTYYRHWSPVYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAIANYAYGYSKEDKPVRVTPGVLAAEAYGIKTGSADLLKFTEANMGYQGDAALKSAIALTHTGFYSVGDMTQGLGWESYAYPLTEQALLAGNSSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~CTX-M-61~~~WP_063860493.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-61~~~WP_063860493.1~~~cephalosporin~~~unknown MVKNTLRQTTLMVATVMPLLFGSAPLWAQTANAKANIQQQLSELEKSSGGRLGVALIDTADNSQILYRADERFPMCSTSKVMAVSALLKQSETDKNLLAKRMEIKQSDLVNYNPIAEKHLDTGMTLAEFSAATIQYSDNTAMNKILEHLGGPAKVTEFARTIGDKTFRLDRTEPTLNTAIPGDKRDTTSPLAMAKSLQNLTLGKALGEPQRAQLVEWMKGNTTGGASIRAGLPTTWVVGDKTGSGDYGTTNDIAVIWPANHAPLVLVTYFTQPQQNAEARKDVLAAAAKIVTEGL ->ARGMiner~~~CTX-M-2~~~WP_063860492.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-2~~~WP_063860492.1~~~cephalosporin~~~unknown MVRNTLRQTTLMVATVMPLLFGSAPLWAQPANAKANIQQQLSELEKNSGGRLGVALIDTADNSQILYRGDERFPMCSTSKVMAVSALLKQSETDKNLLAKRMEIKQSDLVNYNPIAEKHLDTGMTLAEFSAATIQYSDNTAMNKILEHLGGPAKVTEFARTIGDKTFRLDRTEPTLNTAIPGDKRDTTSPQAMAISLQNLTLGKALAEPQRAQLVEWMKGNTTGGASIRAGLPTTWVVGDKTGSGDYGTTNDIAVIWPANHAPLVLVTYFTQPQQNAEARKDVLAAAAKIVTEGL ->ARGMiner~~~CTX-M-95~~~WP_063860491.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-95~~~WP_063860491.1~~~cephalosporin~~~unknown MVKNTLRKTTLMVATVMPLLFGSAPLWAQTANAKANIQQQLSELEKSSGGRLGVALIDTADNSQILYRGDERFPMCSTSKVMAVSALLKQSETDKNLLAKRMEIKQSDLVNYNPIAEKHLDTGMTLAEFSAATIQYSDNTAMNKILEHLGGPAKVTEFARTIGDKTFRLDRTEPTLNTAIPGDERDTSSPLAMAKSLQNLTLGKALGEPQRAQLVEWMKGNTTGGASIRAGLPTTWIVGDKTGSGDYGTTNDIAVIWPANHAPLVLVTYFTQPQQNAEARKDVLAAAAKIVTEGL ->ARGMiner~~~CTX-M-61~~~WP_063860490.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-61~~~WP_063860490.1~~~cephalosporin~~~unknown MVKNILRKTTLMVTTVMPLLFGSAPLWAQTANAKANIQQQLSELEKNSGGRLGVALIDTADNSQILYRGDERFPMCSTSKVMAVSALLKQSETDKNLLAKRMEIKQSDLVNYNPIAEKHLDTGMTLAEFSAATIQYSDNTAMNKILEHLGGPAKVTEFARTIGDKTFRLDRTEPTLNTAIPGDKRDTTSPLAMAKSLQNLTLGKALGEPQRAQLVEWMKGNTTGGASIRAGLPTTWVVGDKTGSGDYGTTNDIAVIWPANHAPLVLVTYFTQPQQNAEARKDVLAAAAKIVTEGL ->ARGMiner~~~CTX-M-95~~~WP_063860489.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-95~~~WP_063860489.1~~~cephalosporin~~~unknown MVKNTLRKTTLMVATVMPLLFSSAPLWAQTANAKANIQQQLSELEKNSGGRLGVALIDTADNSQILYRGDERFPMCSTSKVMAVSALLKQSETDKNLLAKRMEIKQSDLVNYNPIAEKHLDTGMTLAEFSAATIQYSDNTAMNKILEHLGGPAKVTEFARTIGDKTFRLDRTEPTLNTAIPGDPRDTSSPLAMAKSLQNLTLGKALGEPQRAQLVEWMKGNTTGGASIRAGLPTTWIVGDKTGSGDYGTTNDIAVIWPANHAPLVLVTYFTQPQQNAEARKDVLAAAAKIVTEGL ->ARGMiner~~~Escherichia coli ampC~~~WP_063860454.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_063860454.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDDVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~Escherichia coli ampC~~~WP_063860263.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_063860263.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPLNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARFAAAWQILNALQ ->ARGMiner~~~Escherichia coli ampC~~~WP_063860256.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_063860256.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPTWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPPEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAAHPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARFAAAWQILNALQ ->ARGMiner~~~Escherichia coli ampC~~~WP_063860248.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_063860248.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPPEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGCGNKIALAAHPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~Escherichia coli ampC~~~WP_063860233.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_063860233.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPPEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAAHPLKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~Escherichia coli ampC~~~WP_063860228.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_063860228.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPPEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGNGNKIALAAHPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~DHA-1~~~WP_063860114.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~DHA-1~~~WP_063860114.1~~~cephalosporin;cephamycin~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGAATGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~DHA-6~~~WP_063860108.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~DHA-6~~~WP_063860108.1~~~cephalosporin;cephamycin~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSSSKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~LRA-1~~~WP_063860095.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~WP_063860095.1~~~cephalosporin;penam~~~unknown MHSRSSYSRRYVLAGLCALPFASLSAGLIFNSSADAASLAAINGKTLQKKLAELEAASGGRLGVAARSSNGGKSLSYRGDERFPMCSTFKVLAVAALLRDKPGILEQRIHFAQIDIQPWSPVTEKHLEDGMTVAELCAAMLQHSDNTAANLVLAKLGGPQGLTSLARSFGDTTFRLDRWEVELNTAIPGDARDTTTPLAMCNTLNGLLCGNLLKAPARERLTGWMLGCATGAGRIPAGIPQGWRSAHKSGSGENGTANDVGVLLPPSNPGKATKPGKNKGKPLTVALYLTGSRLTGPENDKILASATRLVCAAEGLAMPLDNMY ->ARGMiner~~~CTX-M-2~~~WP_063860093.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-2~~~WP_063860093.1~~~cephalosporin~~~unknown MMTQSIGRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~CTX-M-15~~~WP_063860036.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_063860036.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQRLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-15~~~WP_063860035.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_063860035.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDHVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-15~~~WP_063860034.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_063860034.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTSAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-55~~~WP_063860025.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-55~~~WP_063860025.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQTQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-3~~~WP_063860019.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~WP_063860019.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARLLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-15~~~WP_063860014.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_063860014.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFAQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-1~~~WP_063860009.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-1~~~WP_063860009.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLTELSAAALQYSDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~CTX-M-27~~~WP_063860005.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-27~~~WP_063860005.1~~~cephalosporin~~~unknown MVTKRVLRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CTX-M-15~~~WP_063859998.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_063859998.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTTFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-15~~~WP_063859984.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_063859984.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGNSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-15~~~WP_063859976.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_063859976.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPSLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-19~~~WP_063859975.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-19~~~WP_063859975.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEHTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CTX-M-71~~~WP_063859974.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-71~~~WP_063859974.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSCDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-55~~~WP_063859972.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-55~~~WP_063859972.1~~~cephalosporin~~~unknown MVKKSLRKFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-15~~~WP_063859971.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_063859971.1~~~cephalosporin~~~unknown MVKKSLSQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-3~~~WP_063859970.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~WP_063859970.1~~~cephalosporin~~~unknown MVKKSLSQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-27~~~WP_063859966.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-27~~~WP_063859966.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAKLSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGGYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CTX-M-15~~~WP_063859959.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_063859959.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGHTHGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~TLA-2~~~WP_063859920.1~~~fluoroquinolone;monobactam;cephalosporin unknown +>ARGMiner~~~TLA-2~~~WP_063859920.1~~~fluoroquinolone;monobactam;cephalosporin~~~unknown MKKLTQMKKLTQMKKYFSFCLLGIFIFCFSQQNLKRDITKIIQGKNALVAVSVMNSKGKTEVNINGNKKVPMLSVFKFHIALAVLDLVDRGILDLEQNIFVKKSELLENTWSPIRDKYPNGNVNIPLREIIEHTVSQSDNNGCDILLRLIGGVDTVQKFIESKGIKDFAIKYNEEEMNKNGKSIYSNYTTANASSRLLQKFYNGEIISESSRDFLFRIMYETSTGADRLISLLPPDVIVAHKTGTSGIVSGIQAATNDVGIIILPDDEYYTISVFVINSKENTSTNEKIIADISKTVWDYYFQNK ->ARGMiner~~~CMY-48~~~WP_063859918.1~~~cephamycin unknown +>ARGMiner~~~CMY-48~~~WP_063859918.1~~~cephamycin~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTEKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALVVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~CMY-64~~~WP_063859917.1~~~cephamycin unknown +>ARGMiner~~~CMY-64~~~WP_063859917.1~~~cephamycin~~~unknown MMKKSLCCALLLTASLSTFAAAKTEPQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADITNNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKAVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNLVRVEAAWRILEKLQ ->ARGMiner~~~CMY-8~~~WP_063859897.1~~~cephamycin unknown +>ARGMiner~~~CMY-8~~~WP_063859897.1~~~cephamycin~~~unknown MQQRQSILWGAVATLMWAGLAHAGEASPVDPLRPVVDASIQPLLKEHRIPGMAVAVLKDGKAHYFNYGVANRESGASVSEQTLFEIGSVSKTLTATLGAYAVVKGAMQLDDKASRHAPWLKGSAFDSITMGELATYSAGGLPLQFPEEVDSSEKMRAYYRQWAPVYSPGSHRQYSNPSIGLFGHLAASSLKQPFAQLMEQTLLPGLGMHHTYVNVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANIGGVDDKALQQAISLTHKGHYSVGGMTQGLGWESYAYPVTEQTLLAGNSAKVILEANPTAAPRESGSQVLFNKTGSTNGFGAYVAFVPARGIGIVMLANRNYPIPARVKAAHAILAQLAG ->ARGMiner~~~CMY-42~~~WP_063859815.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-42~~~WP_063859815.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLTHTWITVPQNEQKDYAWGYREGKPVHSSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPALKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-4~~~WP_063859814.1~~~cephamycin unknown +>ARGMiner~~~CMY-4~~~WP_063859814.1~~~cephamycin~~~unknown MMKKSLYCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYARGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-48~~~WP_063859809.1~~~cephamycin unknown +>ARGMiner~~~CMY-48~~~WP_063859809.1~~~cephamycin~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANNHLVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDITDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~CMY-2~~~WP_063859802.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-2~~~WP_063859802.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRFYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-2~~~WP_063859798.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-2~~~WP_063859798.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGNDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-2~~~WP_063859793.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-2~~~WP_063859793.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKKLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-7~~~WP_063859788.1~~~cephamycin unknown +>ARGMiner~~~CMY-7~~~WP_063859788.1~~~cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGELAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPRQLGAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-2~~~WP_063859784.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-2~~~WP_063859784.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQELAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-77~~~WP_063859777.1~~~cephamycin unknown +>ARGMiner~~~CMY-77~~~WP_063859777.1~~~cephamycin~~~unknown MMKKSLCCALLLTAPFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADITNNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKAVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDANRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-113~~~WP_063859772.1~~~cephamycin unknown +>ARGMiner~~~CMY-113~~~WP_063859772.1~~~cephamycin~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQERAIPGMAVAIIYEGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKTELLRFYQNWQPQWTPGAKRLYANSSIGLFGALVVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASLVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~CMY-77~~~WP_063859770.1~~~cephamycin unknown +>ARGMiner~~~CMY-77~~~WP_063859770.1~~~cephamycin~~~unknown MMKKSLCCALLLTAPLSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAIAVIYQGKPYYFTWGKADITNNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYRPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWAPGAKRLYANSSIGLFGALAVNPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKAVHVSPGQLDAEAYGVKSSVIDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-34~~~WP_063859768.1~~~cephamycin unknown +>ARGMiner~~~CMY-34~~~WP_063859768.1~~~cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARSEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIISGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-49~~~WP_063859763.1~~~cephamycin unknown +>ARGMiner~~~CMY-49~~~WP_063859763.1~~~cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVTPGQLDAEAYGVKSNVTDMARWIQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALHTVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-13~~~WP_063859757.1~~~cephamycin unknown +>ARGMiner~~~CMY-13~~~WP_063859757.1~~~cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHSVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTKRVLQPLKLAHTWITIPQNEQKDYAWGYREWKPVHVTPGQLDAEAYGVKSSVTDMARWVQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-27~~~WP_063859750.1~~~cephamycin unknown +>ARGMiner~~~CMY-27~~~WP_063859750.1~~~cephamycin~~~unknown MKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYASGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CMY-13~~~WP_063859654.1~~~cephamycin unknown +>ARGMiner~~~CMY-13~~~WP_063859654.1~~~cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGISLLHLATYTAGGLPLQIPDDVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVTPGQLDAEAYGVKSNVTDMARWIQVNMDASRVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPTPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~MIR-10~~~WP_063859588.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-10~~~WP_063859588.1~~~monobactam;cephalosporin~~~unknown MMTKSLSCALLLSVACSAFAAPMSEKQLADVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSVSKTFTGVLGGDAIARKEISLADPVTKYWPELTGKQWQGIRLLDLATYTAGGLPLQVPDAVTDNASLLRFYQSWQPKWAPGTTRLYANTSIGLFGSLAVKPSGMRFEEAMTERVFKPLKLNHTWINVPHAEESHYAWGYREGKAVHVSPGMLDAEAYGVKSNVKDMASWVMANMAPETLPQSTLQQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVDGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPVRVETAYRILDALQ ->ARGMiner~~~MIR-10~~~WP_063859580.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-10~~~WP_063859580.1~~~monobactam;cephalosporin~~~unknown MMTKSLSCALLLSVACSAFAAPMSEKQLADVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSVSKTFTGVLGGDAIARKEISLADPVTKYWPELTGKQWQGIRLLDLATYTAGGLPLQVPDDVTDNASLLRFYQSWQPKWAPGTTRLYANTSIGLFGSLAVKPSGMCFEQAMAERVFKPLKLNHTWINVPHAEEPHYAWGYREGKAVHVSPGMLDAEAYGVKSNVKDMASWVMANMAPETLPQSTLQQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVDGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPVRVETAYRILDALQ ->ARGMiner~~~TLA-1~~~WP_063859570.1~~~fluoroquinolone;monobactam;cephalosporin unknown +>ARGMiner~~~TLA-1~~~WP_063859570.1~~~fluoroquinolone;monobactam;cephalosporin~~~unknown MKKIILLFILTSQLVLAQHTSILNDINAVTKDKKATVAVSVLGIENDFQFSNANGNLKMPMLSVFKFHIALAVLNQVDKGNLTLDQKILIKKSDLLENTWSPLREKYPDGNVELPLSEIITYTVAQSDNNGCDILLRLIGGTKTVQKLMDVNGVKNFQIKYNEEEMHKNDVKTLYANYTTTASMVKTLKAFYKGMFLSKRSTIFLMDIMTKTNTGMSKLPGLLPKVRMARKTGSSGKMKNGLTIAENDSGIVTLANGKHYAIAVFVKDSMEGEEVNCGIIAQVSKIVWDALNKKNKP ->ARGMiner~~~AER-1~~~WP_063859542.1~~~penam unknown +>ARGMiner~~~AER-1~~~WP_063859542.1~~~penam~~~unknown MRNEEVISMWQRMKWGLCVLAALSGSAMAAPLTAQYVSAIAMQEEQRLHARIGIAVLDTATNSITHYRGEERFPLNSTHKPLLCAALLREVDRKALALSASMQFEPSQLVEYSPITEKHVAPDAMSWAQLCSAAVSYSDNTAANLIARKLNGPQAVTQFLRDSGDTITRLDRYEPELNSAIPGDERDSTTPVAIAQTLNTLLLGNVLQPSSREQLMQWMRDDKVADGLLRSVLPDGWKIADKTGAGDNGSRSIVSVVWPTSQKPLLVVIYITQTPATMAQRDAAIVRIGESLFSTLAVYD ->ARGMiner~~~TLA-1~~~WP_063859478.1~~~fluoroquinolone;monobactam;cephalosporin unknown +>ARGMiner~~~TLA-1~~~WP_063859478.1~~~fluoroquinolone;monobactam;cephalosporin~~~unknown MKKITFLLLMVSAFATAQKSVLDEKISAVIKDKKATVGVSVLGFENAFKYSKNGDEKLPLLSVFKFHLACAVLDMADKGKFSTYQKFLIKKSDLLENTWSPLREKFPEGNIELSLGEIITYTVAQSDNNTCDFLLRLIGGPQVVQHFMDSKGAKDLQIKYNEDDMHRDWKNQYGNESSTNATVSLLKKFYDGKLLTKKSTDFLMQIMLATTTGTNKIVEQLPKGTPVAHKTGSSGKPDNILTVAENDMGIITLPNGKHYAIAVFVSNSTETEKVNTRMVSDISKIVWDNFNK ->ARGMiner~~~TLA-1~~~WP_063859465.1~~~fluoroquinolone;monobactam;cephalosporin unknown +>ARGMiner~~~TLA-1~~~WP_063859465.1~~~fluoroquinolone;monobactam;cephalosporin~~~unknown MKKITFLILMVSAFATAQKSVLDEKISAVIKDKKATVGVSVLGFENAFKYSKNGDEKLPLLSVFKFHLACAVLDMADKGKFSTDQKFLIKKSDLLENTWSPLREKFPEGNIELSLGEIITYTVAQSDNNTCDFLLRLIGGPQVVQHFMDSKGAKDLQIKYNEDDMHRDWKNQYGNESSTNATVSLLKKFYDGKLLTKKSTDFLMQIMLGTTTGTNKIVEQLPKGTPVAHKTGSSGKPDNILAVAENDMGIITLPNGKHYAIAVFVSNSTETEKVNTRMVSDISKIVWDNFNK ->ARGMiner~~~CARB-8~~~WP_063857834.1~~~penam unknown +>ARGMiner~~~CARB-8~~~WP_063857834.1~~~penam~~~unknown MTITFSRRQAIAGALLAVPAVSTLAASAGALLAVPAVSTLAASAGAATGGPLEKRLAELEGRHKGRIGVAIHNLATGARIGHRADERFLMCSTFKALLAAHILARVDRKEETLDRRIVVGKSDLVDWSPVVETRVGGEGISIAELCEAAITLSDNAAANLLLSASGGPKAVTQFLRGFGDDVTRLDRTEPTLNYRETPDDERDTTTPAAMAETLRKLIIGDVLARGSKAQLAAWLVMNKTGDTRLRAGFPVDWTTGDKTGTNGDRHGNANDVAIAWSPDRGAVVVTAFCEIPGISGDERNAVIAEIGRIAAEA ->ARGMiner~~~KPC-16~~~WP_063857833.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-16~~~WP_063857833.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MARPSKLALSFSLLLPFLPFTSFAETWPQGDIARQKIVKLEKDFGGRIGVSAIDTGANRTFDFRADERFPLCSSFKGFLAGAVLSHSQQQEGLLEKRIDYKNRVMEPHSPISAQHSSTGMTVAQLAAAALQYSDNGATNLLLENVLGGPAGMTTFMRTLGDTTFRLDRWELELNSAIPGDDRDTSTPHAIARSLQKIALGEALQTAPRQQLVDWLIGNTTGGARIRAGVPVEWVVGDKTGTCGVYGTANDYAVIWPKTSAPIVLAIYTAKPNKEDKHSDAVIAEVTRAVLESFE ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857829.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857829.1~~~carbapenem;penam~~~unknown MKGLKGLLVLALGFTGLQVFGQQNPDIKIEKLKDNLYVYTTYNTFKGTKYAANAVYMVTDKGIVVIDSPWGEDKFKSFTDEIYKKHGKKVIMNIATHSHDDRAGGLEYFGKLGAKTYSTKMTDSILAKENKPRAKYTFDNNKSFKVGKTEFQVYYPGKGHTADNVVVWFPKDKVLVGGCIVKSGDSKDLGFIGEAYVNDWTQSIHNIQQKFPDVQYVVAGHDDWKDQTSIQHTLDLISEYQQKQKASN ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857828.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857828.1~~~carbapenem;penam~~~unknown MLKRLKGLLVLALGFTGLQVFGQQNPDIKIEKLKDNLYVYTTYNTFKGTKYAANAVYMVTDKGVVVIDSPWGEDKFKSFTDEIYKKHGKKVIMNIATHSHDDRAGGLEYFGKLGAKTYSTKMTDSILAKENKPRAKYTFDNNKSFKVGNTEFQVYYPGKGHTADNVVVWFPKDKVLVGGCIVKSGDSKDLGYIGEAYVNDWTQSIHNIQQKFPDVQYVVAGHDDWKDQTSIQHTLDLISDYQQKQKASN ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857827.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857827.1~~~carbapenem;penam~~~unknown MMKKMKWALVLALGLTGLNAFGQETPEVKIEKLKDNLYVYTTYNTFNGTKYAANAVYLVTSKGVVVIDSPWGEEKFKNFTDEIYKRHGKKVIMNIATHSHDDRAGGLEYFKSLGAKTYSTKMTDSILAKDNKPRAQYTFDNNKSFKVGKDEFQVYYPGKGHTADHVVVWFPKDKVLVGGCIIKSGDSKDLGFLGEAYVNDWTQSVHNIQKKFPNVQYVVAGHDDWKDQTAIQHTLDLISEYQQKQKASN ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857826.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857826.1~~~carbapenem;penam~~~unknown MLKKIKISLILALGLTSLQAFGQENPDVKIDKLKDNLYVYTTYNTFNGTKYAANAVYLVTDKGVVVIDCPWGEDKFKSFTDEIYKKHGKKVIMNIATHSHDDRAGGLEYFGKIGAKTYSTKMTDSILAKENKPRAQYTFDNNKSFKVGKSEFQVYYPGKGHTADNVVVWFPKEKVLVGGCIIKSADSKDLGYIGEAYVNDWTQSVHNIQQKFSGAQYVVAGHDDWKDQRSIQRTLDLINEYQQKQKASN ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857825.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857825.1~~~carbapenem;penam~~~unknown MMKKMKWALVLALGLTGLNAFGQETPEVKIEKLKDNLYVYTTYNTFNGTKYAANAVYLVTSKGVVVIDSPWGEEKFKNFTDEIYKRHGKKVIMNIATHSHDDRAGGLEYFKSLGVKTYSTKMTDSILAKDNKPRAQYTFDNNKSFKVGKDEFQVYYPGKGHTADNVVVWFPKDKVLVGGCIIKSGDSKNLGFLGEAYVNDWTQSVHNIQKKFPNVQYVVAGHDDWKDQTAIQHTLDLISEYQQKQKASN ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857824.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857824.1~~~carbapenem;penam~~~unknown MMKKMKWALVLALGLTGLNAFGQETPEVKIEKLKDNLYVYTTYNTFNGTKYAANAVYLVTSKGVVVIDSPWGEEKFKNFTDEIYKRHGKKVIMNIATHSHDDRAGGLEYFKSLGVKTYSTKMTDSILAKDNKPRAQYTFDNNKSFKVGKDEFQVYYPGKGHTADNVVVWFPKDKVLVGGCIIKSGDSKDLGFLGEAYVNDWTQSVHNIQKKFPNVQYVVAGHDDWKDQTAIQHTLDLISEYQQKQKASN ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857823.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857823.1~~~carbapenem;penam~~~unknown MLKKIKISLILALGLTSLQAFGQENPDVKIEKLKDNLYVYTTYNTFNGTKYAANAVYLVTDKGVVVIDCPWGEDKFKSFTDEIYKKHGKKVIMNIATHSHDDRAGGLEYFGKIGAKTYSTKMTDSILAKENKPRAQYTFDNNKSFKVGKSEFQVYYPGKGHTADNVVVWFPKEKVLVGGCIIKSADSKDLGYIGEAYVNDWTQSVHNIQQKFSGAQYVVAGHDDWKDQRSIQRTLDLINEYQQKQKASN ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857822.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063857822.1~~~carbapenem;penam~~~unknown MKGLKGLLVLALGFTGLQVFGQQNPDIKIEKLKDNLYVYTTYNTFKGTKYAANAVYMVTDKGVVVIDSPWGEDKFKSFTDEIYKKHGKKVIMNIATHSHDDRAGGLEYFGKLGAKTYSTKMTDSILAKENKPRAKYTFDNNKSFKVGKTEFQVYYPGKGHTADNVVVWFPKDKVLVGGCIVKSGDSKDLGFIGEAYVNDWTQSIHNIQQKFPYVQYVVAGHDDWKDQTSIQHTLDLISEYQQKQKASN ->ARGMiner~~~ADC-2~~~WP_063857815.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_063857815.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFNTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGAYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKIIFPALGLKHSYVNVAKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-56~~~WP_063857814.1~~~cephalosporin unknown +>ARGMiner~~~ADC-56~~~WP_063857814.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYVVLNAIKK ->ARGMiner~~~ADC-2~~~WP_063857812.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_063857812.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTGTAGGYAKNKGKISFDDKPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYSADIQRAINETHQGRYQINTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYVVLNAIKK ->ARGMiner~~~ADC-56~~~WP_063857811.1~~~cephalosporin unknown +>ARGMiner~~~ADC-56~~~WP_063857811.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRINSGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYVVLNAIKK ->ARGMiner~~~ADC-56~~~WP_063857810.1~~~cephalosporin unknown +>ARGMiner~~~ADC-56~~~WP_063857810.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRANPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYVVLNAIKK ->ARGMiner~~~ADC-56~~~WP_063857809.1~~~cephalosporin unknown +>ARGMiner~~~ADC-56~~~WP_063857809.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKIIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYVVLNAIKK ->ARGMiner~~~ADC-25~~~WP_063857808.1~~~cephalosporin unknown +>ARGMiner~~~ADC-25~~~WP_063857808.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANFNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYVVLNAIKK ->ARGMiner~~~ADC-80~~~WP_063857807.1~~~cephalosporin unknown +>ARGMiner~~~ADC-80~~~WP_063857807.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKVLKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYIVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-76~~~WP_063857806.1~~~cephalosporin unknown +>ARGMiner~~~ADC-76~~~WP_063857806.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKVLKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGESRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRANPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-79~~~WP_063857805.1~~~cephalosporin unknown +>ARGMiner~~~ADC-79~~~WP_063857805.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYADNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFRKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSSSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ACT-23~~~WP_063857782.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-23~~~WP_063857782.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLGISCSALAAPVSEKQLAEVVANTVTPLMKAQSIPGMAVAVIYQGKPHYYTFGKADIAASKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNAALLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMGYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGILDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGISLAQSRYWRIGSMYQGLGWEMLNWPVEANTVIEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILDALQ ->ARGMiner~~~ACT-6~~~WP_063857781.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-6~~~WP_063857781.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMTKSLCCALLLSTSCSVLAAPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANNPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWLGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITMRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVKDMANWVMVNMKPDSLQDSSLKEGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~ACT-2~~~WP_063857778.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-2~~~WP_063857778.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMMTKSLCCALLLSTSCSVLAIPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYEGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIVRGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMVNMKPDSLQDNSLRKGLTLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVKPPVPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILSAL ->ARGMiner~~~ACC-4~~~WP_063857708.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~ACC-4~~~WP_063857708.1~~~monobactam;cephalosporin;penam~~~unknown MRKKMQNTLKLLSVITCLAATVQGALAANIDESKIKDTVDDLIQPLMQKNNIPGMSVAVTVNGKNYIYNYGLAAKQPQQPVTENTLFEVGSLSKTFAATLASYAQVSGKLSLDQSVSHYVPELRGSSFDHVSVLNVGTHTSGLQLFMPEDIKNTTQLMAYLKAWKPADAAGTHRVYSNIGTGLLGMIAAKSLGVSYEDAIEKTLLPQLGMHHSYLKVPADQMENYAWGYNKKDEPVHGNMEILGNEAYGIKTTSSDLLRYVQANMGQLKLDANAKMQQALTATHTGYFKSGEITQDLMWEQLPYPVSLPNLLTGNDMAMTKSVATPIVPPLPPQENVWINKTGSTNGFGAYIAFVPAKKMGIVMLANKNYSIDQRVTVAYKILSSLEGNK ->ARGMiner~~~ACC-5~~~WP_063857698.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~ACC-5~~~WP_063857698.1~~~monobactam;cephalosporin;penam~~~unknown MRKKMQNTLKLLSVITCLAATAQGALAANIDESKIKDTVDDLIQPLMQKNNIPGMSVAVTVNGKNYIYNYGLAAKQPQQPVTENTLFEVGSLSKTFAATLASYAQVSGKLSLDQSVSHYVPELRGSSFDHVSVLNVGTHTSGLQLFMPEDIKNTTQLMAYLKAWKPADAAGTHRVYSNIGTGLLGMIAAKSLGVSYEDAIEKTLLPQLGMHHSYLKVPADQMENYAWGYNKKDEPVHVNMEILGNEAYGIKTTSSDLLRYVQANMGQLKLDANAKMQQALTATHTGYFKSGEITQGLMWEQLPYPVSLPNLLTGNDMAMTKSVATPIVPPLPPQENVWINKTGSTNGFGAYIAFVPAKKMGIVMLANKNYSIDQRVTVAYKILSSLEGNK ->ARGMiner~~~ACC-5~~~WP_063857697.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~ACC-5~~~WP_063857697.1~~~monobactam;cephalosporin;penam~~~unknown MRKKMQNTLKLLSVITCLAATAQGALAANIDESKIKDTVDDLIQPLMQKNNIPGMSVAVTVNGKNYIYNYGLAAKQPQQPVTENTLFEVGSLSKTFAATLASYAQVSGKLSLDQSVSHYVPELRGSSFDHVSVLNVGTHTSGLQLFMPEDIKNTTQLMAYLKAWKPADAAGTHRVYSNIGTGLLGMIAAKSLGMSYEDAIEKTLLPQLGMHHSYLKVPADQMENYAWGYNKKDEPVHVNMEILGNEAYGIKTTSSDLLRYVQANMGQLKLDANAKMQQALTATHTGYFKSGEITQDLMWEQLPYPVSLPNLLTGNDMAMTKSVATPIVPPLPPQENVWINKTGSTNGFGAYIAFVPAKKMGIVMLANKNYSIDQRVTVAYKILSSLEGNK ->ARGMiner~~~aadA8~~~WP_063857693.1~~~aminoglycoside unknown +>ARGMiner~~~aadA8~~~WP_063857693.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~vgaA~~~WP_063856937.1~~~streptogramin;pleuromutilin unknown +>ARGMiner~~~vgaA~~~WP_063856937.1~~~streptogramin;pleuromutilin~~~unknown MKIMLEGLHIKHYVQDRLLLNINHLKIYQNDRIGLVGKNGNGKTTLLHILYKKIVPEEGIVKQFSHCELIPQLKLIESTKSGGEVTRNYIRQALDKNPELLLADEPTTNLDNNYIEKLEQDLKNWHGAFIIVSHDRAFLDNLCTTIWEIEEGRITEYKGNYSKYVEQKELERHREDLEYEKYEKEKKRLEKAINIKEQKAQRATKKPKNLSSSEGRIKGTKPYFAGKQKKLRKTVKSLETRLEKLESVEKRNELPPLKMDLVNLESVKNRTIIRGEDVSGTIEGRVLWKAKSFSIRGGDKMAIIGSNGTGKTTFIKKIVHGNPGISLSPSVKIGYFSQKIDTLELDKSILENVQSSSQQNETLIRTILARMHFFRDDVYKPINVLSGGERVKVALTKVFLSEVNTLVLDEPTNFLDMEAIEAFESLLKEYNGSIIFVSHDRKFIEKVATRIMTIDNKEIKIFDGTYEQFKQAEKPTRNIKEDKKLLLETKITEVLSRLSIEPSEELEQEFQNLINEKRNLDK ->ARGMiner~~~vgaALC~~~WP_063856936.1~~~streptogramin;pleuromutilin unknown +>ARGMiner~~~vgaALC~~~WP_063856936.1~~~streptogramin;pleuromutilin~~~unknown MKILLEALRIKPYVQDRLLLNIDQLQIYQNDRIGLVGGNGSGKTTLLHILDEKLFPEEGTVTQYSRCELIPQLKHMETTKSGGEVTRKYIQQALDKNPELLLADEPTTNLDTNYIENLERKLKDWHGAFIIVSHDRAFLDTLCTSIWEIKDGGITEYKGNYSDYIEQKELENRQEQLAHEKYEKEKKQLEEAIKLKEEKAQRATKKPKNISSSEARLKGAKPYFANKQKKLRKTAKALETRLDKLEKVEKTKELPPLKMDLLNSETFKNRIILRVEDVSGVIEERVLWKAASFYVRGGDKLAIIGSNGTGKTTFIKKIVQDKPGISFSPSVKIGYFSQNLDILELDKTILENVQSSSRQNETLIRTILARMHFFRDDVHKPVNVLSGGERVKVALTKVFLSDVNTLVLDEPTNFLDMEAIEAFESLLEEYEGSVIFVSHDRRFIEKIATRIMMIDNKEIKIFEGTYDQFKAKQAREETRDIKEDKKLLLETRITEILSRLSIEPSEELEKEFQNLINEKRNLDN ->ARGMiner~~~vatE~~~WP_063856934.1~~~streptogramin unknown +>ARGMiner~~~vatE~~~WP_063856934.1~~~streptogramin~~~unknown MTIPDANAVYPNLILIELDFIKNVIKSPNIEIGDYTYYDDPVNPTDFEKHVTHHYEFLGDKLIIGKFCSIASGIEFIMNGANHVMKGISTYPFNILGGDWQQYTPELTDLPLKGDTVVGNDVWFGQNVTVLPGVKIGDGAIIGANSVVTKDVAPYTIVGGNPIQLIGPRFEPEVIQALENLAWWNKDIEWITANVPKLMQTTPTLELINSLMEK ->ARGMiner~~~vatE~~~WP_063856933.1~~~streptogramin unknown +>ARGMiner~~~vatE~~~WP_063856933.1~~~streptogramin~~~unknown MTIPDANAIYPNSAIIEVVFIKNVIKSPNIEIGDYTYYDDPVNPTDFEKHVTHHYEFLGDKLIIGKFCSIASGIEFIMNGANHVMKGISTYPFNILGGDWQQYTPELTDLPLKGDTVVGNDVWFGQNVTVLPGVKIGDGAIIGANSVVTKDVAPYTIVGGNPIQLIGPRFEPEVIQALENLAWWNKDIEWITANVPKLMQTTPTLELINSLMEK ->ARGMiner~~~vatE~~~WP_063856932.1~~~streptogramin unknown +>ARGMiner~~~vatE~~~WP_063856932.1~~~streptogramin~~~unknown MTIPDANAIYPNSAMIEVVFIKNVIKSPNIEIGDYTYYDDPVNPTDFEKHVTHHYEFLGDKLIIGKFCSIASGIEFIMNGANHVMKGISTYPFNILGGDWQQYTPELTDLPLKGDTVVGNDVWFGQNVTVLPGVKIGDGAIIGANSVVTKDVAPYTIVGGNPIQLIGPRFEPEVIQALENLAWWNKDIEWITANVPKLMQTTPTLELINSLMEK ->ARGMiner~~~vatE~~~WP_063856931.1~~~streptogramin unknown +>ARGMiner~~~vatE~~~WP_063856931.1~~~streptogramin~~~unknown MTIPDANAIYPNLAIKEVVFIKNVIKSPNIEIGDYTYYDDPVNPTDFEKHVTHHYEFLGDKLIIGKFCSIASGIEFIMNGANHVMKGISTYPFNILGGDWQQYTPELTDLPLKGDTVVGNDVWFGQNVTVLPGVKIGDGAIIGANSVVTKDVAPYTIVGGNPIQLIGPSFEPEVIQALENLAWWNKDIEWITANVPKLMQTTPTLELINSLMEK ->ARGMiner~~~vatE~~~WP_063856930.1~~~streptogramin unknown +>ARGMiner~~~vatE~~~WP_063856930.1~~~streptogramin~~~unknown MTIPDANAIYPNLAIKEVVFIKNVIKSPNIEIGDYTYYDDPVNPTDFEKHVTHHYEFLGDKLIIGKFCSIASGIEFIMNGANHVMKGISTYPFNILGGDWQQYTPELTDLPLKGDTVVGNDVWFGQNVTVLPGVKIGDGAIIGANSVVTKDVAPYTIVGGNPIQLIGPRFEPEVIQALENLAWWNKDIEWITANVPKLMQTTPTLELINSLMEK ->ARGMiner~~~vatE~~~WP_063856929.1~~~streptogramin unknown +>ARGMiner~~~vatE~~~WP_063856929.1~~~streptogramin~~~unknown MTIPDANAIYPNPAIKEVVFIKNVIKSPNIEIGDYTYYDDPVNPTDFEKHVTHHYEFLGDKLIIGKFCSIASGIEFIMNGANHVMKGISTYPFNILGGDWQKYTPELTDLPLKGDTVVGNDVWFGQNVTVLPGVKIGDGAIIGANSVVTKDVAPYTIVGGNPIQLIGPRFEPEVIQALENLAWWNKDVEWITVNVPKLMQTTPTVELINSLMEK ->ARGMiner~~~vanZA~~~WP_063856925.1~~~glycopeptide unknown +>ARGMiner~~~vanZA~~~WP_063856925.1~~~glycopeptide~~~unknown MEKILSRGLLALYLVTLIWLVLFKLQYNILSVFHYHQRSLNLIPFAAHGSLREMIDNIIIFIPFGLLLNVNFKKVGFLLKFAFILVLSLTFELIQFIFAIGATDMTDVITNTVGGFLGLKLYGLSNKHINNKKLDRIIIFVGILLLVLLLYYRTHLKIKYS ->ARGMiner~~~vanYA~~~WP_063856920.1~~~glycopeptide unknown +>ARGMiner~~~vanYA~~~WP_063856920.1~~~glycopeptide~~~unknown MKKWGFLFLLCLGFVFINKALFFQEKVAVEIENYDQNPKDHLDNRGTSESTQTKTITNEQIYQGNLLLFNSKYPVRQESVKSDIVNLSKHNELINGYGLLDTNIYMSKGIAQKFSEMVNDALKEGVSHFIINSGYRDFDEQSVLYQEMGADYALPAGYSEHNSGLSLDVGSSLTKMERAPEGKWLKENAWKYGFILRYPKDKTDVTGIQYEPWHIRYVGFPHSAIMKEKNFALEEYMDFLKEQKSITTTIDHQVYKIFYYPISQNTTIHVPANGQYEISGNNMDGVIVTVYSGKRD ->ARGMiner~~~vanYA~~~WP_063856916.1~~~glycopeptide unknown +>ARGMiner~~~vanYA~~~WP_063856916.1~~~glycopeptide~~~unknown MKKWGFLLLFLLCLGFAFINKALFFQDKVEIQKYDQNHKDNIDNIENIGTPLSIQKNEIVKEQIYQGNLLLINSKYPIRQESVKSDIVNLSKHNELINGYGLLNTNIYLSKGIAQKFSEMINDAVKEGVSQFFINSGYRDFDEQSVLYQEMGADYALPAGYSEHNSGLSLDVGSSLTKMERAPEGKWLKENAWKYGFILRYPKDKTDVTGIQYEPWHIRYVGFPHSAIMKEKNFALEEYMDFLKEQKSITTTIDHQVYKIFYYPISQNTTIPVPANGQYEISGNNMDGVIVTVYSGKRD ->ARGMiner~~~vanYA~~~WP_063856910.1~~~glycopeptide unknown +>ARGMiner~~~vanYA~~~WP_063856910.1~~~glycopeptide~~~unknown MKKWGFLLLLCLGFVFINKALFFQEKVEIQNYDQNPKDHLDNRGTSESTQTKTITNEQIYQGNLLLFNSKYPVRQESVKSDIVNLSKHNELINGYGLLDTNIYMSKGIAQKFSEMVNDAVKEGVSHFIINSGYRDFDEQSVLYQEMGADYALPAGYSEHNSGLSLDVGSSLTKMERAPEGKWLKENAWKYGFILRYPKDKTDVTGIQYEPWHIRYVGFPHSAIMKEKNFALEEYMDFLKEQKSITTTIDHQVYKIFYYPISQNTTIHVPANGQYEISGNNMDGVIVTVYSGKRD ->ARGMiner~~~vanYD~~~WP_063856881.1~~~glycopeptide unknown +>ARGMiner~~~vanYD~~~WP_063856881.1~~~glycopeptide~~~unknown MERQNNNENQYGRNRRKDKRKKLFFYRAACAMLGLLIVCVIFGAVYFLRESKDPVLPSKENTKTGKDYSFLADGQSEDESPISEPAISNRANAIDLNIIAANAIVMNKDTDALLYQKNGTDRIAPASTAKMITALTVLEYCSPEEEMRVGAEIEMIHSDSSTAWLMKGDTLTVRQLLIALMLPSGNDAAYTLAVNTGKVIAGDNSLSNQQAIQIFMDKVNEKARAIGVTDSNFVVPDGYDAEGQYTTAYDLAIIAKACLEDPIISEIVASNTSYEKWPNGREVTYNNSNELLDPNSPYYRPEVIGLKTGTSSLGGACVVSAAVIDGETYICVVMGSTKESRFQDSVAILDKIKAQ ->ARGMiner~~~vanYD~~~WP_063856862.1~~~glycopeptide unknown +>ARGMiner~~~vanYD~~~WP_063856862.1~~~glycopeptide~~~unknown MMEYQNNNGNYDKRNRRKAKKRKLLFYRAACVILCLLIVSVIFGVVHFLGESKDPGILSKENTKTDKNYSWLTDDQKEAVPSVSEPAISDQANKISVNITAANAIVMNKDTNEVLYQKKSTAQIAPASTAKMIMALTALDYCSPEDEMKVGAEIEMIQSDSSTAWLMKGDTLTVRQLLIALMLPSGNDAAYTLAVNTGKKIAGDKSLTHQQAIEVFMDKVNEKAVDLGATNSKFIAPDGYDAEGQYTTAYDLAIIAKACLDNPIISEIVASYSSYEKWPNGREVTYSNSNELLDPNSPYYRPEVIGLKTGTSSLGGACIVSAAVIDGETYICVVMGSTKESRFQDSVDILDKIKAQ ->ARGMiner~~~vanYD~~~WP_063856854.1~~~glycopeptide unknown +>ARGMiner~~~vanYD~~~WP_063856854.1~~~glycopeptide~~~unknown MMEYQNNNGNYDKRNRRKAKKRKLLFYRAACVTLCLLIVSVIFGVVHFLGESKDPGLLSKENTKTDKNYSWLTDDQNEAVPSVPEPAISDQANKISVNITAANAIVMNKDTNEVLYQKKSTAKIAPASTAKMIMALTALDYCSPEDEMKVGAEIGMIQSDSSTAWLMKGDTLTVRQLLIALMLPSGNDAAYTLAVNTGKAIAGDNSLTSQQAIEVFMDKVNEKAVALGATNSKFVAPDGYDAEGQYTTAYDLAIIAKACLDNPIISEIVASYSSYEKWSNGREVTYNNSNELLDPNSPYYRPEVIGLKTGTSSLGGACIVSAAVMDGETYICVVMGSTKESRFQDSVDILDKIKAQ ->ARGMiner~~~vanYA~~~WP_063856841.1~~~glycopeptide unknown +>ARGMiner~~~vanYA~~~WP_063856841.1~~~glycopeptide~~~unknown MKKWFFLLLLLLLIYLGYDYINKALFSQEKVEFQNYDQNPKEHLENSGTSESTQMKTITDEQVYQGNLLLINSKYPLRQESVKSDIVNLSKHGELITGYGLLDTNIYMSKEIAQKFSEMINDAVNGGVSHFIINSGYRDFDEQSVLYQEMGDSYALPAGYSEHNSGLSLDVGSSLTKMERAPEGKWIKENAWKYGFILRYPEDKTDVTGIQYEPWHIRYVGLAHSAIMKEKNFALEEYMDYLKEEKIISVSVNEEKYEIFYYPVTKNTTIHVPSNLRWEISGNNIDGVIVTVFPEST ->ARGMiner~~~vanXYC~~~WP_063856805.1~~~glycopeptide unknown +>ARGMiner~~~vanXYC~~~WP_063856805.1~~~glycopeptide~~~unknown MNPYLQLVSKEFPLEKNQEPPHLVLAAFSEDDVYLQPEAAKQWKRLVKALKLENEICLLDGYRTEKQQRYLWEYSLKENGLAYTKQFVALPGCSEHQLGLAIDVGLKGSQDDLICPRFRDSAAADLFTQEMMNYGFILRYPADKQEITGIGYEPWHFRYVGLPHSQIIASQQWTLEEYHQYLEQTARQFA ->ARGMiner~~~vanXYC~~~WP_063856799.1~~~glycopeptide unknown +>ARGMiner~~~vanXYC~~~WP_063856799.1~~~glycopeptide~~~unknown MNPYLQLVSKEFPLEKNQEPPHLVLAAFSEEEVYLQPEAAKQWERLVKALKLENEICLLDGYRTEKQQRHLWEYSLKENGLAYTKQFVALPDCSEHQLGLAIDVGLKGQQGDLICPRFRDSVAADLFTQEMMNYGFILRYPADKQEITGIGYEPWHFRYVGLPHSQIIASQQWTLEEYYQYLEQTARQFA ->ARGMiner~~~vanXYC~~~WP_063856795.1~~~glycopeptide unknown +>ARGMiner~~~vanXYC~~~WP_063856795.1~~~glycopeptide~~~unknown MNPYLQLVSKEFPLEKKQEPPHLVLAAFSEEEVYLQPEAAKQWERLVKALKLENEICLLDGYRTEKQQRYLWEYSLKENGLAYTKQFVALPGCSDHQLGLAIDVGLKGSQNDLICPRFRDSAAADLFTQEMMNYGFILRYPADKQEITGIGYEPWHFRYVGLPHSQIMANQQWTLEEYHQYLEQTTRQFA ->ARGMiner~~~vanXYG~~~WP_063856783.1~~~glycopeptide unknown +>ARGMiner~~~vanXYG~~~WP_063856783.1~~~glycopeptide~~~unknown MMKTIELEKEEIYCGNLLLVNKNYPLRDNNVKGLVPADVRFPNILMKRDVANVLQLIFEKISAGNSIVPVSGYRSLEEQTAIYDGSLKDNGEDFTRKYVALPNHSEHQTGLAIDLGLNEKEIDFIRPDFPYVGICNEFRKAAPDYGFIERYAKDKEEITGISHEPWHFRYVGYPHSKIMQENGFSLEEYTQFIKAYLEDKKYLFEQAHRAEIEIYYVPAKDDKTLIKIPENCVYQISGNNIDGFVVTIWRKTDD ->ARGMiner~~~vanXA~~~WP_063856781.1~~~glycopeptide unknown +>ARGMiner~~~vanXA~~~WP_063856781.1~~~glycopeptide~~~unknown MEKGFVFLDEILHGVRWDAKYATWDNFTGKPVDGYEVNRIVGTHALALALLKAQKQAAALGYGLLLWDGYRPKRAVDCFLRWSEQPEDNLTKERYYPNIERTEMVSKGYVASKSSHSRGSAIDLTLYRLDTGELVPMGSGFDFMDERSHHAAKGIASMEAQNRRRLRSIMENSGFEPYSFEWWHYVLRNETIPQ ->ARGMiner~~~vanXA~~~WP_063856780.1~~~glycopeptide unknown +>ARGMiner~~~vanXA~~~WP_063856780.1~~~glycopeptide~~~unknown MKIGFTFLDEILHGVRWDAKYATWDNFTGKPVDGYEVNRIVGTYELADALLKVKELAATQGYGLLLWDGYRPQRAVNCFLQWAAQPEDDLTKERYYPNIDRTEMVSKGYVASKSSHSRGSAIDLTLYRLDTGELVPMGSGFDFMDERSHHAAKGISGNEAQNRRRLRSIMEDSGFEAYSFEWWHYVLRNEPYPNSYFDFPVK ->ARGMiner~~~vanXA~~~WP_063856779.1~~~glycopeptide unknown +>ARGMiner~~~vanXA~~~WP_063856779.1~~~glycopeptide~~~unknown MKKGFTFLDEILHGVRWDAKYATWDNFTGKPVDGYEVNRIAGTYELADALLKVKELAAAQGYGLLLWDGYRPQRAVNCFVQWAAQPEDGLTKERYYPNIDRTEMVSKGYVASKSSHSRGSAIDLTLYRLDTGELVPMGSGFDFMDERSHHAAKGISGNEAQNRRCLRSIMENSGFEAYSFEWWHYVLRNEPYPNSYFDFPVK ->ARGMiner~~~vanXD~~~WP_063856776.1~~~glycopeptide unknown +>ARGMiner~~~vanXD~~~WP_063856776.1~~~glycopeptide~~~unknown MKKNFAFLDEMIPGIRWDAKYATWDNFTGKPVDGYMVNRVMGTKELGVALRKAQKMAEKLGYGLLLWDGYRPQCAVNCFLNWASQPEDNLTKKRYYPNIKRNEMVTKGYVASQSSHSRGSAVDLTIFRLDTGMLVPMGGDFDFMDVRSHHAASGLSEEESKNRQCLRYIMESSGFEAYRYEWWHYVLADEPYPDTYFDFCIA ->ARGMiner~~~vanXD~~~WP_063856775.1~~~glycopeptide unknown +>ARGMiner~~~vanXD~~~WP_063856775.1~~~glycopeptide~~~unknown MMKQNFIFLDEVLPGIRWDAKYATWDNFTGKPVDGYEVNRIVGTKELGAALRKAQKLAMKQGYGLLLWDGYRPQRAVDCFLHWASLPENNLTKNRYYPNIKRNEMVTKGYVASQSSHSRGGAVDLTIFYLDTGMLVPMGGDFDFMDERSHHAASGLTEEESRNRECLRHIMGRSGFEAYCNEWWHYVLADEPYPNTYFNFCIA ->ARGMiner~~~vanXD~~~WP_063856774.1~~~glycopeptide unknown +>ARGMiner~~~vanXD~~~WP_063856774.1~~~glycopeptide~~~unknown MKKNFAFLDEMIPGIRWDAKYATWDNFTGKPVDGYMVNRVMGTKELGVALRKAQKMAEKLGYGLLLWDGYRPQCAVDCFLNWTSQPEDNLTKKRYYPNIKRNEMVAKGYVASKSSHSRGSTVDLTIFHLNSGMLVPMGGDFDYMDERSHHTASGLSEEESKNRQCLRYIMESSGFEAYRYEWWHYVLVDEPYPDTYFDFWMA ->ARGMiner~~~vanXD~~~WP_063856773.1~~~glycopeptide unknown +>ARGMiner~~~vanXD~~~WP_063856773.1~~~glycopeptide~~~unknown MKKNFAFLDEMIPGIRWDAKYATWDNFTGKPVDGYMVNRVMGTKELGVALRKAHKMAEKLGYGLLLWDGYRPQCAVDCFLNWASQPEDNLTKKRYYPNIKRNEMITKGYVASQSSHSRGSTVDLTIFHLNSGMLVPMGGDFDFMDERSHHAASGLSEEESKNRQCLRYIMESSGFEAYRYEWWHYVLADEPYPDTYFDFCIA ->ARGMiner~~~vanXB~~~WP_063856772.1~~~glycopeptide unknown +>ARGMiner~~~vanXB~~~WP_063856772.1~~~glycopeptide~~~unknown MENGFLFLDEMLHGVRWDAKYATWDNFTGKPVDGYEVNRIIGTKAVAFALREAQIHAAALGYGLLLWDGYRPRTAVDCFLRWAAQPEDKLTKEKFYPNIERAELITKGYVASQSSHSRGSAIDLTLYHLDTGELVSMGSNFDFMDERSHHTAKGIGDAEAQNRRCLRKIMESSGFQSYRFEWWHYKLIDEPYPDTYFNFAVS ->ARGMiner~~~vanXB~~~WP_063856771.1~~~glycopeptide unknown +>ARGMiner~~~vanXB~~~WP_063856771.1~~~glycopeptide~~~unknown MENGFLFLDEMLHGVRWDAKYATWDNFTGKPVDGYEVNRIIGTKAVAFALREAQIHAARLGYGLLLWDGYRPKSAVDCFLRWAAQPEDNLTKEKYYPNIERAELITKGYVASQSSHSRGSTIDLTLYHLDTGELVSMGSNFDFMDERSHHTAKGIGNAEAQNRRCLRKIMESSGFQSYRFEWWHYKLIDEPYPDTYFNFAVS ->ARGMiner~~~vanXO~~~WP_063856769.1~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~WP_063856769.1~~~glycopeptide~~~unknown MRDDFVFVDEVVSGIRWDAKYATWDNFTGKPVDGYLVNRVVGTRAFCAALEKARDKAAELGFGLLLWDVYRPQRAVDRFMRWAEEPEDGRKKARHYPNIERPQMFEQGYVATKSGHSRGSTVDLTLYRLDTGELADMGGDHDLMDVVSHHGADGVPEEAAKNRAHLCAIMEGSGFSSYECEWWHYNLKDEPYPDTYLDFPIE ->ARGMiner~~~vanXO~~~WP_063856768.1~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~WP_063856768.1~~~glycopeptide~~~unknown MKDDFVFVDEVVPGIRWDAKYATWDNFTGKPVDGYLVNRVVGTRAFCAALEKAREKAESLGFGLLLWDVYRPQRAVDCFMRWAEQPEDGRKKLRHYPNIDRPQMFEKGYVATKSGHSRGSTVDLTLYHLDTGELADMGGDHDVMDVVSHHGAAGITPEQEKNREHLCSIMVDSGFGSYEFEWWHYNLKNEPYPDTYFDFPIAGS ->ARGMiner~~~vanXA~~~WP_063856767.1~~~glycopeptide unknown +>ARGMiner~~~vanXA~~~WP_063856767.1~~~glycopeptide~~~unknown MEIGFTFLDKIVHGVRWDAKYATWDNFTGKPVDGYEVNRIVGTYELAEALLKAKELAATQGYGLLLWDGYRPKRAVNCFMKWAAQPENNLTKEIYFPNIDRTEMISKGYVASKSSHSRGSAIDLTLYHLDTGELVPMGSGFDFMDERSHHAAKGISGNEVQNRRRLRSIMENSGFEAYSFEWWHYVLRDEPYPNSYFDFPIK ->ARGMiner~~~vanWB~~~WP_063856766.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~WP_063856766.1~~~glycopeptide~~~unknown MDRKRLTQRFPFLLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIRPGETFSFWWLVRHAAYKDGLTVTNGKLTTMSGGGMCQMSNLLFWMFLHTPLTIIQRRGHEVKEFPEPNSDEIKGVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVSADKEPQALYKITNGSIQYVRESGGIYEYAQVKRMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEENQ ->ARGMiner~~~vanWB~~~WP_063856765.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~WP_063856765.1~~~glycopeptide~~~unknown MDRKRLTQRFPFLLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIRPGETFSFWWLVRHADKDTPYKDGLTVTNGKLTTMSGGGMCQMINLLFWMFLHTPLTIIQRRGHEVKEFPEPNSDEIKGVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVSADKEPQALYKITNGSIQYVRESGGIYEYAQVKRMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEENQ ->ARGMiner~~~vanWB~~~WP_063856764.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~WP_063856764.1~~~glycopeptide~~~unknown MTQIFPFLLPVRVMQRKMCFYAGMRFDGRRYAETIDGKQLPYKLFEAGCALYNGNTGFDMAYQENKVFNLKLAAKTLNGLLIRPGETFSFWRLVRHADKHIPYKDGLTVTNGKLTTAPGGGLCQMSNLLFWMFLHTPLTVTERSGHEVKEFPEPNSDEIKGVDATISEGWIDLKARNGTDCTYQISVAFDDENIIGTVFVDKRPQVLYRVANGGIEYSRESGGIYESVKVERAEIDSDTGEITGQKPLYTNKCKICYPLPENVEIKEAKKV ->ARGMiner~~~vanWB~~~WP_063856763.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~WP_063856763.1~~~glycopeptide~~~unknown MDRKRLTQRFPFLLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIRPGETFSFWWLVRHADKDTPYKDGLTVTNGKLTTMSGGGMCQMSNLLFWMFLHTPSTIIQRRGHEVKEFPEPNSDEIKGVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVSADKEPQALYKITNGSIQYVRESGGIYEYAQVKRMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEENQ ->ARGMiner~~~vanWG~~~WP_063856762.1~~~glycopeptide unknown +>ARGMiner~~~vanWG~~~WP_063856762.1~~~glycopeptide~~~unknown MIEVYKLTQRKRLTQLFPFLLPLRKWQRKKYFYFKMKFDGNRYAKKTSEKLLPNTVFETSSLMLNENSGFDMKYQINKVHNLKLAAKTINKVIIEPKETFSFWQLVRWADRHEKYKDGLNLVNGKIVGSYGGGLCQLSNMLFWLFLHTPLVIVERHGHAVESFPSTTEDLPCGTDATINEGWLDLKLRNDTDNTFQIEISFDDNFMYGRILSQSSVNIEYTVFNSSVSYFKREEKVYQIASVCRTEKDKMTGSQTEKELYVNQCKIAYKLPDDVKIEERGV ->ARGMiner~~~vanTC~~~WP_063856759.1~~~glycopeptide unknown +>ARGMiner~~~vanTC~~~WP_063856759.1~~~glycopeptide~~~unknown MKKNQGIEQFRVILAMMVVAIHCLPLHHLWPDGDTLITLTLFRIAVPFFFMISGYYVFSDLATQNSYPARHRVWQFIKKQLQVYLIATLLFLPLAWYSGMLGLNMPLGTFIQTLLVNGILYHLWYFPAIITGSLLVMGLLTRLSFKQVFFTAVGLYVVGLGGDSWSGLAAQTPITPLYSRIFQLLDGTRNGIFFAPLFLILGVLARRFAKKPASPHRYSYLLISLICLLLESYLLHHFTTPKHDSMYIFLPFVLLFLFPIIQQWQAPIIWKQAGRLSLWLYLLHPYTIAVTHFLSQKLPLLQNNLINFFVVLGLTIGVVHGLFALQKLFPFPKKTPPHLQRATKEFSAPALLHNLQEIKRLIPATTKVMAVVKADAYGCDAKTVASTLERAGVDFFAVATLEEAIELRRAGIKSRLLILGYTSAQRAKELKHYSLIQTIVSEAHGHALAQTSIPIECHLAVDTGMHRLGVAPDLETVTGLYALPSLKITRIFSHLGSSDQLDTASILRTQAQITCFDDLLAGLSARNIAYGLTHLQSSYGILNYPEKHYDYVRPGILLTGSLSVPNEPTKQKINVQPILTLKALLVDKKTVAAGEAIGYGLGTVFDRPATIGIVSIGYCDGVPRALSNQGFQLSYQGALLPQIGLVCMDMLLIDLTDYPELAVESSLEVISDWTTTAYQAQTITNELISRLGSRITSSSK ->ARGMiner~~~vanTC~~~WP_063856756.1~~~glycopeptide unknown +>ARGMiner~~~vanTC~~~WP_063856756.1~~~glycopeptide~~~unknown MKKNQGIEQFRVILAMMVVAIHCLPLHRLWPNGDILITLTLFRIAVPFFFMISGYYVFSDLATQNSYPARQRIWLFIKKQLQVYLIATLLFLPLAWYSGILGLNMPLDTFIQTLLVNGILYHLWYFPAIITGGLLVMGLLTRLSFKQVFFIAVGLYVVGLGGDSWSGLAAQTPITPLYSLIFQLLDGTRNGIFFAPLFLILGVLARRFAKKPASPHRYSYLMISLICLLLESYLLHHFTTPKHDSMYVFLPFVLLFLFPIIQQWQAPMIWKQAGRLSLWLYLLHPYTIAVTHFLSQKLPLLQNNLINFLVVLGLTIGVVHGLFALQKLLPFPKKTPLHLQRAAKEFSAPALLHNLQEIKRVIPSTTKVMAVVKADAYGCDAQLVARTLERVGVDFFAVATIEEAIELRRAGIKSRLLILGYTSAQRAKELNRYSLIQTIVSEAHGQALARTGLPIECHLAVDTGMHRLGVAPDLETVRRLYALPSLKITGIFSHLGSSDQLDTASILRTQAQIICFDDLLAGLSARNIAYGLTHLQSSYGILNYPEKHYDYVRPGILLTGSLSVPNEPTKQKINVQPILTLKALLVDKKTVAAGEAIGYGLATVFDRPTTIGIVSIGYCDGVPRALSNQGFQLSYQGVLLPQVGLICMDMLLIDLTDYPELAVESWLEVISDWTNTADQAQTIPNELISRLGSRVPSMSK ->ARGMiner~~~vanTC~~~WP_063856755.1~~~glycopeptide unknown +>ARGMiner~~~vanTC~~~WP_063856755.1~~~glycopeptide~~~unknown MKKNQGIDQFRVILAMMVVAIHCLPLHRLWPDGDILITLTLFRIAVPFFFMISGYYVFSDLATQNSYPARQRVWHFIKKQLQVYLIATLLFLPLAWYSGMLGLNMPLGTFIQTLLVNGILYHLWYFPAIITGSLLVMGLLTRLSFKQVFFIAVGLYVVGLGGDSWSGLAAQTPLASLYSLIFQLLAGTRNGIFFAPLFLLLGVLARRFAQKPASPHRYSYLMISLICLLLESYLLHHFTTPKHDSMYVFLPFVLLFLFPIIQQWQAPMIWKQAGRLSLWLYLLHPYTIAVTHFLSQKLPLLQNNLINYIVVLGLTIGLIYGLFALQKLFSFPKKTPPHLQRATKEFSAPALLHNLQEIKRVIPSTTKVMAVVKADAYGCDAKTVAGTLERAGVDFFAVATIEEAIELRRAGIKSRLLILGYTSAQRAKELNRYSLIQTVVSEAHGQALARTGLPIECHLAVDTGMHRLGVAPDLETVRKLFALPSLKITGIFSHLGSSDQLDTASILRTQAQITCFDDLLAGLSARNIAYGLTHLQSSYGILNYPEKHYDYVRPGILLTGSLSVPNEPTKQKINVQPILTLKALLVDKKTVAAGEAIGYGLGTVFDRPATIGIVSIGYCDGVPRALSNQGFQLSYQGVLLPQIGLVCMDMLLIDLTDYPELAVESSLEVISDWTTAADQAQTITNELISRLGSRITSSAK ->ARGMiner~~~vanTC~~~WP_063856754.1~~~glycopeptide unknown +>ARGMiner~~~vanTC~~~WP_063856754.1~~~glycopeptide~~~unknown MKNKGIDQFRVIAAMMVVAIHCLPLHYLWPEGDILITLTIFRVAVPFFFMISGYYVFAELAVANSYPSRQRVFNFIKKQLKVYLLATLMFLPLALYSQTIGFDLPVGTLVQSLLVNGILYHLWYFPALITGSLLLTSLLIHVSFKKVFWLAAGLYLIGLGGDSWFGLIQQTPIEPFYTAVFHLLDGTRNGIFFTPLFLCLGVLVRKQSVKRSLSKTALFFLISLIGLLIESAYLHGFSIPKHDSMYLFLPVVLFFLFPLILRWHPHRTWKHPGQLSLWLYLLHPYTIAGTHFLSQKISILQNNLINYLVVLILTIGFICLFLRQQHSWFRHKQTTPVKRVVKEFSKTALLHNLQEIQRIISPKTKVMAVVKADAYGCGAKEVAPVLEQAGIDFFAVATIDEGIQLRKNAVKSPILVLGYTSPKRIKELRRYSLTQSIISEGHAVALSQRKVAIDCHLAIDTGMHRLGVTPTIDSILSIFDLPFLTISGVYSHLGSADRLNPDSMIRTQKQIACFDQILLELDQRQISYGITHLQSSYGILNYPDLNYDYVRPGILLTGSLSDTNEPTKQRVSLQPILTLKAQLITKRVVAKGEAIGYGQTAVANQETTVGVVSIGYCDGLPRSLSNQEFCLSYRGQSLPQIGLICMDMLLIDLSHCPTIPIESEIEILTDWSDTAEQVQTITNELICRIGPRVSARIK ->ARGMiner~~~vanSB~~~WP_063856751.1~~~glycopeptide unknown +>ARGMiner~~~vanSB~~~WP_063856751.1~~~glycopeptide~~~unknown MERKGIFIKVFSYTIIVLLLLVGVTATLFAQQFVSYFRVMELQQTVKSYQPLVELIQNSDRLDIQEVAGLFHYNNQSFEFYIEDKEGSVLYATPNANTSNSFRPDFLYVVHRDDNISIVAQSKAGVGLLYQGLTIRGIVMIAIMVVFSLLCAYIFARQMTTPIKALADSANKMANLKDVPPPLERKDELGALAHDMHSMYVRLKETIARLEDEIARLEDEIAREHELEETQRYFFAAASHELKTPIAATSVLLEGMLENIGDYKDHSKYLRECIKMMDRQGKIISEILELVSLNDGRIVPIAEPLDIGRTVAELLPDFQTLAEANNQRFVTDIPAGQIVLSDPRLLQKALSNVILNAVQNTPQGGEVRIWSEPGAEKCRLFVLNMGVHIDDTALPRLFTPFYRIDQARSRKSGRSGLGLAIVQKTLDAMSLQYALENTSDGVLFWLDLPLTSTL ->ARGMiner~~~vanSG~~~WP_063856744.1~~~glycopeptide unknown +>ARGMiner~~~vanSG~~~WP_063856744.1~~~glycopeptide~~~unknown MKNRKKTKYEDDYLLFKNRLSIKMLLMMVCSLLIIAGVYLFILKGNFANAVVSILEHFVYHDRDEAAVVYLKTFKRYEFWLFLLAILGVFFVIFRMFVGNVSKYFKEINRGIDSLVHEDAEDIALPAELASTERKINSIRHTLAKRKTDAELAEQRKNDLVMYLAHDLKTPLSSVIGYLTLLRDEGQISDELRERYLSISLDKAERLEDLINEFFEITRFNLSHITLVYGKINLTRMLEQLAYEFKPMLAGKNLKLEFEIQPDISISCDANKMQRVFDNLLRNAVSYCNADTSIKITAEQMEDHVIIKVINEGNTIPQERLERIFEQFYRLDVSRSSATGGAGLGLAIAKEIVELHHGQITAHSADGLTCFEVSLPLVGKS ->ARGMiner~~~vanSC~~~WP_063856743.1~~~glycopeptide unknown +>ARGMiner~~~vanSC~~~WP_063856743.1~~~glycopeptide~~~unknown MKNRNPLIRKLLTQYFVTTGILLAFLVMIPLVIRFIAGTRTWYGTEPIYYILRFFADRWLFCVAIGALLIWFGTTIYYMTKAIGYLNETIQATTQLIEEPTKRITLSSHLVDVQEEMNQLREKSLQDQRAAKEAEQRKNDLIVYLAHDLRTPLTSVIGYLTLLKEEPQLSNAMRNRYTEIALQKAQRLELLISEFFEITRFNLTTIVLQTETTDLSLMLEQLTFEFLPLLEEKNLNWQLNLQKNVLATVDTEKIARVFDNLIRNAINYSYPDSPLLLELVESDSIHIRLTNRGKTIPEEMIGRLFEPFYRMDSSRATATGGTGLGLPIAKEILLASGGDISAESKDETIIFNVRLPKPANS ->ARGMiner~~~vanSA~~~WP_063856742.1~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~WP_063856742.1~~~glycopeptide~~~unknown MAIKLKNKNKNKKTDYSKLKRKLYQYIVVIVMAAAVFVLFLRLFIKGTLGEWIVRFLENSYHLERQDAMIIYQYTIRNNIEIFIYVAIAISILILCRVMLSKFAKYFDEINTGIDILIQNEDKQIELSAEMEFMEQKLNTLKRTLEKREQDAKLAEQRKNDVVMYLAHDIKTPLTSVIGYLSLLDEAPDMPVEQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKKHIDLYYMLVQMADEFYPQLAANGKQAVIHASEDLTVTGDSDKLARVFNNILKNAAAYSEDNSVIDITAGLSGDVVSIVFKNAGSIPKDKLAAIFEKFYRLDDARSSDTGGAGLGLAIAKGIIVQHGGQIYAESNDNYTTFTVELPALPDLVDKRSS ->ARGMiner~~~vanSA~~~WP_063856741.1~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~WP_063856741.1~~~glycopeptide~~~unknown MAIKLKNKNKKTDYSKLKRKLYQYIVVIVMAAVVFVLFLRLFIKGTLGEWIVRFLENSYHLERQDAMKIYQYTIRNNIEIFIYVAIAISILILCRVMLSKFAKYFDEINTGIDILIQNEDKQIELSAEMEFMEQKLNTLKRTLEKREQDAKLAEQRKNDVVMYLAHDIKTPLTSVIGYLSLLDEAPDMPVEQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKKHIDLYYMLVQMTDEFYPQLAANGKQAVIHASEDLTVSGDPDKLARVFNNILKNAAAYSEDNSVIDITAGLSGDVVSIVFKNAGSIPKDKLAAIFEKFYRLDDARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNYTTFTVELPALPDLVDKRSS ->ARGMiner~~~vanSA~~~WP_063856740.1~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~WP_063856740.1~~~glycopeptide~~~unknown MAIKLKNKNKKTDYSKLKRKLYQYIVVIVMAAVVFVLFLRLFIKGTLGEWIVRFLENSYHLDRLDAMKLYQYSIRNNIDIFIYVAIVISILILCRVMLSKFAKYFDEINTGIDVLIQNEDKQIELSAEMDVMEQKLNTLKRTLEKREQDAKLAEQRKNDVVMYLAHDIKTPLTSIIGYLSLLDEAPDMPVDQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKTHIDLYYMLVQMTDEFYPQLSAHGKQAVIHAPEDLTVSGDPDKLARVFNNILENAAAYSEDNSVIDITAGLSGDVVSIVFKNAGSIPKDKLAAIFEKFYRLDDARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNYTTFTVELPALPDLVDKRSS ->ARGMiner~~~vanSB~~~WP_063856739.1~~~glycopeptide unknown +>ARGMiner~~~vanSB~~~WP_063856739.1~~~glycopeptide~~~unknown MERKGIFIKVFSYTIIVLLLLVGVTATLFAQQFVSYFRVMELQQTVKSYQPLVELIQNSDRLDIQEVAGLFHYNNQSFEFYIEDKEGSVLYATPNANTSNSFRPDFLYVVHRDDNISIVAQGKAGVGLLYQGLTIRGIVMIAIMVVFSLLCAYIFARQMTTPIKALADSANKMANLKDVPPPLERKDELGALAHDMHSMYVRLKETIARLEDEIAREHELEETQRYFFAAASHELKTPIAATSVLLEGMLENIGDYKDHSKYLRECIKMMDRQGKIISEILELVSLNDGRIVPIAEPLDIGRTVAELLPDFQTLAEANNQRFVTDIPAGQIVLSDPRLLQKALSNVILNAVQNTPQGGEVRIWSEPGAEKCRLFVLNMGVHIDDTALPRLFTPFYRIDQARSRKSGRSGLGLAIVQKTLDAMSLQYALENTSDGVLFWLDLPLTSTL ->ARGMiner~~~vanSB~~~WP_063856737.1~~~glycopeptide unknown +>ARGMiner~~~vanSB~~~WP_063856737.1~~~glycopeptide~~~unknown MERKGIFIKVFSYTIIVLLLLVGVTATLFAQQFVSYFRVMELQQTVKSYQPLVELIQNSDRLDIQEVAGLFHYNNQSFEFYIEDKEGSVLYATPNANTSNSFRPDFLYVVHRDDNISIVAQSKAGVGLLYQGLTIRGIVMIAIMVVFSLLCAYIFARQMTTPIKALADSANKMANLKDVPPPLERKDELGALAHDMHSMYVRLKETIARLEDEIAREHELEETQRYFFAAASHELKTPIAATSVLLEGMLENIGDYKDHSKYLRECIKMMDRQGKIISEILELVSLNDGRIVPIAEPLDIGRTVAELLPDFQTLAEANNQRFVTDIPAGQIVLSDPRLLQKALSNVILNAVQNTPQGGEVRIWSEPGAEKCRLFVLNMGVHIDDTALPRLFTPFYRIDQARSGLGLAIVQKTLDAMSLQYALENTSDGVLFWLDLPLTSTL ->ARGMiner~~~vanSA~~~WP_063856731.1~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~WP_063856731.1~~~glycopeptide~~~unknown MKNKNKKNDYSKLERKLYMYIVAIVVVAVIFVLYIRSMIRGKLGEWIVRFLENEYGLNQLDAMKLYHYSIRNNIEIFIYVAIAISILILCRVMLSKFAKYFDEINTGIDVLIQNEDKQIELSAEMDVMEHKLNTLKRTLEKREQDAKLAEQRKNDVVMYLAHDIKTPLTSIIGYLSLLDEAPDMPVDQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKTHIDLYYMLVQMTDEFYPQLSAHGKQAIIHASVDLTVSGDPDKLARVFNNILKNAAAYSEDNSIIDITAGLSGNMVSIVFKNTGSIPKDKLAAIFEKFYRLDNARSSETGGAGLGLAIAKEIIVLHGGQIYAESNDHYTTFTVELPAFPDLVDKRDS ->ARGMiner~~~vanSD~~~WP_063856730.1~~~glycopeptide unknown +>ARGMiner~~~vanSD~~~WP_063856730.1~~~glycopeptide~~~unknown MKNRNKTSHEDDYLLFKNRLSVKILLMMVYSILIIAGVYLFILKDNFANVVVAILDSFIYHDRDEAVAVYLRTFKASEIWLFLIAVMGVFFMIFRRYLDSISKYFKEINRGIDTLVNEDANDIGLPPELASTERKINSIRHTLTKRKTDAELAEQRKNDLVMYLAHDLKTPLPSVIGYLNLLRDENQISEELREKYLSISLDKAERLEELINEFFEITRFNLSNITLVYSKINLTMMLEQLGYEFKPMLAGKNLKCEFDVQPDMMLSCDANKLQRVFDNVLRNAVSYCYENTTIRVKARQTEDHVLIKIINEGDTIPGERLERIFEQFYRLDVSRSSSTGGAGLGLAIAKEIVELHHGQITAHSENGITSFEVTLPVVGKS ->ARGMiner~~~vanRD~~~WP_063856727.1~~~glycopeptide unknown +>ARGMiner~~~vanRD~~~WP_063856727.1~~~glycopeptide~~~unknown MNEKILVVDDEKELADLVEVYLKNDGYTVYKFYNGKDALKCIESVELDLAILDIMLPDVDGFQICQKIREKFYFPVIMLTAKVEDGDKIMGLSVADDYITKPFNPLEMVARVKAQLRQYMRYKQPSLKQEAECTEYDIRGMTISKSSHKCILFGKEIQLTPTEFSILWYLCERQGTVVSTEELFEAVWGERYFDSNNTVMAHIGRLREKMKEPSRNPKFIKTVWGVGYTIEK ->ARGMiner~~~vanRC~~~WP_063856725.1~~~glycopeptide unknown +>ARGMiner~~~vanRC~~~WP_063856725.1~~~glycopeptide~~~unknown MAEKIIVVDDEKEIAELVTTFLRNEGFQVEPFYDGTSALAYLEKETVDVAVLDVMLPDIDGFQLLQNIRKTHFFPVLMLTAKGEDLDKITGLSLGADDYVTKPFNPLEVVARVKTQLRRYQRYNQSAVPQKIEEYEKEGLLLKINSHQCFLYGKEVFLTPIEFKILLYLFEHQGSVVASETLFEAVWKEKYLDNNNTVMAHIARLREKLNEQPRKPKLIKTVWGVGYIIEK ->ARGMiner~~~vanRB~~~WP_063856723.1~~~glycopeptide unknown +>ARGMiner~~~vanRB~~~WP_063856723.1~~~glycopeptide~~~unknown MSIRILLVEDDDHICNTVRAFLAEAGYQVDACTDGNEAYTKFYENTYQLVILDIMLPGMNGHELLREFRAKNDTPILMMTALSDDENQIRAFDAEADDYVTKPFKMQILLKRVEALLRRSGALAKEIRVGRLTLLPEDFTVLCDGTELPLTRKEFEILLLLVQNKGRTLTHEIILSRIWGYDFESDGSTVHTHIKNLRAKLPENIIKTIRGVGYRLEES ->ARGMiner~~~vanRD~~~WP_063856722.1~~~glycopeptide unknown +>ARGMiner~~~vanRD~~~WP_063856722.1~~~glycopeptide~~~unknown MNEKILVVDDEKELADLVEVYLKNDGYTVYKFYNGTDALKCIESTPLDLAILDIMLPDIDGFQICQKIREKFYFPVIMLTAKVEDGDKIMGLSIADDYITKPFNPLEVVARVKAQLRQYMRYRQPHFKQEDERSEYDIRGMTISKNSHKCILFGKELQLTPTEFSILWYLCENQGKVVSTEELFEAVWGEKYMDNNNTVMAHIGRLREKMKERAKNPKFIKTVWGVGYTIEK ->ARGMiner~~~vanRA~~~WP_063856721.1~~~glycopeptide unknown +>ARGMiner~~~vanRA~~~WP_063856721.1~~~glycopeptide~~~unknown MSDKILVVDDEHEIADLVELYLKNENYTVFKYYTAKEALECIDKTDLDLAILDIMLPGASGLAICQKIRDKHTYPIITLTAKDTEVDKITGLTIGADDYITKPFRPLELIARVKAQLRRYKKYNGVTEQNENVIVHSGLVININTHECFLNEKQLSLTPTEFSILRILCENKGNVVSSEQLFHEIWGDEYFSKSNNTITVHIRHLREKMNDTIDNPKYIKTVWGVGYKIEK ->ARGMiner~~~vanRA~~~WP_063856720.1~~~glycopeptide unknown +>ARGMiner~~~vanRA~~~WP_063856720.1~~~glycopeptide~~~unknown MSDKILVVDDEHEIADLVELYLKNENYTVFKYYTAKEALECIDKTDLDLAILDIMLPGASGLAICQKIRDKHTYPIIMLTAKDTEVDKITGLTIGADDYITKSFRPLELIARVKAQLRRYKKYSGVAEQNENVIVHSGLVININTHECFLNEKQLSLTPTEFSILRILCENKGNVVSSEQLFHEIWGDEYFSKSNNTITVHIRHLREKMNDTIDNPKYIKTVWGVGYKIEK ->ARGMiner~~~vanRB~~~WP_063856719.1~~~glycopeptide unknown +>ARGMiner~~~vanRB~~~WP_063856719.1~~~glycopeptide~~~unknown MSIRILLVEDDDHICNTVRAFLAEAGYQVDACTDGNEAYTKFYENTYQLVILDIMLPGMNGHELLREFRAKNDTPILMMTALSDDENQIRAFDAEADDYVTKPFKMQILLKRVEALLRRSGALAKEIRVGRLTLLPEDFTVLCDGTELPLTRKEFEILLLLVQNKGRTLTHEIILSRIWGYDFEGDGSTVHTHIKNLRAKLPENIIKTIRGVGYRLEESL ->ARGMiner~~~vanRA~~~WP_063856716.1~~~glycopeptide unknown +>ARGMiner~~~vanRA~~~WP_063856716.1~~~glycopeptide~~~unknown MSNKILVVDDEHDIADLVELYLKNENYTVFKYYTAKEALDCIDKTELDLAILDIMLPGASGLSICQKIRDKYTYPIIMLTAKDSEIDKITGLTIGADDYITKPFRPLELIARVKAQLRRYKKYSGVTEQNENIIVHSGLVININTHECFLNEKPLSLTPTEFSILRILCENKGNVVSSEQIFHEIWGEEYFNKSNNTITVHIRHLREKMNATIDNPKYIKTVWGVGYKIEK ->ARGMiner~~~vanRD~~~WP_063856715.1~~~glycopeptide unknown +>ARGMiner~~~vanRD~~~WP_063856715.1~~~glycopeptide~~~unknown MNEKILVVDDEKELADLVEVYLKNDGYTVYKFYNGKDALKCIESVELDLAILDIMLPDVDGFQICQKIREKFYFPVIMLTAKVEDGDKIMGLSVADDYITKPFNPLELVARVKAQLRQYMRYKQPGIKQEAERTEYDIRGMTISKSSHKCILFGKEIQLTPTEFSILWYLCERQGTVVSTEELFEAVWGERFFDSNNTVMAHIGRLREKMKEPSRNPKFIKTVWGVGYTIEK ->ARGMiner~~~vanHA~~~WP_063856710.1~~~glycopeptide unknown +>ARGMiner~~~vanHA~~~WP_063856710.1~~~glycopeptide~~~unknown MNNIGITVYGCEQDEADAFCALSPRFGVMPSIINANVSESNAKSAPFNQCISVGHKSEVSASILLALKRAGVKYISTRSIGCNHIDTTAAKRMGITVGNVAYSPDSVADYTMMLMLMAVRNAKSIVRSVEKHDFRLDSVRGKVLSDMTVGVVGTGHIGKAVIERLRGFGCKVLAYSRSQSIEANYVPFDELLQNSDIVTLHVPLNADTRHIISHEQIQRMKQGAFIINTGRGPLVYTNELVKALENGKLGGAALDVLEGEEEFFYSDCSQKPIDNQFLLKLQRMPNVIITPHTAFYTEQALRDTVEKTNINCLDFERSQEHE ->ARGMiner~~~vanHF~~~WP_063856709.1~~~glycopeptide unknown +>ARGMiner~~~vanHF~~~WP_063856709.1~~~glycopeptide~~~unknown MKNIGITIYGCEQDEADVFKELSPRFGVIPAITSSAVSETNVMLAPGNQCISVGHKSEISESILLALKESGVKYISTRSIGYNHIDMKAAESMGIAVGNVAYSPDSVADYTLMLMLMAVRNAKSIVRSVEKHDFKLDSVRGKVLRDMTVGVLGTGHIGKRVIERLRGFGCHVLAYGHNKEAAANYVSLNELLQKSDILTIHVPLSADTYHMIGREQIKAMKQGAFLINTARGGLIDTDVLVKALENGKLGGAALDVLEGEEGLFYFDCTQKPIDNQFLLKLQRMPNVIITPHTAYYTEQALRDTVENTIKNCLEFERRETLV ->ARGMiner~~~vanHA~~~WP_063856708.1~~~glycopeptide unknown +>ARGMiner~~~vanHA~~~WP_063856708.1~~~glycopeptide~~~unknown MKNIGITVYGCEQDEADAFRALSPRFGIMPTIINAAVSEANAISAPCNQCISVGHKSEVSASILLALKRAGVKYISTRSIGCNHIDTTAAKRMGIAVGNVAYSPDSVADYTMMLMLMAVRNAKSIVRSVEKHDFRLDSVRGKVLRDMTVGVVGTGHIGKAVIERLRGFGCHVLAYDRSQKIEANYVPFDALLQNSDIVTLHVPLNADTRHIIGHEQIKRMKQGAFIINTGRGPLVDTDALVKALENGKLGGAALDVLEGEEEFFYSDCSQKPIDNQFLLKLQRMPNVIITPHTAYYTEQALRDTVEKTIKNCLDFERSQEHE ->ARGMiner~~~vanHD~~~WP_063856705.1~~~glycopeptide unknown +>ARGMiner~~~vanHD~~~WP_063856705.1~~~glycopeptide~~~unknown MKKKIDITVFGCEPDEATVFRKLSHEFGVTVSLVREAVSEDNAKVADGCQCVSISHKAELSEPLLLALKDAGVKYICTRSIGYNHIDIQAAGQMGMTIGTVAYSPGSVADYAIMLMLMLMRGTKSVIHRTEKQDYCLNSLRGKELRDMTVGVLGAGRIGQAVMERLKGFGCTVLAYDRNHKAGADYVSFCELLQRSDIITLHVPLAEDTFHMIGREQLEMMKKEALLINTARGALVDTGALVEALADGKIGGAALDVLEGEEGFFYYDCTYKAVEHPFLSTLQRMPNVIVTPHTAYHTERVLVDTVSNTIRNCLNFERSLGNV ->ARGMiner~~~vanHD~~~WP_063856703.1~~~glycopeptide unknown +>ARGMiner~~~vanHD~~~WP_063856703.1~~~glycopeptide~~~unknown MEKIIDITVFGCEPDEMEVFQKISYELGVTATLIKDSISESNAGLANGCRCVSVSHKAELSEPILLALKNAGVKYISTRSIGFNHIDIQAAGLLGMVVGTVEYSPGSVADYTVMLMLMLMRGTKSILRETQRQNYCLNDLRGKELRDMTVGVLGTGRIGQAVMERLEGFGCKVLAYDRNQKAGADYVSFHELLKKSDIVTLHIPLAEDTRHMIGYEELEMMKEEALLINTGRGALVDTAALVEALKGQKIGGALDVLEGEEGIFYHDCTQRRIEHPFLSVLQGMPNVIVTPHTAYHTERVLVDTVRNTIRNCLNFERSLGNV ->ARGMiner~~~vanHD~~~WP_063856702.1~~~glycopeptide unknown +>ARGMiner~~~vanHD~~~WP_063856702.1~~~glycopeptide~~~unknown MEKIIDITVFGCEPDEMEVFQKITYELGVTATLIKDSISESNAKLADGCRCVSVSHKAELSEPILLALKNAGVKYISTRSIGFNHIDIQAAGLLGMVVGTVEYSPGSVADYTVMLMLMLMRGTKSILRETQRQNYCLNDLRGKELRDMTVGVLGTGRIGQAVMERLKGFGCKVLAYDRNQKAGADYVSFHELLKKSDIVTLHIPLAEDTRHMIGCEELEMMKQEALLINTGRGALVDTAALVEALKGQKIGGAALDVLEGEEGIFYHDCTQRTIEHPFLSVLQGMPNVIVTPHTAYHTERVLVDTVRNTIRNCLNFERSLGNV ->ARGMiner~~~vanHB~~~WP_063856701.1~~~glycopeptide unknown +>ARGMiner~~~vanHB~~~WP_063856701.1~~~glycopeptide~~~unknown MRKSVGITVFGCEQDEANAFRALSPDFHIIPTLISDAISADNAKLAAGNQCVSVSHKSEVSEATILALRKVGVKYISTRSIGCDHIDTTAAERMGISVGTVAYSPDSVADYALMLMLMAIRGAKPTMHAVAQQDFRLDRIRGKELGDMTVGVIGTGHIGQAVVKRLRGFGCHVLAYDNSRKMDADYVQLDELLKNSDIVTLHVPLCADTRHLIGQKQIGEMKQGAFLINTGRGALVDTGALVEALESGKLGGAALDVLEGEDQFVYTDCSQKVLDHPFLSQLLRMPNVIITPHTAYYTERVLQDTTEKTIRNCLNFERSLQHE ->ARGMiner~~~vanHO~~~WP_063856700.1~~~glycopeptide unknown +>ARGMiner~~~vanHO~~~WP_063856700.1~~~glycopeptide~~~unknown MTYSEPARSAAPRTGSPASASSSAVLARGITIYGCGSDEAALFREMAPRFGVMPTIVDEPVSEANIGLVSGNRCISIGHKTKVTNSILLALAEAGVEYISTRSIGFNHIDVEYAASVGITVGNVAYSPDSVADFTLMLMLMAVRDAKSIIRRTEVHDYRLNEVRGKELRDLTVGVVGTGRIGVAVLDRLRGFGCRVLAYDTLLTASADYVPLDELLQQSDIVTLHVPLNNDTYHLLDRKNIERMKDGAYIINTGRGPLIETEALISALEDGKLGGAALDVVEGEEGIFYADCRNKPLESETLLRLEKLPNVLISPHTAYYTDHALSDTVENSIINCLQFESGK ->ARGMiner~~~vanHO~~~WP_063856699.1~~~glycopeptide unknown +>ARGMiner~~~vanHO~~~WP_063856699.1~~~glycopeptide~~~unknown MTYSEPARSAAPRTGSPASASSSAVLARGITIYGCGSDEAALFREMAPRFGVMPNIVDEPVSEANIGLVSGNRCISIGHKTKVTNSILLALAEAGVEYISTRSIGFNHIDVEYAASVGITVGNVAYSPDSVADFTLMLMLMAVRDAKSIIRRTEVHDYRLNEVRGKELRDLTVGVVGTGRIGVAVLDRLRGFGCRVLAYDTLLTASADYVPLDELLQQSDIVTLHVPLNNDTYHLLDRKNIERMKDGAYIINTGRGPLIETEALISALEDGKLGGAALDVVEGEEGIFYADCRNKPLESETLLRLEKLPNVLISPHTAYYTDHALSDTVENSIINCLQFRKREVVWVG ->ARGMiner~~~vanHO~~~WP_063856698.1~~~glycopeptide unknown +>ARGMiner~~~vanHO~~~WP_063856698.1~~~glycopeptide~~~unknown MLPTMSAMTYSEPARSEASRSRSLASAPSSADEARGITIYGCGQDEAVLFKEMAPRFGIMPTIAEAPVSEATIELASGNRCISVGHKTPISNSILLALREAGVEYISTRSIGFNHIDVKYAESIGITVGNVAYSPDSVADFTLMLMLMVIRDAKSIIRRTEVHDYRLNAVRGKELRDLTVGVVGTGRIGVAVMDRLKGFGCRVLAYDTIPNASADYVPLDDLLQQSDIVTLHVPLNTDTYHLLDRRNIEEMKGGAYIINTGRGPLIETEALISALESGNLGGAALDVLEGEEGIFYADCRDKAIDGNKPLLRLQELSNVIISPHTAYYTDHALSDTVENSIINCLEFESGK ->ARGMiner~~~vanHA~~~WP_063856697.1~~~glycopeptide unknown +>ARGMiner~~~vanHA~~~WP_063856697.1~~~glycopeptide~~~unknown MKNIGITVYGCNQDEADAFRALSPQFGIMPSIINADVSEANAISAPLNQCISVGHKSEISASILVALKRGGVKYISTRSIGCNHIDTIAAKRIGITVGNVAYSPDSVADFTMMLMLMAVRNTKSIVRSVEKHDFRLDSVRGKVLSEMTVGVVGTGQIGKAVIERLRGFGCKVLAYSHSKSIEVYYVPFDELLQNSDIVTLHVPLHTDTHYIIGHEQIQRMKKGAFIINTSRGPLVDTYALVKALENGKLGGAALDVLEGEEEFFYSDCTQRPIDNQFLLKLQKMPNVIITPHTAYYTEQALRDTVEKTITNCLDFERRLEHE ->ARGMiner~~~vanG~~~WP_063856696.1~~~glycopeptide unknown +>ARGMiner~~~vanG~~~WP_063856696.1~~~glycopeptide~~~unknown MIKKRIAIIFGGNSTEYEVSLQSASAVFENINTKKFDIVPIGITRNGDWYHYTGKKEKIANNTWFEDNENLYSVAVSQNRSVKGFIEFKEEKFYIIKVDLIFPVLHGKNGEDGTLQGLFELAGIPVVGCDTLSSALCMDKDKAHKLVSLAGISVPKSVTFKFSGKKAALKKIEKELSYPLFVKPVRAGSSFGITKVTKQQELENAIQLAFEHDAEVIVEETINGFEVGCAVLGIDELIVGRVDEIELSSGFFDYTEKYTLKSSKIYMPARIDAEAEKRIQETAVTIYKALGCSGFSRVDMFYTPSGEIVFNEVNTIPGFTSHSRYPNMMKGIGLSFAQMLDKLIGLYVE ->ARGMiner~~~vanD~~~WP_063856693.1~~~glycopeptide unknown +>ARGMiner~~~vanD~~~WP_063856693.1~~~glycopeptide~~~unknown MYRINVAVLFGGCSEEHTVSIKSAMELAANIDTEKYQPFYIGITKSGVWKLCEKPCLDWEQYAKYPVVFSPGRNTHGFLIQKEDRYEIQPVDVVFPIIHGKFGEDGSIQGLLELSGIPYVGCDIQSSVICMDKSLAYTTVKNAGIEVPDFQIIQDGDSPKTECFSFPLFVKPARSGSSFGVNKVDKAEDLCAAINEARQYDRKVLIEQAVSGSEVGCAVLGTGTDLIVGEVDQISLKHGFFKIHQEAQPEKGSENATIEVPADLPAKVRERIQKTAKKIYQVLGCRGLARIDLFLREDGHIVLNEVNTMPGFTSYSRYPCMMTAAGFTLSELIDRLIELALRR ->ARGMiner~~~vanD~~~WP_063856691.1~~~glycopeptide unknown +>ARGMiner~~~vanD~~~WP_063856691.1~~~glycopeptide~~~unknown MFRIKVAVLFGGCSEEHNVSIKSAMEIAANIDTKKYQPYYIGITKSGVWKMCEKPCLEWEQYAGDPVVFSPDRSTHGLLIQKDTGYEIQPVDVGLPMIHGKFGEDGSIQGLLELSGIPYVGCDIQSSVTCMDKALAYTVVKNAGIAVPGFRILQEGDRLETEDFVYPVFVKPARSGSSFGVNKVCKAEELQAAIEDARKYDSKILIEEAVTGSEVGCAILGNGNDLMAGEVDQIELRHGFFKIHQEAQPEKGSENAVIRVPAALPDEVIERIQKTAMKIYRILGCRGLARIDLFLREDGCIVLNEVNTMPGFTSYSRYPRMMTAAGFTLTEILDRLIELSLRR ->ARGMiner~~~vanD~~~WP_063856690.1~~~glycopeptide unknown +>ARGMiner~~~vanD~~~WP_063856690.1~~~glycopeptide~~~unknown MFRIKVAVLFGGCSEEHNVSIKSAMEIAANIDTKKYQPYYIGITKSGVWKMCEKPCLEWEQYAGDPVVFSPDRSTHGLLIQKDKGYEIQPVDVVFPMIHGKFGEDGSIQGLLELSGIPYVGCDIQSSVICMDKALAYTVVKNAGITVPGFRILQEGDRLETEDFVYPVFVKPARSGSSFGVNKVCKAEELQAAIEEARKYDSKILIEEAVTGSEVGCAILGNGNDLMAGEVDQIELRHGFFKIHQEAQPEKGSENAVIRVPAALPDEVREQIQETAMKIYRILGCRGLARIDLFLREDGCIVLNEVNTMPGFTSYSRYPRMMTAAGFTLSEILDRLIELSLRR ->ARGMiner~~~vanD~~~WP_063856689.1~~~glycopeptide unknown +>ARGMiner~~~vanD~~~WP_063856689.1~~~glycopeptide~~~unknown MFKIKVAVLFGGCSEEHNVSIKSAMEIAANIDTKKYQPYYIGITKSGVWKMCEKPCLGWEQYAGDPVVFSPDRSTHGLLIQKDTGYEIQPVDVVFPMIHGKFGEDGSIQGLLELSGIPYVGCDIQSSVICMDKALAYTVVKNAGIAVPGFRILQEGDRLETEDLVYPVFVKPARSGSSFGVNKVCKAEELQAAIREARKYDSKILIEEAVTGSEVGCAILGNENDLMAGEVDQIELRHGFFKIHQEAQPEKGSENAVIRVPAALPDEVRERIRKTAMKIYRILGCRGLARIDLFLREDGCIVLNEVNTMPGFTSYSRYPRMMTAAGFTLSEILDRLIEFSLRR ->ARGMiner~~~vanC~~~WP_063856688.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_063856688.1~~~glycopeptide~~~unknown MKKIAVLFGGNSPEYSVSLTSAESVIQAINPLKYEVMTIGIAPTMDWYWYQGNLANVRNDTWLEDHKNCHQLTFSSQGFILGEKRIVPDVLFPVLHGKYGEDGCIQGLLELMNLPYVGCHVAASALCMNKWLLHQLADTMGIASAPTLLLSRYENDPATIDRFIQDHGFPIFIKPNEAGSSKGITKVTDKTALQSALTTAFAYGSTVLIQKAIAGIEIGCGILGNEQLTIGACDAISLVDGFFDFEEKYQLISATITVPAPLPLALESQIKEQAQLLYRNLGLTGLARIDFFVTNQGAIYLNEINTMPGFTGHSRYPAMMAEVGLSYEILVEQLIALAEEDKR ->ARGMiner~~~vanC~~~WP_063856687.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_063856687.1~~~glycopeptide~~~unknown MKKIAVLFGGNSPEYSVSLTSAASVIQAIDPLKYEVMTIGIAPTMDWYWYQGNLANVRNDTWLEDHKNCHQLTFSSQGFILGEKRIVPDVLFPVLHGKYGEDGCIQGLLELMNLPYVGCHVAASALCMNKWLLHQLADTMGIASAPTLLLSRYENDPATIDRFIQDHGFPIFIKPNEAGSSKGITKVTDKTALQSALTTAFAYGSTVLIQKAIAGIEIGCGILGNEQLTIGACDAISLVDGFFDFEEKYQLISATITVPAPLPLALESQIKEQAQLLYRNLGLTGLARIDFFVTNQGAIYLNEINTMPGFTGHSRYPAMMAEVGLSYEILVEKLIALAEEDKR ->ARGMiner~~~vanC~~~WP_063856686.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_063856686.1~~~glycopeptide~~~unknown MKKIAVLFGGNSPEYSVSLASAASVIQAIDPLKYEVMTIGIAPTMDWYWYQGNLANVRNDTWLEDHKNCHQLTFSSQGFILGEKRIVPDVLFPVLHGKYGEDGCIQGLLELMNLPYVGCHVAATALCMNKWLLHQLADTMGIASAPTLLLSRYENDPATIDRFIQDHGFPIFIKPNEAGSSKGITKVTDKTALQSALTTAFAYGSTVLIQKAIAGIEIGCGILGNEQLTIGACDAISLVDGFFDFEEKYQLISATITVPAPLPLALESQIKEQAQLLYRNLGLTGLARIDFFVTNQGAIYLNEINTMPGFTGHSRYPAMMAEVGLSYEILVEQLIALAEEDKR ->ARGMiner~~~vanC~~~WP_063856681.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_063856681.1~~~glycopeptide~~~unknown MKKIAIIFGGNSPEYTVSLASATSAIEALQSSPYDYDLSLIGIAPDAMDWYLYTGELENIRQDTWLLDTKHKQKIQPLFEGNGFWLSEEQQTLVPDVLFPIMHGKYGEDGSIQGLFELMKLPYVGCGVAGSALCMNKWLLHQAAAAIGVQSAPTILLTNQANQQEQIEAFIQTHGFPVFFKPNEAGSSKGITKVTCVEEIASALKEAFTYCSAVLLQKNIVGVEIGCGILGNDSLTVGACDAISLVDGFFDFEEKYQLISAKITVPAPLPETIETKVKEQAQLLYRSLGLKGLARIDFFVTDQGELYLNEINTMPGFTSHSRYPAMMAAVGLSYQELLQKLLVLAKEEVK ->ARGMiner~~~vanC~~~WP_063856678.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_063856678.1~~~glycopeptide~~~unknown MKKIAIIFGGNSPEYTVSLASATSAIEALQSSPYDYDLSLIGITPDAMDWYLYTGELENIRQDTWLLDTKHKQKIQPLFEGNGFWLSEEQQTLVPDVLFPIMHGKYGEDGSIQGLFELMKLPYVGCGVAGSALCMNKWLLHQAAAAIGVQSAPTILLTNQANQQEQIEAFIQTHGFPVFFKPNEAGSSKGITKVTCVEEIASALKEAFTYCSAVLLQKNIAGVEIGCGILGNDSLTVGACDAISLVDGFFDFEEKYQLISAKITVPAPLPETIETKVKEQAQLLYRSLGLKGLARIDFFVTDQGELYLNEINTMPGFTSHSRYPAMMAAVGLSYQELLQKLLVLAKEEVK ->ARGMiner~~~vanC~~~WP_063856670.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_063856670.1~~~glycopeptide~~~unknown MKKIAIIFGGNSPEYTVSLASATSAIEALQSSPYDYDLSLIGIAPDAMDWYLYTGELENIRQDTWLLDTKHKQKIQPLFEGNGFWLSEEQQTLVPDVLFPIMHGKYGEDGSIQGLFELMKLPYVGCGVAGSALCMNKWLLHQAAAAIGVQSAPTILLTNQANQQEQIEAFIQTHGFPVFFKPNEAGSSKGITKVTCVEEIASALKEAFTYCSAVLLQKNIAGVEIGCGILGNDSLTVGACDAISLVDGFFDFEEKYQLISAKITVPAPLPETIETKVKEQAQLLYRSLGLKGLARIDFFVTDQGELYLNEINTMPGFTSHSRYPAMMAAVGLSYQELLQKLLVLAKEEVK ->ARGMiner~~~vanC~~~WP_063856666.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_063856666.1~~~glycopeptide~~~unknown MKKIAIIFGGNSSEYTVSLASATSAIEALQSSPYDYDLSLIGIAPDAMDWYLYTGELENIRQDTWLLDTKHTQKIQPLFEENGFWLSEAQQTLVPDVLFPIMHGKYGEDGSIQGLFELMKLPYVGCGVAASALCMNKWLLHQAAAAIGVQSAPTILLTNQDNQQQQIEAFIQTHGFPVFFKPNEAGSSKGITKVTCVEEIAPALKEAFAYCSAVLLQKNIAGVEIGCGILGNDSLTVGACDAISLVEGFFDFEEKYQLISAKITVPAPLPETIETKVKEQAQLLYHSLGLKGLARIDFFVTDQGELYLNEINTMPGFTSHSRYPAMMAAIGLSYQELLQKLLVLAKEEGK ->ARGMiner~~~vanC~~~WP_063856657.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_063856657.1~~~glycopeptide~~~unknown MKKIAIIFGGNSPEYAVSLASATSAIEALQSSPYDYDLSLIGIAPDAMDWYLYTGELENIRQDTWLLDTKHKQKIQPLFEGNGFWLSEEQQTLVPDVLFPIMHGKYGEDGSIQGLFELMKLPYVGCGVAASALCMNKWLLHQAAEAIGVQSAPTILLTNQDNQQRQIEAFIQTHDFPVFFKPNEAGSSKGITKVTCVEEIAPALKEAFAYCSAVLLQKNIAGVEIGCGILGNDSLTVGACDAISLVEGFFDFEEKYQLISAKITVPAPLPETIETKVKEQAQLLYHSLGLKGLARIDFFVTDQGELYLNEINTMPGFTSHSRYPAMMAAIGLSYQELLQKLLVLAKEEGK ->ARGMiner~~~vanC~~~WP_063856652.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_063856652.1~~~glycopeptide~~~unknown MKKIAIIFGGNSPEYTVSLASATSAIEALQSSPYDYDLSLIGIAPDAMDWYLYTGELENIRQDTWLLDTKHTQKIQPLFEGNGFWISEAQQTLVPDVLFPIMHGKYGEDGSIQGMFELMKLPYVGCGVAASALCMNKWLLHQAAAAIGVQSAPTILLTNQANQQRQIEAFIQTHGFPVFFKPNEAGSSKGITKVTCVEEIAPALKEAFAYCSAVLLQKNIAGVEIGCGILGNDSLTVGACDAISLVDGFFDFEEKYQLISAKITVPAPLPETIETKVKEQAQLLYHSLGLKGLARIDFFVTDQGELYLNEINTMPGFTSHSRYPAMMAAVGLSYQELLQKLLVLAKEEGK ->ARGMiner~~~vanC~~~WP_063856645.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_063856645.1~~~glycopeptide~~~unknown MKKIAVLFGGNSPEYSVSLASAASVIQAIDPLKYEVMTIGIAPTMDWYLYQGNLANVRNDTWLEDHKNCHQLTFSSQGFILGEKRIVPDVLFPVLHGKYGEDGCIQGLLELMNLPYVGCHVAASALCMNKWLLHQLADTMGIASAPTLLLSRYENDPATIDRFIQDHGFPIFIKPNEAGSSKGITKVTDKTALQSALTTAFAYGSTVLIQKAIAGIEIGCGILGNEQLTIGACDAISLVDGFFDFEEKYQLISATITVPAPLPLALESQIKEQAQLLYRNLGLTGLARIDFFVTNQGAIYLNEINTMPGFTGHSRYPAMMAEVGLSYEILVEQLIALAEEDKR ->ARGMiner~~~vanC~~~WP_063856635.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_063856635.1~~~glycopeptide~~~unknown MKKIAIIFGGNSPEYTVSLASATSAIEALQSSPYDYDLSLIGIAPDAMDWYLYTGELENIRQDTWLLDTKQKQKIQPLFEGNGFWLSEEQQTLVPDVLFPIMHGKYGEDGSIQGMFELMKLPYVGCGVAGSALCMNKWLLHQAAAAIGVQSAPTILLTNHANQQEQIEAFIQTHGFPVFFKPNEAGSSKGITKVTCVEEIASALKEAFTYCSAVLLQKNIAGVEIGCGILGNDSLTVGACDAISLVDGFFDFEEKYQLISAKITVPAPLPETIETKVKEQAQLLYRSLGLKGLARIDFFVTDQGELYLNEINTMPGFTSHSRYPAMMAAVGLSYQELLQKLLVLAKEEVK ->ARGMiner~~~vanB~~~WP_063856625.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_063856625.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFELSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMMAAAGITLPALIDSLITLALKR ->ARGMiner~~~vanB~~~WP_063856597.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_063856597.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAVCMDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMVAAAGITLPALIDSLITLALKRG ->ARGMiner~~~vanB~~~WP_063856593.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_063856593.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQIIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISVCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTMPGFTSYSRYPRMVAAAGITLPALIDSLITLALKR ->ARGMiner~~~vanB~~~WP_063856590.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_063856590.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSXDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQIIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTMPGFTSYSRYPRMVAAAGITLPALIDSLITLALKR ->ARGMiner~~~vanB~~~WP_063856580.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_063856580.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAIFSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQVIEKGGKPEARTLTYPVFVKPARSGSSFGVTKVNSTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMIIVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMMAAAGITLPALIDSLITLALKR ->ARGMiner~~~vanB~~~WP_063856565.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_063856565.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGLTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMMAAAGITLPALIDSLITLALKR ->ARGMiner~~~vanB~~~WP_063856559.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_063856559.1~~~glycopeptide~~~unknown MNRIKVATIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGPEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTMPGFTSYSRYPRMVAAAGITLPALIDSLITLALKR ->ARGMiner~~~vanA~~~WP_063856536.1~~~glycopeptide unknown +>ARGMiner~~~vanA~~~WP_063856536.1~~~glycopeptide~~~unknown MNRVKVAILFGGCSEEHDVSVKSAREIAANIDNEKYEPLYIGITKSGVWKMCEKPCAEWENSNCYSAVLSPDKKMHGLLVKKNHEYEIHHVDVAFSGMHSKSGEDGSIQGLFELSGIPYVGCDIQSSAICMDKSLTYTIAKNAGIAIPEFWVINKDDKPAADTFTYPVFVKPARSGSSYGVKKVNVADELDAAIESARQYDSKILIEQAVLGCEVGCAVLGNSSELIVGEVDQIRLQHGIFRIHQEAEPEKGSENAVITIPADLSAEERGRIQETAKKIYKALGCRGLSRVDMFLQDNGRIVLNEVNTLPGFTSYSRYPRMMAAAGITLPELIDRLIVFALQG ->ARGMiner~~~vanA~~~WP_063856531.1~~~glycopeptide unknown +>ARGMiner~~~vanA~~~WP_063856531.1~~~glycopeptide~~~unknown MNRVKVAILFGGCSEEHDVSVKSAREIAANIDNEKYEPLYIGITKFGVWKMCEKPCAEWENSNCYSAVLSPDKKMHGLLVKKNHEYEIHHVDVAFSVLHGKSGEDGSIQGLFELSGIPYVGCDIQSSAICMDKSLTYTIAKNAGIATPDFWVINKDDKPAIDAFTYPVFVKPARSGSSYGVKKVNGADELDAAIESARQYDSKILIEQAVLGCEVGCAVLGNSSELIVGEVDQIRLQHGIFRIHQEAEPEKGSENAVITIPADLSVEVRGRIQETAKKIYKALGCRGLSRVDMFLQDNGSIVLNEVNTLPGFTSYSRYPRMMVAAGITLPELIDRLIVLALKG ->ARGMiner~~~vanA~~~WP_063856526.1~~~glycopeptide unknown +>ARGMiner~~~vanA~~~WP_063856526.1~~~glycopeptide~~~unknown MYRVKIAILFGGCSEEHDVSVKSAKEIANNINTEKYEPIYIGITRSGVWKMCEKPCMDWDNENCRSAVLSPDKRMHGLLVMRDKGYQIQRIDAAFSVLHGKSGEDGAIQGLFELSGIPYVGCDIQSSAVCMDKSLAYIIAKNDGIATPEFWVINKDDRPAAAAFTYPVFVKPARSGSSYGVKKVNGADELDAAIESARQYDSKILIEQAVLGCEVGCAVLGNSSELIVGEVDQIRLQHGIFRIHQEAEPEKGSENAVITIPADLSAEERGRIRDTAKKIYKALGCRGLARVDMFLQDNGRIVLNEVNTLPGFTSYSRYPRMMVAAGITLPELIDRLIVLALKG ->ARGMiner~~~vanA~~~WP_063856521.1~~~glycopeptide unknown +>ARGMiner~~~vanA~~~WP_063856521.1~~~glycopeptide~~~unknown MNRIKVAILFGGCSEEHDVSLKSAIEIAANINKEKYEPLYIGITKSGVWKMCEKPCAEWENDNCYSAVLSPDKKMHGLLVKKNHEYEINHVDVAFSALHGKSGEDGSIQGLFELSGIPFVGCDIQSSAICMDKSLTYIVAKNAGIATPAFWVINKDDRPVAATFTYPVFVKPARSGSSFGVKKVNSADELDYAIESARQYDSKILIEQAVSGCEVGCAVLGNSAALVVGEVDQIRLQYGIFRIHQEVEPEKGSENAVITVPADLSAEERGRIQETAKKIYKALGCRGLARVDMFLQDNGRIVLNEVNTLPGFTSYSRYPRMMAAAGIALPELIDRLIVLALKG ->ARGMiner~~~vanA~~~WP_063856516.1~~~glycopeptide unknown +>ARGMiner~~~vanA~~~WP_063856516.1~~~glycopeptide~~~unknown MNRIKVAILFGGCSEEHDVSVKSAIEIAANIDKEKYETLYIGITKSGVWKMCEKPCVEWENDNCCSAVLSPDKKMHGLLVKKNHEYEIDHVDVAFSVLHGKSGEDGSIQGLFELSGIPFVGCDIQSSAICMDKSLTYIVAENAGIATPEFWVFNKDDKPMADTFTYPVFVKPARSGSSFGVKKVNGADELDAAIELAGQYDSKILIEQAVLGCEVGCAVLGNSSAFVVGEVDQIRLQHGIFRIHQEAEPEKGSENAVITVPADLSAEERGRIQETAKKIYNALGCRGLARVDMFLQDNGRIVLNEVNTLPGFTSYSRYPRMMAAVGIAFPELIDRLIVLALKG ->ARGMiner~~~tet(Y)~~~WP_063856459.1~~~tetracycline unknown +>ARGMiner~~~tet(Y)~~~WP_063856459.1~~~tetracycline~~~unknown MSKSLITALIVVALDAIGLGLIMPVVPALLNEFVPAEQTAFHYGVFLSLYAFMQVFCAPVLGRLSDRYGRRIILLVSFLGATIDYSIMAAAPVLWVLYIGRIISGVTGATGAIAASIIADTTKQEERARWFGFMGACFGAGMIAGPAIGGVLGDISVHAPFVAGALLNAIAFCLVAFLLPKASSQPPEGQPAKINLFEGFRFNFAVQGLTSFFALFFLMQLIGQAPAALWVIYGEQRLNWDIGTAGVSLAIFGAAHTFVQAVLTGTLSKRLGDRGVLLLGMGADICGFLLLAFITQSWMVLPAIFMLATGGIGMPALQAIISGLVRDEKQGALQGTLTGLTNITSIIGPVGFTTLYGLTAGRWDGWVWLVAASLYFIAIPLLRQSASLLRS ->ARGMiner~~~tetW~~~WP_063856425.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_063856425.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISREKLAREEQQRVQDASLFPVYHGSAKNGLGIQPLMDAVTGLFQPIGEQGGAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYQGEIVILPSDSVRLNDVLGDQTRLPRKRWREDPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPSVIYMERPLKAASPTIHIEVPPNPFWASIGLSVTPLSLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFILYAPQEYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGRSVCLTELKGYQAAVGQPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~tetW~~~WP_063856423.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_063856423.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDKLLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPSVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLSLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFILYAPQEYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGRSVCLTELKGYQAAVGQPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~tetW~~~WP_063856422.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_063856422.1~~~tetracycline~~~unknown MNIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMLLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMDIPTVIFINKIDQAGVDLQGVYQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISREELAQEEQRRVQDASLFPVYHGSAKKGLGIQPLMDAVTGLFQPIGEQGSDALCGSVFKVEYTDCGQRLIYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTSIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETVQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGQSVCLTELKGYQAAVGKPVIQPRRPNSRLDKVRHMFSKITG ->ARGMiner~~~tet32~~~WP_063856419.1~~~tetracycline unknown +>ARGMiner~~~tet32~~~WP_063856419.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDKLLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILADDTLKLNDILGNEKLLPHKTRIDNPMPLLRTTVEPQKPEQREALLNALAEIADTDPLLHFDIDTVTHEIMLSFLGKVQLEVICSLLEEKYHVGVAMKEPSVIYLERPLRKAEYTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTNFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~tetW~~~WP_063856418.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_063856418.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDKLLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTSIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLSLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETVQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGRSVCLTELKGYQAAVGQPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~tetW~~~WP_063856417.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_063856417.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDKLLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTSIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLSLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETVQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGQSVCLTELKGYQAAVGKPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~tetW~~~WP_063856416.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_063856416.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISREKLAREEQQRVQDASLFPVYHGSAKNGLGIQPLMDAVTGLFQPIGEQGGAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYQGEIVILPSDSVRLNDVLGDQTRLPRKRWREDPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPSVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLSLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWXVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFILYAPQEYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGRSVCLTELKGYQAAVGQPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~tetW~~~WP_063856415.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_063856415.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDALLEKYIAGEPISQEKLAREEQRRVQEASLFPVYHGSAKKGLGIQPLMDAVTGLFQPIGEQGSATLCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDQTRLPRKRWREAPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKIETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPQEYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGQSVCLTELKGYQAAVGQPVIQPRRPNNRLDKVRHMFQKVM ->ARGMiner~~~tetW~~~WP_063856414.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_063856414.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDKLLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTSIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLSLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETVQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGQSVCLTELKGYQAAVGKPVIQPRRPNSRLDKVRYMFQKIM ->ARGMiner~~~tetT~~~WP_063856413.1~~~tetracycline unknown +>ARGMiner~~~tetT~~~WP_063856413.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTVTEGLLYKSGAINKIGRVDNATTTTDSMELERDRGITIRASTVSFNYNDTKVNIIDTPGHMDFIAEVERTLKVLDGAILVISAKEGIQVQTKVIFNTLVKLNIPTLIFVNKIDRKGVCLDEIYTQIQEKLTSNLAIMQSVKIKDKGDFELTNVRDDKVIQSQIIEKLLDINDYLAEKYINGDVIAEKEYNDVFLDEINNCNLYPVFHGSALKNIGIDELLFAITKYLPTKSYNTEDLLSAYVYKIDRDEKSRKMTFLRVFSGNIRTRQDVYINGTEETFKIKSLESVMNGEIVKVDQVNSGDIAIISNANSLKIGDYIGKKYDGILDIKIAQPALRASIKPCDLSKRSKLIEALFELTEEDPFLDCEINGDTGEIILKLFGNIQMEVIESLLKSRYKIDARFCELKTIYKERPKRNSKAVIHIEVPPNPYWASIGLSIEPLPIGSGLLYKTEVSYGYLNNSFQNAVKDAVEKACKEGLYGWEVTDLKVTFDYGLYYSPVSTPSDFRNLTPYVFWEALRKAGTEILEPYLKYTVQVPNDFCGRVMSDLRKMRASIEDIIAKGEETTLSGKIPVDTSKSYQSELLSYSNGKGIFITEPYGYDIYNDKPIINDIGNDNNDSNKEGLRYLFQKQDEN ->ARGMiner~~~tetS~~~WP_063856411.1~~~tetracycline unknown +>ARGMiner~~~tetS~~~WP_063856411.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYSSGRIKELGSVDSGTTKTDTMFLERQRGITIQTAITSFQRENVKVNIVDTPGHMDFLADVYRSLSVLDGAILLISAKDGVQSQTRILFHALRKMNIPIIFFINKIDQNGINLPDVYQDIKDKLSDDIIIKQTVNLNLKPYVIDYTEPEQWETVIVGNDYLLEKYTIGKTLNIAELEKEENERIQSCSLYPVYHGSAKNNIGIKQLIEVITSKLFSPTQLNSDKLCGNVFKVEYSDDGQRLVYVRLYSGTLHLRDSVNISEKEKIKVTEMYTSINGELRQIDKAEPGEIIILKNELLKLNNVLGDKKRLPHREILENPLPMLQTTIEPCKSVQREKLLDALFEISDSDPLLQYYVDTVTHEIVLSFLGEVQMEVTCTLIQEKYHIEIETRKPTVIYMERPLKKSEFTIDIEVPPNPFWASIGLSVTPLPLGSGIQYESLVSLGYLNQSFQNAVMEGIRYGCEQGLYGWKLTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQAFRKSGTELLEPYLSFEIYVPQEYLSRAYNDASKYCANILNTKLKGNEVILIGEIPARCIQEYRNSLTFFTNGRSVCLTELKGYQVTNIKSAFQPRRPNNRIDKVRHMFNKINLH ->ARGMiner~~~tetQ~~~WP_063856407.1~~~tetracycline unknown +>ARGMiner~~~tetQ~~~WP_063856407.1~~~tetracycline~~~unknown MNIINLGILAHIDAGKTSVTENLLFASGATEKCGRVDNGDTITDSMDIEKRRGITVRASTTSIIWNGVKCNIIDTPGHMDFIAEVERTFKMLDGAVLILSAKEGIQAQTKLLFSTLQKLQIPTIIFINKIDRAGVNLERLYMDIKTNLSQDVLFMQTVVDGSVYPVCSQTYIKEEYKEFVCNHDDDILERYLADSEISPADYWNTIIALVAKAKVYPVLHGSAMFNIGINELLDAITSFILPPASVSNRLSAYLYKIEHDPKGHKRSFLKIIDGSLRLRDVVRINDSEKFIKIKNLKTIYQGREINVDEVGANDIAIVEDIEDFRIGDYLGAKPCLIQGLSHQHPALKCSVRPNKPEERSKVISALNTLWIEDPSLSFSINSYSDELEISLYGLTQKEIIQTLLEERFSVKVHFDEIKTIYKERPIKKVNKIIQIEVPPNPYWATIGLTLEPLPLGAGLQIESDISYGYLNHSFQNAVFEGIRMSCQSGLHGWEVTDLKVTFTQAEYYSPVSTPADFRQLTPYVFRLALQQSGVDILEPMLCFELQIPQVASSKAITDLQKMMSEIEDISCNNEWCHIKGKVPLNTSKDYASEVSSYTKGLGIFMVKPCGYQITKDGYSDNIRMNEKDKLLFMFQKSMSLK ->ARGMiner~~~tetO~~~WP_063856405.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_063856405.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYSSDIACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGKVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~tetM~~~WP_063856403.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856403.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKIGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELHPNMRVMNFTESEQWDMVIEGNDYLLEKYTSGKLLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILHNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856402.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856402.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKSTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSDLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNVCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNNIT ->ARGMiner~~~tetM~~~WP_063856397.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856397.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELHPNMRVMNFTESEQWDMVIEGNDYLLEKYTSGKLLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856393.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856393.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDRGTTKTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKIGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856115.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856115.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYTSGKLLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856112.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856112.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDPVRISEKEKIKVTEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856111.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856111.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRKRIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tet(L)~~~WP_063856081.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~WP_063856081.1~~~tetracycline~~~unknown MNTSYSQSTLRHNQVLIWLCVLSFFSVLNEMVLNVSLPDIANEFNKLPASANWVNTAFMLTFSIGTALYGKLSDQLGIKNLLLFGIMVNGLGSIIGFVGHSFFPILILARFIQGIGAAAFPALVMVVVARYIPKENRGKAFGLIGSLVAMGEGVGPAIGGMVAHYIHWSYLLLIPTATIITVPFLIKLLKKEERIRGHIDMAGIILMSAGIVFFMLFTTSYRFSFLIISILAFFIFVQHIRKAQDPFVDPELGKNVFFVIGTLCGGLIFGTVAGFVSMVPYMMKDVHHLSTAAIGSGIIFPGTMSVIIFGYIGGLLVDRKGSLYVLTIGSALLSSGFLIAAFFIDAAPWIMTIIVIFVFGGLSFTKTVISTVVSSSLKEKEAGAGMSLLNFTSFLSEGTGIAIVGGLLSIGFLDHRLLPIDVDHSTYLYSNMLILFAGTIVICWLVILNVYKRSRRHR ->ARGMiner~~~tet(G)~~~WP_063856077.1~~~tetracycline unknown +>ARGMiner~~~tet(G)~~~WP_063856077.1~~~tetracycline~~~unknown MRSSAIIALLIVGLDAMGLGLIMPVLPTLLRELVPAEQVAGHYGALLSLYALMQVVFAPVLGQLSDSYGRRPVLLASLAGAAVDYAIMASAPVLWVLYIGRLVSGITGATGAVAASTIADSTGEGSRARWFGYMGACYGAGMIAGPALGGMLGGISAHAPFIAAALLNGFAFLLACIFLRETRRGHGKTGKPVRIQPFVLFRLDDVLRGLTALFAVFFIIQLIGQVPAALWVIYGEDRFQWNTATVGLSLAAFGATHAIFQAFVTGPLSSRLGERRTLLFGMAADATGFVLLAFATQGWMVFPILLLLAAGGVGMPALQAMLSNNVSSNKQGALQGTLTSLTNLSSIAGPLGFTALYSATAGAWNGWVWIVGAILYLICLPILRRPFATSL ->ARGMiner~~~tet(59)~~~WP_063856075.1~~~tetracycline unknown +>ARGMiner~~~tet(59)~~~WP_063856075.1~~~tetracycline~~~unknown MNKPAVIALVITLLDAMGIGLIMPVLPSLLREYLPEADVANHYGILLALYAVMQVCFAPLLGRWSDKLGRRPVLLLSLAGAAFDYTLLALSNVLWMLYLGRIISGITGATGAVAASVVADSTAVSERTAWFGRLGAAFGAGLIAGPAIGGLAGDISPHLPFVIAAILNACTFLMVFFIFKPAVQTEEKPAEQKQESAGISFITLLKPLALLLFVFFTAQLIGQIPATVWVLFTESRFAWDSAAVGFSLAGLGAIHALFQAVVAGALAKRLSEKTIIFAGFIADATAFLLMSAITSGWMVYPVLILLAGGGIALPALQGIISAGASAANQGKLQGVLVSLTNLTGVAGPLLFAFIFSQTQQSADGTVWLIGTALYGLLLAICLLIRKPAPVAATC ->ARGMiner~~~tet(59)~~~WP_063856074.1~~~tetracycline unknown +>ARGMiner~~~tet(59)~~~WP_063856074.1~~~tetracycline~~~unknown MNKPAVIALVITLLDAMGIGLIMPVLPSLLREYLPEADVANHYGILLALYAVMQVCFAPLLGRWSDKLGRRPVLLLSLAGAAFDYTLLALSNVLWMLYLGRIISGITGATGAVAASVVADSTAVSERTAWFGRLGAAFGAGLIAGPAIGGLXGDISPHLPFVIAAILNACTFLMVFFIFKPAVQTEEKPAEQKQESAGISFITLLKPLALLLFVFFTAQLIGQIPATVWVLFTESRFAWDSAAVGFSLAGLGAMHALFQAVVAGALAKRLSEKTIIFAGFIADATAFLLMSAITSGWMVYPVLILLAGGGIALPALQGIISAGASAANQGKLQGVLVSLTNLTGVAGPLLFAFIFSQTQQSADGTVWLIGTALYGLLLAICLLIRKPAPVAATC ->ARGMiner~~~tet(59)~~~WP_063856073.1~~~tetracycline unknown +>ARGMiner~~~tet(59)~~~WP_063856073.1~~~tetracycline~~~unknown MNKPAVIALVITLLDAMGIGLIMPVLPSLLREYLPEADVANHYGILLALYAVMQVCFAPLLGRWSDKLGRRPVLLLSLAGAAFDYTLLALSNVLWMLYLGRIISGITGATGAVAASVVADSTAVSERTAWFGRLGAAFGAGLIAGPAIGGLAGDISPHLPFVIAAILNACTFLMVFFIFKPAVQTEEKPADEKQESAGISFITLLKPLALLLFVFFTAQLIGQIPATVWVLFTESRFAWDSAAVGFSLAGLGAMHALFQAVVAGALAKRLSEKTIIFAGFIADATAFLLMSAITSGWMVYPVLILLAGGGIALPALQGIISAGASAANQGKLQGVLVSLTNLTGVAGPLLFAFIFSQTQQSADGTVWLIGTALYGLLLAICLLIRKPAPVAATC ->ARGMiner~~~tet(C)~~~WP_063856071.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_063856071.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMSLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILQTLAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRGWMAFPIMILLASGGIGMPALQAMLSRQVDDDHQGQLQGSLAALTSLTSIIGPLIFTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~tet(B)~~~WP_063856067.1~~~tetracycline unknown +>ARGMiner~~~tet(B)~~~WP_063856067.1~~~tetracycline~~~unknown MNSSTKIALVITLLDAMGIGLIMPVLPTLLRELIASEDIANHLGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLNNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~tet(C)~~~WP_063855983.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_063855983.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLATGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~tet(C)~~~WP_063855982.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_063855982.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALVQFACAPVLGALSDRFGRRPILLVSLAGATVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~tet(L)~~~WP_063855885.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~WP_063855885.1~~~tetracycline~~~unknown MNTPHSHSNLRHNQILLWLCVLSFFSVLNEMVLNVSLPDIASDFNKEPASINWVNSSFILTFSIGTAVYGKLSDQLGIKKLLLFGIIVNCFGSVIGFVGHFFFPVLILARFIQGVGAAAFPALVMVVIARYIPKENRGKAFGIIGSIVTMGEGVGPSVGGVIAEYAHWSYILLLPVVTIITVPFLAKLLKQEEVIKGSFDTKGIIFMSVGIVFFIMFTTCYRVSFLVVSIICFLIFVKNIRKVSNPFINPSLGKNVSFMIGIICGGLIFGTVAGFISMVPYMMKDVYQLSTAAIGSGIIFPGAMSVIVFGYIGGLLVDKKGSLFVLTTGVAFLSISFLVAALFIETTPWLITIILIFVFGGLSFTKTVISTIVSSSLEQKEAGAGMSLLNFTSFLSEGTGIAIVGGLLSIHLLNRKLLPINAEPSTHLYSNLLLLFAGITIISWLVTIKMHKRSRGNI ->ARGMiner~~~sul2~~~WP_063855540.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_063855540.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRVRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~sul1~~~WP_063855129.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_063855129.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPAPALYPDIADADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~sul1~~~WP_063855115.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_063855115.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVCYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~sul1~~~WP_063855103.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_063855103.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRLEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~sul1~~~WP_063855066.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_063855066.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDQG ->ARGMiner~~~sul1~~~WP_063855046.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_063855046.1~~~sulfonamide;sulfone~~~unknown MLRSRVTVFGILNPTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATAGLPVKDLGPASLAAELHAIGNGADYVRTHAPGELRSAIAFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~sul1~~~WP_063855037.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_063855037.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPKDALDEIVRFFEERVSALRRSGVAADRLILDPGMGFFMSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~rmtB~~~WP_063854854.1~~~aminoglycoside unknown +>ARGMiner~~~rmtB~~~WP_063854854.1~~~aminoglycoside~~~unknown MNINDALTSILASKKYRALCPDTVRRILTEEWGRHKSPKQAVEAARTRLHGICGAYVTPESLKAAAAALSAGDVKKALSLHASTKERLAELDTLYDFIFSAETPRRVLDIACGLNPLALYERGVASVWGCDVHQGLGDVITPFAREKDWDFTFALQDVLCAPPAETGDLALIFKLLPLLEREQAGSAMALLQSINTPRMAVSFPTRSLGGRGKGMEANYAAWFEGGLPTEFEIEDKKTIGTELIYLIKKNG ->ARGMiner~~~QnrS7~~~WP_063854808.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrS7~~~WP_063854808.1~~~fluoroquinolone~~~unknown MEIYSHTYRHHNFSHKDLSDLTFTACTFIRSDFRRANLRDTTFVNCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIEFRACDLKGANFSRTNFAHQVSNRMYFCSAFISGCNLSYANMERVCLEKCELFENRWIGTNLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIAAWQQELILEALGIVVYPD ->ARGMiner~~~QepA2~~~WP_063854778.1~~~fluoroquinolone unknown +>ARGMiner~~~QepA2~~~WP_063854778.1~~~fluoroquinolone~~~unknown MSATLHDTAADRRKATRREWIGLAVVALPCLVYAMDLTVLNLALPVLSRELQPSSAQLLWILDIYGFFVAGFLITMGTLGDRIGRRRLLLIGAAFFAFASVLAALADTAALLIAARALLGLAGATIAPSTMALVRNMFHDPRQRQFAIGVWIAAFSLGSAIGPLVGGVLLEFFHWGAVFWLNVPVMLLTLALGPRFLPEYRDPDAGHLDLASVLLSLAAVLLTIYGLKQLAEHGAGLASMAALLAGLAVGALFLRRQGHIAYPLLDLRLFAHAPFRAALAAYALAALAMFGVYIFMTQYLQLVLGLSPLQAGLATLPWSLCFVIGSLLSPQLAARWPAARILVVGLSAAAFGFAVLGLGQGLWWLVPATIVMGLGLAPVFTIGNEIIITSAPSERAGAASALSETVSEFSGALGIALFGSVGLVVYRQALTSAALPGLPADALQAAGASLGGAVHLADTLPAWQGAALLAAARAGFTDALQATAWAGAVLVLVAAGLVARLLRKRPTLASG ->ARGMiner~~~LRA-1~~~WP_063854501.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~WP_063854501.1~~~cephalosporin;penam~~~unknown MNHSPLRRSLLVAAISTPLIGACAPLRGQAKNVAAAERQLRELESTFDGRLGFVALDTATGARIAHRGDERFPFCSTSKMMLCAAVLARSAGEPALLQRRIAYAKGDLIRYSPITEQHVGAGMSVAELCAATLQYSDNTAANLLIALLGGPQTVTAYARSIGDATFRLDRREPELNTALPGDERDTTTPAAMAASVHRLLVGDALGAAQRAQLNAWMLGNKTGDARIRAGVPADWRVADKTGTGDYGTANDIGVAYPPNRAPIVFIVYTTTRNPNAQARDDVIASATRIAARAFA ->ARGMiner~~~otr(A)~~~WP_063854498.1~~~tetracycline unknown +>ARGMiner~~~otr(A)~~~WP_063854498.1~~~tetracycline~~~unknown MRTLNIGILAHVDAGKTSLTERLLFDHGAVDRLGSVDAGDTRTVDGGIERRRGITIRSAVAAFTVGDTRVNLIDTPGHSDFVAEVERALEVLDGAVLLLSAVEGVQARTRVLMRALRRLRLPTIVFVNKIDRAGARTDGLLGDVRRLLTPHVAPLTEVADAGTPRARVTRRPPDGRTAEALAEVDTEVLAALVDGPEPTGEDVARALAARTADGSFHPLYHGSALGGQGVAELVEGLLGLIPAATPGTSGGTSGGTEPRGTVFAVRPGPAGERTAYLRLYGGEVHPRRRLTFLRRESDGRTTEVSGRVTRLDVVGGDATLTAGNIAALTVPGGLRVGDRLGGPTDRAPQFAPPTLQTLVRARHPEQAAPLRSALLALADQDPLLHARPAASGATALLLYGEVQMEVLAATLAEDFGIEAEFTPGRVRFLERPAGTDEAAEEMPWLDRTRYFATIGLRVEPGPRGSGGAFGYETELGALPRAFHQAVEETVHDTLRTGLTGAAVTDYRVTLIRSGFSSPLSTAADFRGLTPLVLRRALARAGTVLHEPYQAFEAEVPADTLAAVTALLASLGADFTGTTGGDPAWIVTGELPARRVREAELRLPGLTHGEAVWSSRPCEDRPLKAGNSGPGTGVGGHSGE ->ARGMiner~~~tsnR~~~WP_063854493.1~~~peptide unknown +>ARGMiner~~~tsnR~~~WP_063854493.1~~~peptide~~~unknown MTEPAIITNASDPAVQRIIDVTKHSRASIKTTLIEDTEPLMECIRAGVQFIEVYGSSGTPLDPALLDLCRQREIPVRLIDVSIVNQLFKAERKAKVFGIARVPRPARLADIAERGGDVVVLDGVKIVGNIGAIVRTSLALGAAGIVLVDSDLATIADRRLLRASRGYVFSLPVVLADREEAVSFLRDNDIALMVLDTDGDLGVKDLGDRADRMALVFGSEKGGPSGLFQEASAGTVSIPMLSSTESLNVSVSVGIALHERSARNFAVRRAAAQA ->ARGMiner~~~msrC~~~WP_063854349.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrC~~~WP_063854349.1~~~macrolide;streptogramin~~~unknown MENLAVNITNLQVSFGNQLELSIDSLRVYQQDRIGIIGENGVGKSTLLKLIAGELFPDHGKIQTEITFNYLPQLTYLAEAKDLNLELASHFQLRLEETSERKWSGGEERKIELIRLLSSYEQGMLLDEPTTHLDRKSIDRLIEELRYYYGTLVFVSHDRYFLDELASKIWEVKDGEIREFSGNYSAYLTQKELEKKTQLREAESIMKEKKRLEKSIQEKKKQAEKLEKVSSKKKKQQIRPDRLSSSKQKDSVQKAIQKNAKTLERRLQKIGETTKPQQMKQIRFPVPKSLELHSRYPIMGQNVQLERSGRTLLVNGDFQFSLGKKIAIVGENGSGKTTLLEHIRKQGEGILLSPKVSFQVYQQKDYQMTSEESVIRFVMRQTEFSESLVRSLLNHLGFAQETLAKPLCTLSGGEATRLMIALLFTKPSNVLLLDEPTNFIDMATIEALEKLMQVYPGTILFTSHDSYFVERTADEVYEIKGQKIKKVLTRNF ->ARGMiner~~~msrA~~~WP_063854341.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_063854341.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIDHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPEPGTVETNGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLNNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRKYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALERSCNVLSGGERTKLSLAVLFSTKANTLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSIHDIT ->ARGMiner~~~msrA~~~WP_063854317.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_063854317.1~~~macrolide;streptogramin~~~unknown MEKYTIKFNQINHKLTDFRSLNIENLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETDGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLNNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALERSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSIHDIT ->ARGMiner~~~msrA~~~WP_063854312.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_063854312.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIDHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETNGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLRNVISNYSPILLLDEPTNHLDKIGKDYLNNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRKYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKEKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALERSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSIHDIT ->ARGMiner~~~mphC~~~WP_063854150.1~~~macrolide unknown +>ARGMiner~~~mphC~~~WP_063854150.1~~~macrolide~~~unknown MTRHNEIIKIAEKHQLHIKPQTISLNESGLDFQVAFGEDEHGIEWVLRLPRRPDVYKRTKPEKQMVDFLQKNVSFEVPNWKVHTKDLIAYPKLTGKPAATIDPEIQNYVWEIEHKPVTKNFINTLAETLVDLHNIPEENITAQHINIKTIQEIKKDFQRRMNKVNETYGVADGLWNRWKQWLENDELWPRRATMIHGDLHPGHIMVDNQANVTGLIDWTEATYSDPSMDFMGYHRVFDDEGLEQLITAYGKAGGETWPRMKEHIIELNAVFPMFIAEFAMESGEPAYEKMALQELGMKE ->ARGMiner~~~mphC~~~WP_063854137.1~~~macrolide unknown +>ARGMiner~~~mphC~~~WP_063854137.1~~~macrolide~~~unknown MTRHNEIIKIAEKHQLHIKPQTISLNESGLDFQVAFGEDEHGIEWVLRLPRRPDVYKRTKPEKQMVDFLQKNVSFEVPNWKVHTKDLIAYPKLTGKPAATIDPEIQNYVWEIEHKPVTKNFINTLAETLVDLHNIPKENITAQHINIKTIQEIKNDFQRRMNKVKETYGVADGLWNRWKQWLENDELWPRRATMIHGDLHPGHIMVDNQANVTGLIDWTEATYSDPSMDFMGYHRVFDDEGLEQLITAYGKAGGETWPRMKEHIIELNAVFPMFIAEFAMESGEPAYEKMALQELGMKE ->ARGMiner~~~mphC~~~WP_063853892.1~~~macrolide unknown +>ARGMiner~~~mphC~~~WP_063853892.1~~~macrolide~~~unknown MTRHNEIIKCAEKYQLHIQPQTISLNESGLDFQVAFGKDKHGVEWVLRLPRRPDVYKRTKPEKQTVDFLQKNVSFEIPKWKVHAKDLIAYPKLTGKPAATIDPEIQNYVWEIEHKPLPENFINTLAETLVDLHNIPEENINVQHINIKTIQEIKNDFQRRMNKVKETYGVSDELWNRWKQWLENDELWPRHATMIHGDLHPGHIMVDNQANVTGLIDWTEATHSEPSMDFIGHHRVFDDEGLEQLITAYGKAGGEIWPRMKEHIIELNAVFPMFIAEFAMESGESAYETMALKELGMKE ->ARGMiner~~~mphC~~~WP_063853881.1~~~macrolide unknown +>ARGMiner~~~mphC~~~WP_063853881.1~~~macrolide~~~unknown MTRHNEIIKCAEKYQLHIQPQTISLNESGLDFQVAFGKDKHGVEWVLRLPRRPDVYKRTKPEKQTVDFLQKNVSFEVPKWKVHERDLIAYPKLTGKPAATIDPEIQNYVWEIEHKPLPENFINTLAETLVDLHNIPEENINVQHINIKTIQEIKNDFQRRMNKVKETYGVSDELWNRWKQWLENDELWPRHATMIHGDLHPGHIMVDNQANVTGLIDWTEATHSDPSMDFMGHHRVFDDEGLEQLITAYGKAGGEIWPRMKEHIIELNAVFPMFIAEFAMESGESAYETMALKELGMKE ->ARGMiner~~~mphA~~~WP_063853866.1~~~macrolide unknown +>ARGMiner~~~mphA~~~WP_063853866.1~~~macrolide~~~unknown MTVVTTADTSQLYALAARHGLKLHGPLTVNELGLDYRIVIATVDDGRRWVLRIPRRAEVSAKVEPEARVLAMLKNRLPFAVPDWRVANAELVAYPMLEDSTAMVIQPGSSTPDWVVPQDSEVFAESFATALAALHAVPISAAVDAGMLIRTPTQARQMVADYVDRVRREFVVNDKRLHRWQRWLDDDSSWPDFSVVVHGDLYVGHVLIDNTERVSGMIDWSEARVDDPAIDMAAHLMVFGKEGLAKLLLTYETAGGRVWPRLAHHIAERLAFGAVTYALFALDSGNEEYLAAAKAQLAAAE ->ARGMiner~~~mphA~~~WP_063853854.1~~~macrolide unknown +>ARGMiner~~~mphA~~~WP_063853854.1~~~macrolide~~~unknown MTVVTTADTSQLYALAARHGLKLHGPLTVNELGLDYRIVIATVDDGRRWVLRIPRRAEVSAKVEPEARVLAMLKNRLPFAVPDWRVANAELVAYPMLEDSTAMVIQPGSSTPDWVVPQDSEVFAESFATALAALHAVPISAAVDAGMLIRTPTQARQKVADDVDRVRRQFVVNDKRLHRWQRWLDDDSSWPDFSVVVHGDLYVGHVLIDNTERVSGMIDWSEARVDDPAIDMAAHLMVFGEEGLAKLLLTYEAAGGRVWPRLAHHIAERLAFGAVTYALFALDSGNEEYLAAAKAQLPQRNERTSI ->ARGMiner~~~mgtA~~~WP_063853740.1~~~macrolide unknown +>ARGMiner~~~mgtA~~~WP_063853740.1~~~macrolide~~~unknown MKRKELHETSRLAYGRRMTTRPAHIAMFSIALHGHVNPSLEVIRELVARGHRVTYAIPRLLADKVAEAGAEPKLWNSTLPGPDADPEAWGSTLLDNVEPFLADAIQSLPQLAQAYEGDEPDLVLHDIASYTARVLGRRWEVPVISLSPCMVAWEGYEQEVGEPMWEEPRKTERGQAYYARFHAWLEENGITDHPDPFIGRPDRSLVLIPKALQPHADRVDETTYTFVGACQGDRTAEGDWARPEGAEKVVLVSLGSAFTKQPAFYRECVRAFGELPGWHTVLQVGRHVDPAELGDVPDNVEVRTWVPQLAILQQADLFVTHAGAGGSQEGLATATPMIAVPQAADQFGNADMLQGLGVARTLPTEEATAKALRTAALALVDDPEVAARLKEIQARMAQEAGTRGPADLIEAELAAARG ->ARGMiner~~~mefA~~~WP_063853705.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853705.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAVLLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~mefA~~~WP_063853698.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853698.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTERTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPVWMVMVVLFIRSVGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGDRVQSLEPNFIREMKEGIVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMDYFNGTPVHISITEISFASGMLAGGLLLGRLGSFEKRVLLITSSFFIMGASLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADRIGVNHWFLLSGILIIGIAIACPMITEVRKLDLKQNS ->ARGMiner~~~mefA~~~WP_063853682.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853682.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLTIVALYMELPVWIAMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGDRVQSLDPNFIREMKEGIVVMRQNKGLFALLLLGTLYTFVYMPINALYPLISMEYFNGTPMHISITEIAFASGMLAGGLILGRLGSYEKRVPLITGSFFMMGASLAIAGLLPPSGFFIFVVCCAIMGLSVPFYSGVQTALFQQKIKPEYLGRVFSFTGSIMSLAMPLGLILSGFFADRIGVNHWFLLSGILIICIAIVCPMMTEVRKLDLK ->ARGMiner~~~mefA~~~WP_063853638.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853638.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPVWIVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGDQVQSLEPNFIREMKEGIVVMRQNKGLFALLLLGTLYTFVYMPINALYPLISMEYFNGTPMHISITEIAFASGMLAGGLILGRLGSYEKRVPLITGSFFMMGASLAIAGLLPPSGFIIFVVCCAIMGLSVPFYSGVQTALFQQKIKPEYLGRVFSFTGSIMSLAMPLGLILSGFFADRIGINHWFLISGILIIGIAIVCPMMTEVRKLDLKQNS ->ARGMiner~~~mefA~~~WP_063853574.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853574.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSLASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAASSVLTIVALYMELPVWMVMIVLFIRSIGTAFHNPALNSVTPLLVPEEQLTKCAGYSQSLQSISYIVSPALAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNPVQSLEPNFIREMREGIVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGSFEKRVLLITSSFFIMGASLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFAERIGVNDWFLLSGVLIIGIAIACPMITEVRKLDLK ->ARGMiner~~~mefA~~~WP_063853563.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853563.1~~~macrolide~~~unknown MEKYNNWKFKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYTELSVWMVMVVLFIRSIGTAFHSPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIISPAAAALLYSVWKLNAIIAIDILGAMIASITVAIVSIPKLGDQVQSLKPNFLREMKEGIVALRQNKGLFALLLLGTLYTFVYMPINALFPLISMEYFNGTPVHISITEIAFASGMLVGGLLLGRLGNFEKRVLLITGSFFIMGASLAVSGLLPPSGFVIFVACCAVMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSFAMPIGLILSGFFADRIGVNHWFLLSGILIIGIAIVCPMITEVRKLDLK ->ARGMiner~~~mecA~~~WP_063852700.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_063852700.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIIIALLILIVAAAGVGTYFYASKDKKINETVDAIEDKNFKQVYKDSTYRSKNDNGEVEMTDRPIKIYESLGVKDINIQDRKIKKVSKNKKQVDAQYKIKTNYGTIDRNVRFNFVKEDGKWKLDWNQSVIIPDMQKDQSIHIEPVKSERGKILDRNNVELANTGTAHEIGIVPTSVSKEDYKAIAQKLSISEDHINQQMNQDWVQDDTFVPLKTVKTMDKDLSDFVKKHHLTTNETESRNYPLEKATSHLLGYVGPINSEELKKKEFKGYEDDAVVGKKGLEKLYDKKLQHKDGYRVTIMDDNSNKVAHTLVEKKKKDGKDIQLTIDANVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMNGMSDEAYKKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDQTNYKINGKGWQKDKSWGDYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKQFEKGMKKLGVGEDIPSDYPFYNAQISNKDLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHVLKDTKNKVWKKNIISAENINLLTDGMQQVVNKTHREDIYRPYANLVGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDDLYENGDKKFDIDK ->ARGMiner~~~mecA~~~WP_063852694.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_063852694.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVAAAGVGTYFYASKDKKINETVDAIEDKNFKQVYKDSTYRSKNDNGEVEMTDRPIKIYESLGVKDINIQDRKIKKVSKNKKQVDAQYKLKTNYGTIDRNVRFNFVKEDGKWKLDWNQSVIIPDMQKDQSIHIEPVKSERGKILDRNNVELANTGTAHEIGIVPTSVSKEDYKAIAQKLSISEGHINQQMNQDWVQDDTFVPLKTVKTMDKDLSDFVKKHHLTTNETESRNYPLEKATSHLLGYVGPINSEELKKKEFKGYEDDAVVGKKGLEKLYDKKLQHKDGYRVTIMDDNSNKVAHTLVEKKKKDGKDIQLTIDANVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMNGMSDEAYKKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDQTNYKINGKGWQKDKSWGDYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKQFEKGMKKLGVGEDIPSDYPFYNAQISNKDLDNEILLADSGYGQGEILINPVQILSIYSALENNGNISAPHVLKDTKNKVWKKNIISEENINLLTDGMQQVVNKTHREDIYRPYANLVGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDDLYENGNKKYDIDE ->ARGMiner~~~mecA~~~WP_063852626.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_063852626.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYKSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWNHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEDLSDFAKKFHLTTNETESRNYPLEKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~mecA~~~WP_063851348.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_063851348.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTHMRLGIVPKNVSKKDYKAIAKELSISEDYINNKWIKIGYKMIPSFHFKTVKKMDEYLSDFAKKFHLTTNETESRNYPLEKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLNDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGESGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~OXY-3-1~~~WP_063851288.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXY-3-1~~~WP_063851288.1~~~monobactam;cephalosporin;penam~~~unknown MTMFKTTFRQTAAIAVSLISLLASPMLWADNNNTIEEQLNTLEKYSQGRLGVALINTKDNSQITYRGEERFAMASTSKVMAVAAVLKASEKQAGLLDKNITIKKSDLVAYSPITEKHLTTGMTLAELSAATLQYSDNTAMNKILDYLGGPAKVTQFARSINDVTYRLDRKEPELNTAIHGDPRDTTSPIAMAKSLQALTLGDALGQSQRQQLVTWLKGNTTGDNSIKAGLPKHWVVGDKTGSGDYGTTNDIAVIWPENHAPLILVVYFTQQEQDAKYRKDIIAKAAEIVTKEISNSPQTK ->ARGMiner~~~fusD~~~WP_063851267.1~~~fusidicacid unknown +>ARGMiner~~~fusD~~~WP_063851267.1~~~fusidicacid~~~unknown MEKQLYPYQFNYIKERIAHLLNTYKSVNDLNTITSIKETTKEDIYQQFHQTDDTLIEAIDKLMNIRISKTQVDKILATLQTYVRPFEHPSKKQIEKTFRKIKKLKSPLISDEILLESTYIGWNDIASNRKFIIYYDEQELLTGFYGDIANQTVKGYCAICNKESNVALFMRKTRTSGDGQYTKKGDYICFDSIKCNQQLSDITQFYQFVDKIQS ->ARGMiner~~~fusD~~~WP_063851262.1~~~fusidicacid unknown +>ARGMiner~~~fusD~~~WP_063851262.1~~~fusidicacid~~~unknown MEKQIYPYQFNYIKERIAHLLNAYKSVNDLNTIASIKETTKIDIYQQFHQIDDTLTEAIEKLMNIRITKVQVDKILETLQTYVIPFEHPSKKQVEKTFRKIKKLKSPLISDEILLESTYIGWNDIASNRKFIIYYNEQGTLTGFYGDIANQTVKGYCAICNKESNVALFMRKTRTSGDGQYTKKGDYICFDSIKCNQQLSDITQFYQFVDKIHS ->ARGMiner~~~fusB~~~WP_063851255.1~~~fusidicacid unknown +>ARGMiner~~~fusB~~~WP_063851255.1~~~fusidicacid~~~unknown MKTMIYPHQYNYIRSVILRLKNVYKTVNDKETVKVIQSETYNDISEIFGHIDDDIEESLKVLMNIRLSNKEIEAILNKFLEYVVPFELPSPQKLQKVFKKVKKIKIPQFEEYDLKVSSFVGWNELASNRKYIIYYDEKKQLKGLYGEISNQVVKGFCTICNKESNVSLFMKKSKTNSDGQYVKKGDYICRDSIHCNKQLTDINQFYNFIDKLD ->ARGMiner~~~FosK~~~WP_063851231.1~~~fosfomycin unknown +>ARGMiner~~~FosK~~~WP_063851231.1~~~fosfomycin~~~unknown MITGINHITFSVRDLRASIEFYRDLLGMKLHVFWDTGAYLTAGNTWLCLSLGQPEPAKDYTHVAFSVREGELLELRAKLKQAGVEEWKQNTSEGDSIYLLDPNGHRLELHCGTLATRLAELESSPYKGLVWS ->ARGMiner~~~FosB~~~WP_063851229.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_063851229.1~~~fosfomycin~~~unknown MIQSINHICFSVANLEKAIEFYQNILQAKLLVKGRKLAYFDLNGLWIALNVEESIPRNEIQYSYTHIAFTVTNNEFDSLKEILIQNQVNILPGRERDDRDKRSIYFTDPDGHKFEFHTGTLQDRLQYYKEDKKYMTFY ->ARGMiner~~~floR~~~WP_063845118.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_063845118.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSPTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCIQSLIVSIVGTLAVTLLNGDTAWPVICYATAMAVLVSLGLALLRSRDAATEKSPVV ->ARGMiner~~~floR~~~WP_063845037.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_063845037.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDAYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFLFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~floR~~~WP_063844973.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_063844973.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVVRWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCIQSLIVSIVGTLAVTLLNGDTAWPVICYATAMAVLVSLGLALLRSRDAATEKSPVV ->ARGMiner~~~ErmX~~~WP_063844922.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmX~~~WP_063844922.1~~~macrolide;lincosamide;streptogramin~~~unknown MSAYGHGRHENGQNFLTNHKIINSIIDLVKQTSGPIIEIGPGSGALTHPMAHLGRAITAVEVDAKLAAKLTQETSSAAVEVVHDDFLNFRLPATPCVIVGNIPFHLTTAILRKLLHAPAWTDAVLLMQWEVARRRAGVGASTMMTAQWSPWFTFHLGSRVPRTAFRPQPNVDGGILVIRRVGDPKIPIEQRKAFQAMVHTVFTARGRGIGEILRRAGLFSSRSETQSWLRSRGIDPATLPPRLHTNDWIDLFQVTGSSLPHHRPISPSGSSQRPPQRKNRSRRR ->ARGMiner~~~ErmX~~~WP_063844894.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmX~~~WP_063844894.1~~~macrolide;lincosamide;streptogramin~~~unknown MSTYGYGRHEHGQNFLTDHKIINSIVDLVKQTSGPIIEIGPGSGALTHPISHLGRAITAVEVDAKLAAKLTKKTASASVEVVHDDFLNFPLPATPCVIVGNIPFHLTTAILRKLLHAPAWTDAVLLMQWEVARRRAGVGASTMMTAQWSPWFTFHLGSRXPRSAFRPQPNVDGGILVIRRVGDPKIPIEQRKAFQAMVHTVFTARGRGIGEILRRAGLFSSRSETQSWLRSRGIDPATLPPRLHTSDWIDLFQVTGSSPPRHRPISQSGSSQRPPQRKNRGRRR ->ARGMiner~~~ErmX~~~WP_063844889.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmX~~~WP_063844889.1~~~macrolide;lincosamide;streptogramin~~~unknown MSAYGHGRHEHGQNFFTNHKIIKSIIDLVKQTSGPIIEIGPGSGALTHPMAHLGRAITAVEVDAKLAAKITQETSSAAVEVVHDDFLNFRLPATPCVIVGNIPFHLTTAILRKLLHAPAWTDAVLLMQWEVARRRAGVGASTMMTAQWSPWFTFHLGSRVPRSAFRPQPNVDGGILVIRRVGDPKIPIEQRKAFQAMVHTVFTARGRGIGEILRRAGLFSSRSETQSWLRSRGIDPATLPPRLHTNDWIDLFQVTGSSLPHHRPISPSGSSQRPPQRKNRSRRR ->ARGMiner~~~ErmB~~~WP_063844850.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_063844850.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNTRVTLIHQDILQFQFPNKQRYKIVGNIPYHLSTKIIKKVVFESRASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPKVNSVLIKLTRHTTDVPDKYWKLYKYFVSKWVNREYRQLFTKNQFYQAMKHAKVNNLSTITYEQVLSIFNSYLLFNGRKIIL ->ARGMiner~~~ErmF~~~WP_063844771.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmF~~~WP_063844771.1~~~macrolide;lincosamide;streptogramin~~~unknown MTKKKLPLRFTGQHFTIDKVLIKDAIRQANISNQDTVLDIGAGKGFLTVHLLKIANNVVAIENDTALVEHLRKLFSDARNVQVVGCDFRNFAVPKFPFKVVSNIPYGITSDIFKILMFENLENFLGGSIVLQFEPTQKLFSRKLYNPYTVFYHTFFDLKLVYEVGPESFLPPPTVKSALLNIKRKHLFFDFKIKAKYLAFISCLLEKPDLSVKTALKSIFRKSQVRTISEKFGLNLNAQIVCLSPSQWLNCFLEMLEVVPEKFHPS ->ARGMiner~~~ErmE~~~WP_063844770.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmE~~~WP_063844770.1~~~macrolide;lincosamide;streptogramin~~~unknown MSSSDEQPRPRRRNQDRQHPNQNRPVLGRTERDRNRRQFGQNFLRDRKTIARIAETAELRPDLPVLEAGPVEGLLTRELADRARQVTSYEIDPRLAKSLREKLSGHPNIEVVNADFLTAEPPPEPFAFVGAIPYGITSAIVDWCLEAPTIETATMVTQLEFARKRTGDYGRWSRLTVMTWPLFEWEFVEKVDSAIMRLRRRAEPLLEGAALERYESMVELCFTGVGGNIQASLLRKYPRRRVEAAFDHAGVGGGAVVAYVRPEQWLRLFERLDQKNEPRGGQPQRGRRTGGRDHGDRRTGGQDRGDRRTGGRDHRDRQASGHGDRRSSGRNRDDGRTGEREQGDQGGRRGPSGGGRTGGRPGRRGGPGQR ->ARGMiner~~~ErmB~~~WP_063844601.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_063844601.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTNEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNTRVTLIHQDILQFQFPNKQRYKIVGSIPYHLSTQIIKKVVFESHASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPKVNSVLIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTVTYEQVLSIFNSYLLFNGRK ->ARGMiner~~~ErmB~~~WP_063844522.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_063844522.1~~~macrolide;lincosamide;streptogramin~~~unknown MNQNIKFTQNFITNEKLLSNIMKQINIDENDIIYEVGTGKGHLTSKLAEKCKHVYSIELDKKLYELSSNKLQDNSRVTLINQDILQFNYPYRKKYKIVGNIPFNISTQIVKDAVFRSQASEMYFIVEEGFYKRMIDTRRTLSLQLQTQVYIQQLLPIPAGSFHPKPKVNCILIKLTRHISDIKDKHKKKYEFFISKWVNKEYSKLFTKNQYHQALKHARIKDLNKISYEQVLSVFESYILFNPRK ->ARGMiner~~~erm(44)~~~WP_063844521.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~erm(44)~~~WP_063844521.1~~~macrolide;lincosamide;streptogramin~~~unknown MNNKNPKNSQNFITSQKHINEILNETNIGIDDNIIEIGTGKGHFTKYMSNIARFITSIEIDKALYCNLKNDISLSTNIELVNKDILIYEFPKYKQYKVFGSIPYNISTEIVKKILYESNAEYNYLIVEFGFAKRIMDKKRALALLLLPKIDIEILKVIPNSYFHPKPKVDSALILLKQHKSLISKNDENAYHFFVYKWINKEYKQLFTKNQFNKALKHAKVQNINEISKEQFISIFHSYKLFN ->ARGMiner~~~dfrB6~~~WP_063844482.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrB6~~~WP_063844482.1~~~diaminopyrimidine~~~unknown MDQGRSEVSNPVAGQFAFPSNAAFGMGDRVRKKSGAAWQGQIVGWYCTKLTPEGYAVESEAHPGSVQIYPVAALERIN ->ARGMiner~~~dfrB6~~~WP_063844480.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrB6~~~WP_063844480.1~~~diaminopyrimidine~~~unknown MDQGSNEVGNPVAGQFSFPSNAAFSMGDRVRKKSGAAWQGQIVGWYCTKLTPEGYAVESEAHPGSVQIYPVAALERINGVQG ->ARGMiner~~~dfrA2d~~~WP_063844478.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA2d~~~WP_063844478.1~~~diaminopyrimidine~~~unknown MNEGKNEVSTSAAGRFAFPSNATFALGDRVRKKSGAAWQGRIVGWYCTTLTPEGYAVESESHPDSVQIYPMTALERVA ->ARGMiner~~~dfrA7~~~WP_063844474.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA7~~~WP_063844474.1~~~diaminopyrimidine~~~unknown MKISLISATSENGVIGNGPDIPWSAKGEQLLSKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSRKGISSSNENVLVFPSIEIASQELSKITDHLYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDINFPKIPENFNLVFEQFFLSNINYTYQIWKKG ->ARGMiner~~~dfrA7~~~WP_063844473.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA7~~~WP_063844473.1~~~diaminopyrimidine~~~unknown MKISLISATSENGVIGNGPDIPWSAKGEQLLFKALTYNQWLLVGRKTFDYMGVLPNRKYAVVSRKGISSSNENVLVFPSIEIALQELSKITDHLYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDINFPKIPENFNLVFEQFFLSNINYTYQIWKKG ->ARGMiner~~~dfrA17~~~WP_063844461.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~WP_063844461.1~~~diaminopyrimidine~~~unknown MKISIMAAVSENGVIGSGLDIPWHVYGEQLLFKAMTYNHWLLVGRKTFDSMGKLPNRKYAVVTRTEMVSNDPDVVYFTSVESALAYLDHTTTHVFVSGGGEIYKALIEQADVIHLSVIHKHISGDVFFPSVPQSFKQTFEQSFSSNIDYTYQIWTKG ->ARGMiner~~~dfrA17~~~WP_063844369.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~WP_063844369.1~~~diaminopyrimidine~~~unknown MKISLISAVSENGVIGSGPDIPWSVKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISSSNENVLVFPSIENALKELSKVTDHVYVSGGGQIYNSLIEKADIIHLSTVHVEVEDDIKFPIMPENFNLVFEQFFMSNINYTYQIWKKG ->ARGMiner~~~dfrA17~~~WP_063844368.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~WP_063844368.1~~~diaminopyrimidine~~~unknown MKISLISAVPENGVIGSGPDIPWSVKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISSSNENVLVFPSIENALKELSKVTDHVYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDIKFPIMPENFNLVFEQFFMSNINYTYQIWKKG ->ARGMiner~~~dfrA17~~~WP_063844366.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~WP_063844366.1~~~diaminopyrimidine~~~unknown MKISLISAVSENGVIGSGPDIPWSVKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISSSNENVLVFPSIENALKELSKVTDHVYVSDGGQIYNSLIEKADIIHLSTVHVEVEGDIKFPIMPENFNLVFEQFFMSNINYTYQIWKKG ->ARGMiner~~~dfrA16~~~WP_063844364.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA16~~~WP_063844364.1~~~diaminopyrimidine~~~unknown MKLSLMAAKSKNGIIGNGPDIPWSAKGQQLLFRAIIYNQWLLVGRKTFESMGALPNRKYAVVTRSNFSTNDEGVMVFSSIQDALINLEEITDHVIVSGGGEIYKSLISKVDTLHISTVDIERDGDIVFPEIPDTFKLVFEQDFESNINYCYQIWQKS ->ARGMiner~~~dfrA15~~~WP_063844363.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_063844363.1~~~diaminopyrimidine~~~unknown MKLSLMAAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSSDENVLVFPSIDEALNHLKTITDHVIVSGGGEIYKSLIDKADTLHISTIDIEPEGDVYFPEIPGSFRPVFSKDFVSNINYSYQIWQKG ->ARGMiner~~~dfrA15~~~WP_063844362.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_063844362.1~~~diaminopyrimidine~~~unknown MKLSLMAAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSSDENVLVFPSIDEALNHLKTTTDHVIVSGGGEIYKSLIDKVDTLHISTIDIEPEGDVYFPEIPSSFRPVFSQDFVSNINYSYQIWQKG ->ARGMiner~~~dfrA12~~~WP_063844315.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA12~~~WP_063844315.1~~~diaminopyrimidine~~~unknown MNSESVRIYLVAAMGANRVIGNGPNIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRHTLVISRQANYRATGCVVVSTLSHAIALASELGNELYVAGGAEIYTLALPHAHGVFLSEVHQTFEGDAFFPMLNETEFELASTETIQAVIPYTHSVYARRNG ->ARGMiner~~~dfrA15~~~WP_063844296.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_063844296.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTRDFASNINYSYPIWQKG ->ARGMiner~~~dfrA15~~~WP_063844295.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_063844295.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGERLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~dfrA15~~~WP_063844289.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_063844289.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRPSFTSDNENVVIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~cphA7~~~WP_063844286.1~~~carbapenem unknown +>ARGMiner~~~cphA7~~~WP_063844286.1~~~carbapenem~~~unknown MMKGWIKCGLAGAVVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYVQENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSSKPVLEVINNNYHTDRAGGNAYWKSIGAKVVSTRQTRELMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAATHS ->ARGMiner~~~CEPH-A3~~~WP_063844285.1~~~carbapenem unknown +>ARGMiner~~~CEPH-A3~~~WP_063844285.1~~~carbapenem~~~unknown MMKGWIKCTLAGAVVLMASFWGGSVRAAGISLKQVSGPVYVVEDNYYVKENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSSKPVLEVINTNYHTDRAGGNAYWKSIGAKVVATRQTWDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFTLQEGKVRAFFAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFANVKAYPQTIERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKASPHS ->ARGMiner~~~cphA6~~~WP_063844284.1~~~carbapenem unknown +>ARGMiner~~~cphA6~~~WP_063844284.1~~~carbapenem~~~unknown MMKSWMKCGLAGAVVLMASFWGGSVRAAGISLKQVSGPVYVVEDNYYVKENSMIYFGAKGVTVVGATWTPDTARELHKLIKRVSSKPVLEVINTNYHTDRRAGNAYWKSIGAKVVATRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFTLQEGKVRAFYAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFANVKAYPQTIERLKAMKLPIKTVIGGHDSPLHGPSLIDHYEALIKASPHS ->ARGMiner~~~cphA5~~~WP_063844283.1~~~carbapenem unknown +>ARGMiner~~~cphA5~~~WP_063844283.1~~~carbapenem~~~unknown MMKGWIKYGLAGALVLVASFWGGSVHAAAISLTQVSGPVYVVEDNYYVKENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSNKPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFTLQEGKVRAFYLGPAHTPDGIFVYFPDQQVLYGNCILKETLGNLSFADVKAYPQTLERLRAMKLPIKIVVGGHDSPLHGPELIDHYEELIKASPHS ->ARGMiner~~~cmlA4~~~WP_063843247.1~~~phenicol unknown +>ARGMiner~~~cmlA4~~~WP_063843247.1~~~phenicol~~~unknown MRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTVQLTLATYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLAFTSLAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVTGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLIMGRQGVSQLGFSLLFATVAIAMVLRLVLWALIPKWGSPSVLRMGMGCLIAGAVLLAITEIWASQSVLGFIAPMWLVGIGVATAVSVAPNGALQGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRAKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~CfxA2~~~WP_063843243.1~~~cephamycin unknown +>ARGMiner~~~CfxA2~~~WP_063843243.1~~~cephamycin~~~unknown MEKNRKKQIVVLSIALVCIFILVFSLFHKSATKDSANPPLTNVLTDSISQIVSACPGEIGVAVIVNNRDTVKVNNKSVYPMMSVFKVHQALALCNGFDNKGISLDTLVNINRDKLDPKTWSPMLKDYSGPVISLTVRDLLRYTLTQSDNNASNLMFKDMVNVAQTDSFIATLIPRSSFQIAYTEEEMSADHNKAYSNYTSPLGAAMLMNRLFTEGLIDDEKQSFIKNTLKECKTGVDRIAAPLLDKEGVVIAHKTGSGYVNENGVLAAHNDVAYICLPNNISYTLAVFVKDFKGNESQASQYVAHISAVVYSLLMQTSVKS ->ARGMiner~~~CfxA2~~~WP_063843242.1~~~cephamycin unknown +>ARGMiner~~~CfxA2~~~WP_063843242.1~~~cephamycin~~~unknown MEKNRKKQIVVLSIALVCIFILVFSLFHKSATKGSANPPLTNVLTDSISQIVSACPGEIGVAVIVNNRDTVKVNNKSVYPMMSVFKVHQALALCNDFDNKGISLDTLVNINRDKLDPKTWSPMLKDYSGPVISLTVRDLLRYTLTQSDNNASNLMFKDMVNVAQTDSFVATLIPRSSFQIAYTEEEMSADHNKAYSNYTSPLGAAMLMNRLFTEGLIDDEKQSFIKNTLKECKTGVDRIAAPLLDKEGVVIAHKTGSGYVNENGVLAAHNDVAYICLPNNISYTLAVFVKDFKGNESQASQYVAHISAVVYSLLMQTSVKS ->ARGMiner~~~CfxA2~~~WP_063843241.1~~~cephamycin unknown +>ARGMiner~~~CfxA2~~~WP_063843241.1~~~cephamycin~~~unknown MEKNRKKQIVVLSIALVCIFILVFSLFHKSATKDSANPPLTNVLTDSISQIVSACPGEIGVAVIVNNRDTVKANNKSVYPMMSVFKVHQALALCNDFDNKGISLDTLVNINRDKLDPKTWSPMLKDYSGPVISLTVRDLLRYTLTQSDNNASNLMFKDMVNVAQTDSFIATLIPRSSFQIAYTEEEMSADHNKAYSNYTSPLGAAMLMNRLVTEGLIDDEKQSFIKNTLKECKTGVDRIAAPLLDKEGVVIAHKTGSGYVNENGVLAAHNDVAYICLPNNISYTLAVFVKDFKGNESQASQYVAHISAVVYSLLMQTSVKS ->ARGMiner~~~CfxA3~~~WP_063843240.1~~~cephamycin unknown +>ARGMiner~~~CfxA3~~~WP_063843240.1~~~cephamycin~~~unknown MEKNRKKQIVVLSIALVCIFILVFSLFHKSATKDSANPPLTNVLTDSISQIVSACPGEIGVAVIVNNRDTVKVNNKSVYPMMSVFKVHQALALCNDFDNKGISLDTLVNINRDKLDPKTWSPMLKDYSGPVISLTVRDLLRYTLTQSDNNASNLMFKDMVNVAQTDSFIATLIPRSSFQIAYTEEEMSADHNKAYSNYTSPLGAAMLMNRLFTEGLIDDEKQSFIKNTFKECKTGVDRIAAPLLDKEGVVIAHKTGSGDVNENGVLAAHNDVAYICLPNNISYTLAVFVKDFKGNESQASQYVAHISAVVYSLLMQTSVKS ->ARGMiner~~~CfxA2~~~WP_063843239.1~~~cephamycin unknown +>ARGMiner~~~CfxA2~~~WP_063843239.1~~~cephamycin~~~unknown MEKNRKKQIVVLSIALVCIFILVFSLFHKSATKDSANPPLTNVLTDSISQIVSACPGEIGVAVIVNNRDTVKVNNKSVYPMMSVFKVHQALALCNDFDNKGISLDTLVNINRDKLDPKTWSPMLKDYSGPVISLTVRDLLRYTLTQSDNNASNLMFKDMVNVAQTDSFIATLIPRSSFQIAYTEEEMSADHNKAYSNYTSPLGAAMVMNRLFTEGLIDDEKQSFIKNTLKECKTGVDRIAAPLLDKEGVVIAHKTGSGYVNENGVLAAHNDVAYICLPNNISYTLAVFVKDFKGNESQASQYVAHISAVVYSLLMQTSVKS ->ARGMiner~~~catD~~~WP_063843233.1~~~phenicol unknown +>ARGMiner~~~catD~~~WP_063843233.1~~~phenicol~~~unknown MVFEKIDKNSWNRKEYFDHYFASVPCTYSMTVKVDITQIKEKGMKLYPAMLYYIAMIVNRHSEFRTAINQDGELGIYDEMIPSYTIFHNDTETFSSLWTECKSDFKSFLADYESDTQRYGNNHRMEGKPNAPENIFNVSMIPWSTFDGFNLNLQKGYDYLIPIFTMGKIIKKDNKIILPLAIQVHHAVCDGFHICRFVNELQELIIVTQVCL ->ARGMiner~~~catB8~~~WP_063843232.1~~~phenicol unknown +>ARGMiner~~~catB8~~~WP_063843232.1~~~phenicol~~~unknown MKNYFNSPFKGELLSEQVKNPNIRVGRYSYYSGYYHGHSFDECARYLLPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRHDWASSFPFFYMQEEPAFSRALDAFQRAGDTVIGNDVWIGSEAMIMPGIKIGDGAVIGSRSLVTKDVEPYAIIGGNPAKHIKKRFSDEEISLLMEMEWWNWPLDKIKTAMPLLCSSNIFGLHKYWREFAV ->ARGMiner~~~catB8~~~WP_063843231.1~~~phenicol unknown +>ARGMiner~~~catB8~~~WP_063843231.1~~~phenicol~~~unknown MKNYFNSPFKGELLSEQVKNPNIRVGRYSYYSGYYHGHSFDECARYLLPDREDVDKLIIGSFCSIGSGASFIMAGNQGHQHDWASSFPFFYMQEEPAFSRALDAFQRAGDTVIGNDVWIGSEAMIMPGIKIGDGAVIGSRSLVTKDVEPYAIIGGNPAKQIKKRFSDEEISLLMEMEWWNWPLDKIKTAMPLLCSSNIFGLHKYWREFAV ->ARGMiner~~~catB3~~~WP_063843228.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_063843228.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRDSYYSGYYHGHSFDDCARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSEAMVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPMLCSSNIVGLHKYWLEFAV ->ARGMiner~~~catB3~~~WP_063843225.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_063843225.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSEAMVMPGVKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPMLCSSNIVGLHKYWLEFAV ->ARGMiner~~~catB3~~~WP_063843224.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_063843224.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLFPDCDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSEAMVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPMLCSSNIVGLHKYWLEFAV ->ARGMiner~~~catQ~~~WP_063843212.1~~~phenicol unknown +>ARGMiner~~~catQ~~~WP_063843212.1~~~phenicol~~~unknown MDAPIPTPAPIDLDTWPRRQHFDHYRRRVPCTYAMTVEVDVTIFAAALRRSPRKSYLAQVWALATVVNRHEEFRMCLTDSGDPAVWPVVHPAFTVFNPERETFACVWAPYDPDFGTFHDTAAPLLAEHSRATDFFPQGDPPPNTFDVSSLPWASFTGFTLNIRDGWDHLAPIFTLGRYTEREGRLLLPLSVQIHHAAADGFHTARLTNDLQTLLAAPTWL ->ARGMiner~~~Enterococcus faecium chloramphenicol acetyltransferase~~~WP_063843210.1~~~phenicol unknown +>ARGMiner~~~Enterococcus faecium chloramphenicol acetyltransferase~~~WP_063843210.1~~~phenicol~~~unknown MTFNIINLETWDRKEYFNHYFNQQTTYSVTKELDITLLKSMIKNKGYELYPALIHAIVSVINRNKVFRTGINSEGNLGYWDKLEPLYTVFNKETENFSNIWTESNASFTLFYNSYKNDLIKYKDKNEMFPKKPIPENTVPISMIPWIDFSSFNLNIGNNSRFLLPIITIGKFYSKDDKIYLPFPLQVHHAVCDGYHVSLFMNEFQNIIR ->ARGMiner~~~Enterococcus faecium chloramphenicol acetyltransferase~~~WP_063843209.1~~~phenicol unknown +>ARGMiner~~~Enterococcus faecium chloramphenicol acetyltransferase~~~WP_063843209.1~~~phenicol~~~unknown MTFNIINLETWDRKEYFNHYFNQQTTYSVTKEFDITLLKSMIKNKGYELYPALIYTIVNIINQNKVFRTGINSSGNLGFWDKLNPLYTCLINETEKFLNIWIESNVSFSSFYNSYKSDLLEYKDTNEMFPKKPIPENTGPISMIPWIDFSSFNLNIGNNSRFLLPIITIGKFYSKNNKIYLPVSLQVHHAVCDGYHVSLFMSEFQNIVDSVNEWDLNLK ->ARGMiner~~~SRT-2~~~WP_063842944.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_063842944.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAQAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEETLFEVGSLSKTFTATLAVYAQQQGKLSFNDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTNNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAVQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAATHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIIQALEKR ->ARGMiner~~~SRT-2~~~WP_063842939.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_063842939.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAQAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQYYPLGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFNDPASRYLPELRGSAFDGVTVLNLATHTSGLPLFVPDDVTNDAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAATHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATTITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIVQALEKR ->ARGMiner~~~Sed1 beta-lactamase~~~WP_063842905.1~~~cephalosporin;penam unknown +>ARGMiner~~~Sed1 beta-lactamase~~~WP_063842905.1~~~cephalosporin;penam~~~unknown MFKKRGRQTVLIAAVLAFFTASSPLLARTQGEPTQVQQKLAALEKQSGGRLGVALINTADRSQILYRGDERFAMCSTSKTMVAAAVLKQSETQHDILQQKMVIKKADLTNWNPVTEKYVDKEMTLAELSAATLQYSDNTAMNKLLEHLGGTSNVTAFARSIGDTTFRLDRKEPELNTAIPGDERDTTSPLAMAKSLHKLTLGDALAGAQRAQLVEWLKGNTTGGQSIRAGLPEGWVVGDKTGAGDYGTTNDIAVIWPEDRAPLILVTYFTQPQQDAKGRKDILAAAAKIVTEGL ->ARGMiner~~~mecR1~~~WP_063842869.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_063842869.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MAKLLIMSIVSFCFIFLLVLFFRYILKRYFNYMLNYKVWYLTLLAGLIPFIPIKFSLFKFNNVNNQAPTVESKSHDLNHNINTTKPIQEFATDIHKFNWDSIDNICTVIWIVLVIILSFKFLKALLYLKYLKKQSLYLNENEKNKIDTILFNHQYKKNIVIRKAETIQSPITFWYGKYIILIPSSYFKSVIDKRLKYIILHEYAHAKNRDTLHLIIFNIFSIIMSYNPLVHIVKRKIIHDNEVEADRFVLNNINKNEFKTYAESIMDSVLNVPFFNKNILSHSFNGKKSLLKRRLINIKEANLKKQSKLILIFICIFTFLLMVIQSQFLMGQSITDYNYKKPLHNDYQILDKSKIFGSNSGSFVMYSMKKDKYYIYNEKESRKRYSPNSTYKIYLAMFGLDRHIINDENSRMSWNHKHYPFDAWNKEQDLNTAMQNSVNWYFERISDQIPKNYTATQLKQLNYGNKNLGSYKSYWMEDSLKISNLEQVIVFKNMMEQNNHFSKKAKNQLSSSLLIKKNEKYELYGKTGTGIVNGKYNNGWFVGYVITNHDKYYFATHLSDGKPSGKNAELISEKILKEMGVLNGQ ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_063842862.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_063842862.1~~~penam~~~unknown MKKLIILIMLALILSACNTKHSTNNDIEKLEKKYGANIGMYALNTQNSEALSFNENKRFAYASTLKAISSAMLLEQTPYNKLDKKIHINKDDIVPYSPVLEKYIGKEITIKKLIEATMLFSDNTANNKIIDELGGYEHVKNRLTDLGDTTTHPSRKEPDLNFYSPKDKRDTTTPMAYGKSLNKLIVDGNLSKANKDLLLDLMLKNKSGDTLIKDGAPSNFKVMDKSGQALTYGSRNDVALVYPDGQDKPIILVIFTNKDKKDGKPNDKIVSEVAEIVLKNMSK ->ARGMiner~~~L1 beta-lactamase~~~WP_063842689.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842689.1~~~cephalosporin~~~unknown MRSTLLAFALAVALPSAHASAAEAPLPQLRAYTVDASWLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAVGSKASAEALTCNAYADAAEKKFDAQLAKETAGAR ->ARGMiner~~~GOB-1 beta-lactamase~~~WP_063842629.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~WP_063842629.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFATLFFMFICLGLNAQVVKEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLPIIKANIQKLGFNYKDIKILLLTQAHYDHTGALQDLKTETGAKFYADKADADVLRTGGKSDYEMGKYGVTFKPVTPDKTLKDQDKITLGNTILTLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSVIVDKKFSEVTAYPNIQSDYAYTFKAMKNLDFDLWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLNDLEKSYLDKIKKDSQDK ->ARGMiner~~~Escherichia coli ampC~~~WP_063842627.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_063842627.1~~~cephalosporin;penam~~~unknown MFKITLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWTPGTQRLYANTSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDMYQGLGWEMQDWPVNPDIIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063842622.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_063842622.1~~~carbapenem;penam~~~unknown MLKKIKISLILALGLTSLQAFGQENPDVKIEKLKDNLYVYTTYNTFNGTKYAANAVYLVTDKGVVVIDCPWGEDKFKSFTDEIYKKHGKKVIINIATHSHDDRAGGLEYFGKIGAKTYSTKMTDSILAKENKPRAQYTFDNNKSFKVGKSEFQVYYPGKGHTADNVVVWFPKEKVLVGGCIIKSADSKDLGYIGEAYVNDWTQSVHNIQQKFSGAQYVVAGHDDWKDQTSIQHTLDLISEYQQKQKASN ->ARGMiner~~~APH(6)-Id~~~WP_063842184.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_063842184.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYANGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~APH(3')-Vb~~~WP_063842178.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Vb~~~WP_063842178.1~~~aminoglycoside~~~unknown MESTLRRTYPHHTWHLVNEGDSGAFVYRLTGHGPELYAKIAPRTPENSAFHLDGEADRLDWLARHGISVPRVVERGADDTTAWLVTEAVPGAAASEEWPEDERAAVVDAIAEMARTLHELPVSECPFDRRLDVTVGEARHNVREGLVDLDDLQEERAGWTGDQLLAELDRTRPEKEDLVVCHGDLCPNNVLLDPETHRITGLIDVGRLGVADRHADLALAARELAIDEDPWFGPAYAERFLERYGAHHVDQEKMAFYQLLDEFF ->ARGMiner~~~APH(6)-Ic~~~WP_063841666.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Ic~~~WP_063841666.1~~~aminoglycoside~~~unknown MSEPYLSRWRLRRDGPAIRTPHARLWPVLTAAGEPAMLKISSETEEQNSHRLLRWWNGDGAARLLAHEGPAILIERARGDSLRQRSIEGDDDACTAILCQVLQRLHRPRSAPPAELVCLRRWFADLLQPRAVLPPLLEQCRSLAEGLLRDEQEIRPLHGDLHHDNVLDFGPRGWLAIDPKRLLGDRAFDYATMFSNPDLCGPGIHVATRPERFAIRLEQVCALAELERTRLLRWIAASTALSAVWFRDDGDPADIDETVARMALEALAEA ->ARGMiner~~~APH(2'')-If~~~WP_063840675.1~~~aminoglycoside unknown +>ARGMiner~~~APH(2'')-If~~~WP_063840675.1~~~aminoglycoside~~~unknown MDIKKIIEEKCKIVVDSIKLIGEGYDSKAYIVNNEYVFKIKFSANKKKGYEKEKAIYDFLNKKLNTTINIPNIEYSYISDDISILGYKEIKGTFLTPEIYFTLSKEKQDLLKQDIAMFLKQMHNLDYSEISSYTIDNKQNVLEEYQLLKETIYDSFTDIEKQYVENFMQILHNTTIFDGRKCLCHNDFSCNHLLLDDNRLVGVIDFGDSGIIDEYCDFIYLLEDSKEEIGVSFGEDILRLYGNIDISKAKEYQDVVEQYYPIETIVYGIKNNKPDFIEKGRKEIYIRTHKEEKLRK ->ARGMiner~~~spd~~~WP_063840673.1~~~aminoglycoside unknown +>ARGMiner~~~spd~~~WP_063840673.1~~~aminoglycoside~~~unknown MRRIYLNTYEQINKVKKILRKHLKNNLIGTYMFGSGVESGLKPNSDLDFLVVVSEPLTDQSKEILIQKIRPISKKIGDKSNLRYIELTIIIQQEMVPWNHPPKQEFIYGEWLQELYEQGYIPQKELNSDLTIMLYQAKRKNKRIYGNYDLEELLPDIPFSDVRRAIMDSSEELIDNYQDDETNSILTLCRMILTMDTGKIIPKDIAGNAVAESSPLEHRERILLAVRSYLGENIEWTNENVNLTINYLNNRLKKL ->ARGMiner~~~ANT(2'')-Ia~~~WP_063840507.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_063840507.1~~~aminoglycoside~~~unknown MDTTQVTLIHQILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAMVEMLGGRVTEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWGAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~SRT-2~~~WP_063840465.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_063840465.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAQAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEETLFEVGSLSKTFTATLAVYAQQQGKLSFNDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTNNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAATHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIVQALEKR ->ARGMiner~~~AQU-1~~~WP_063840463.1~~~cephalosporin unknown +>ARGMiner~~~AQU-1~~~WP_063840463.1~~~cephalosporin~~~unknown MKQPTTLSLLTLGSLLISSFASAAQDAPLTAIVDGAIQPVLKEYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTYTSTLGAYAVVKGGFKLDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVESVEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGYLAASSLGQPFEQLMSQTLLPGLALLPTPTSRCLRGMGDYAYGCAKEEKPIRVNPGVLADEAYGIKTSSADLLAFVKANISGVDDKALQQAISLTHQGRYSVGEMTQGLGWESYAYPVSEQTLLAGNSSAVIYNANPVKPVAASQETGGARLYNKTGSTNGFGAYVAFVPAKGIGIVMLANRNYPNDARVKAAYAILSKLAD ->ARGMiner~~~aadA6~~~WP_063840454.1~~~aminoglycoside unknown +>ARGMiner~~~aadA6~~~WP_063840454.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAARLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKVRQRSLALAGSAAGDFFNPVPEGDLFKALSDTLKLWNSQPDWEGDERNVVLTLSRIWYSAATGKIAPKDIVANWAMERLPDQHKPVLLEARQAYLGQGEDCLASRADQLAAFVHFVKHEATKLLSAMPVMSNNSFKPTPLRGAA ->ARGMiner~~~aadA8~~~WP_063840442.1~~~aminoglycoside unknown +>ARGMiner~~~aadA8~~~WP_063840442.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA25~~~WP_063840436.1~~~aminoglycoside unknown +>ARGMiner~~~aadA25~~~WP_063840436.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~AAC(6')-Iz~~~WP_063840325.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~WP_063840325.1~~~aminoglycoside~~~unknown MDSSPLVRPVETTDSASWLSMRCELWPDGTCQEHQSEIAEFLSGKVTRPAAVLIAVAPDGEALGFAELSIRPYAEECYSGNVAFLEGWYVVPSARRQGVGVALVKAAEHWARGRGCTEFASDTQLTNSASTSAHLAAGFTEVAQVRCFRKPL ->ARGMiner~~~AAC(6')-Iz~~~WP_063840324.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~WP_063840324.1~~~aminoglycoside~~~unknown MDSSPLVRPVETTDSASWLSMRCELWPDGTCQEHQSEIAEFLSGKVARPAAVLIAVAPDGEALGFAELSIRPYAEECYSGNVAFLEGWYVVPSARRQGVGVALVKAAEHWARGRGCTEFASDTQLTNSAGTSAQLAAGFTEVAQVRCFRKPL ->ARGMiner~~~AAC(6')-Ib-cr~~~WP_063840321.1~~~fluoroquinolone;aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib-cr~~~WP_063840321.1~~~fluoroquinolone;aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGRWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPYGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~AAC(6')-Ib-cr~~~WP_063840320.1~~~fluoroquinolone;aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib-cr~~~WP_063840320.1~~~fluoroquinolone;aminoglycoside~~~unknown MTNCNDSVTLRLMTEHDLAMLYGWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGRWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPYGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~AAC(6')-Ib'~~~WP_063840280.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib'~~~WP_063840280.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~AAC(6')-IIa~~~WP_063840275.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIa~~~WP_063840275.1~~~aminoglycoside~~~unknown MPSTAPEVTQRLMVKRDLVMLHEWLNRPHIVEWWGGEEARPTLQEVQSHYLPRVLAEEAVTPYIAMLGSEPIGYAQSYVALGSGDGWWEDETDPGVRGIDQSLANPEQLGKGLGTRLVCTLVKTLFNDPSVTKIQTDPAPNNVRAIRCYEKAGFRQQKVITTPDGPAVYMVQTRASFEAARGAA ->ARGMiner~~~AAC(3)-VIIIa~~~WP_063840271.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-VIIIa~~~WP_063840271.1~~~aminoglycoside~~~unknown MDERELIERADGPVTRCRLVRDLKELGVGPGDTVMVHTRMSAVGYVVGGTQTLIGALLNAVGDTGTLMAYCGWNDAPPYDLADWPPEWRDAARAAWPAYDTRFSEADHGVGRVPEALRHWPGAVRSRHPDASFVAVGPAAHRLMDDHPWDDPHGPDSPLGRLTRDGGRVLLLGAPLDALTLLHHAEALAEAPGKRYVEYEQPITVDGRRVWRRFRDVDTQTEAFPYGRVVPEGVWPFTVMAEEMLAAGIGRTGRVGAAPSHLFEAPDVVRFGVEWIESRLGEAADGS ->ARGMiner~~~AAC(3)-VIIIa~~~WP_063840270.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-VIIIa~~~WP_063840270.1~~~aminoglycoside~~~unknown MDEKELIERAGGPVTRGRLVRDLEALGVGAGDTVMVHTRMSAIGYVVGGPQTVIDALRDAVGADGTLMAYCGWNDAPPYDLAEWPPAWREAARAEWPAYDPLLSEADRGNGRVPEALRHQPGAVRSRHPDASFVAVGPAAHPLMDDHPWDDPHGPDSPLARLAGAGGRVLLLGAPLDTLTLLHHAEALAEAPGKRFVAYEQPVTVGGRRVWRRFRDVDTENRGVPYGRVVPEGVWPFTVIAQDMLAAGIGRTGRVAAAPCHLFEAADVVRFGVEWIESRMGGAAGGA ->ARGMiner~~~AAC(3)-Ia~~~WP_063840259.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~WP_063840259.1~~~aminoglycoside~~~unknown MGIIRTCRLGPDQVKSMRAALDLFGREFGGVATYSQHQPDSDYLGNLLRSKTFIALAAFDQEAVVGALAAYVLPKFEQARSEIYIYDLAVSGEHRRQGIATALINLLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREEVMHFDIDPSTAT ->ARGMiner~~~BcII~~~WP_063839879.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcII~~~WP_063839879.1~~~cephalosporin;penam~~~unknown MKKNTLLKVGLCVSLLGTTQFVSTISSVQASQKVEQIVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLVLNTSKGLVLVDSSWDNKLTKELIEMVEKKFQKRVTDVIITHAHADRIGGITALKERGIKAHSTALTAELAKKSGYEEPLGDLQTVTNLKFGNTKVETFYPGKGHTEDNIVVWLPQYQILAGGCLVKSAEAKNLGNVADAYVNEWSTSIENMLKRYRNINLVVPGHGKVGDKGLLLHTLDLLK ->ARGMiner~~~ErmN~~~WP_063796790.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmN~~~WP_063796790.1~~~macrolide;lincosamide;streptogramin~~~unknown MTLKSPLPPQSVSAPADSRSTARREWGQNFFRTAAAACRFSAQLDGSDTIPPDSPNDLMTVEIGAGSGRVTKVLASPGTPLLAVEIDPRWARRLAAESLPDVTVVNEDFLTLQLPGQPVRLIGNLPFVTGTRMLRRCLDMGPARMRQGVFLLQREYVGKRTGAWGGNLFNAQWEPWYSFDRGLAFSRQDFTPVPRADTQTLMVAPHRRPSVPWREKAAYQRFVQRVFDTGQMTVGDAARKVLRRGHAQFVRGAGVRPADRVKDLTVPEWTALFRAYGRTADR ->ARGMiner~~~AAC(6')-Ib3~~~WP_063612062.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib3~~~WP_063612062.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVQALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~Escherichia coli ampC~~~WP_063610930.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_063610930.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPPEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAAPPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~oqxB~~~WP_063501636.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_063501636.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPGGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAYQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGIDYAVAFPGLNALQFTNTPNTGTIFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~LEN-18~~~WP_063266839.1~~~penam;penem unknown +>ARGMiner~~~LEN-18~~~WP_063266839.1~~~penam;penem~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMAIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVLYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~QnrB23~~~WP_061586512.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB23~~~WP_061586512.1~~~fluoroquinolone~~~unknown MALIFEGEKIGRNRFTGEKIENAIFRNCDFSGTDLTSSEFIGCQFYDRESQQGGNFNRAQLKDAIFKSCDLSMADFRHSNALGIEIRECRAQGADFRGASFMNMITTRTWFCCAYITKSNLSYANFSKVVLEKCELWENRWNGAQILGATFSGSDLSGGEFSSFDWRAANFTHCDLTNSELGDLDVRGIDLQGVKLDSYQVSQLMERLGIAVLG ->ARGMiner~~~CTX-M-10~~~WP_061284984.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-10~~~WP_061284984.1~~~cephalosporin~~~unknown MVKKSLRQFALLAATVFPLLAGSVSLQAQTLSVEQKLAALEQRSGGRLGVALIDTADGSQILYRGDERFAMCSTSKVMAAAAVLKQSESQHDLLNQRIEIKKGDLTNYNPIAEKHVGGSMSLSELSAAALQYSDNVAMNKLIAQLGGPQGVTAFARKIGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDAQRAQLVTWMKGNTTGTASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLVLVTYFTQPQPEAESRRDVLASAAKIVTEGL ->ARGMiner~~~PDC-8~~~WP_061199990.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_061199990.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTYLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_061196139.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_061196139.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_061193431.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_061193431.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSISLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_061193023.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_061193023.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-1~~~WP_061190338.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_061190338.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTSGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-1~~~WP_061189306.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_061189306.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~TUS-1 beta-lactamase~~~WP_060874262.1~~~carbapenem;cephalosporin;cephamycin unknown +>ARGMiner~~~TUS-1 beta-lactamase~~~WP_060874262.1~~~carbapenem;cephalosporin;cephamycin~~~unknown MYKFLSSILLLASTTFVFAQSKPLKVEKLQDNIYVYITYQMYNGVEYSSNALYIVTDEGAILIDTPWDKEQNDPLVEHIRKQHNKEVKWVITTHFHEDRSGGLDYFRKAGAETYTYALTNEILKNRNEPQAEFTFGKEKHFTFGKEEIVVYFLGEGHSKDNTVVWFPKEKVLYGGCLIKSAEATDIGYIGDGNTDAWPATIKAVKSKFKQAKTVIPGHDNWNQSGHIENTERILSAYNAQKVKNNKQI ->ARGMiner~~~vanXYC~~~WP_060792852.1~~~glycopeptide unknown +>ARGMiner~~~vanXYC~~~WP_060792852.1~~~glycopeptide~~~unknown MNPYLQLVSKEFPLEKNQEPPHLVLAAFSEDEVYLQPEAAKQWERLVKALKLENEICLLDGYRTEKQQRYLWEYSLKENGLAYTKQFVALPGCSEHQLGLAIDVGLKGSQDDLICPRFRDSAAADLFTQEMMNYGFILRYPADKQEITGIGYEPWHFRYVGLPHSQIIASQQWTLEEYHQYLEQTARQFA ->ARGMiner~~~vanC~~~WP_060792851.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_060792851.1~~~glycopeptide~~~unknown MKKIAIIFGGNSPEYTVSLASATSAIEALQSSPYDYDLSLIGIAPDAMDWYLYTGELENIRQDTWLLDTKHKQKIQPLFEGNGFWLSEEQQTLVPDVLFPIMHGKYGEDGSIQGLFELMKLPYVGCGVAGSALCMNKWLLHQAAAAIGVQSAPTILLTNQANQQEQIEAFIQTHGFPVFFKPNEAGSSKGITKVTCVEEIASALKEAFTYCSAVLLQKNIAGVEIGCGILGNDSLTVGACDAISLVDGFFDFEEKYQLISAKITVPAPLPETIETKVKEQAQLLYRSLGLKGLARIDFFVTERGELYLNEINTMPGFTSHSRYPAMMAAVGLSYQELLQKLLVLAKEEVK ->ARGMiner~~~vanB~~~WP_060763488.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_060763488.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTMPGFTSYSRYPRMVAAAGITLPALIDSLITLALKR ->ARGMiner~~~AAC(6')-Ic~~~WP_060706838.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_060706838.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRTALWPSGSPEDHRAEMREILASPHHTAFMARRLDGTFVAFAEVALRYDYVNGCESSPVAFLEGIYTAERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~IMI-1~~~WP_060571602.1~~~carbapenem unknown +>ARGMiner~~~IMI-1~~~WP_060571602.1~~~carbapenem~~~unknown MSLNVKPSRIAILFSSCLVSISFFSQANTKGIDEIKNLETDFNGRIGVYALDTGSGKSFSYKANERFPLCSSFKGFLAAAVLKGSQDNQLNLNQIVNYNTRSLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDKDFRLDRWELDLNTAIPGDERDTSTPAAVAKSVKTLALGNILNEREKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKNEKEAKHDDKVIAEASRIAIDNLK ->ARGMiner~~~AAC(6')-Ic~~~WP_060560340.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_060560340.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRTALWPTCPLEEHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVECARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~aadA5~~~WP_060512885.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_060512885.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKRDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_059345632.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_059345632.1~~~carbapenem;penam~~~unknown MKRLKGLLVLALGFTGLQVFGQQNPDIKIEKLKDNLYVYTTYNTFKGTKYAANAVYMVTDKGVVVIDSPWGEDKFKSFTDEIYKKHGKKVIMNIATHSHDDRAGGLEYFGKLGAKTYSTKMTDSILAKENKPRAKYTFDNNKSFKVGNTEFQVYYPGKGHTADNVVVWFPKDKVLVGGCIVKSGDSKDLGFIGEAYVNDWTQSIHNIQQKFPDVQYVVAGHDDWKDQTSIQHTLDLISEYQQKQKASN ->ARGMiner~~~TLA-1~~~WP_059330348.1~~~fluoroquinolone;monobactam;cephalosporin unknown +>ARGMiner~~~TLA-1~~~WP_059330348.1~~~fluoroquinolone;monobactam;cephalosporin~~~unknown MKKIILLFILTSQLVLAQHTSILNDINAVTKDKKATVAVSVLGIENDFQFSNANGNLKMPMLSVFKFHIALAVLNQVDKGNLTLDQKILIKKSDLLENTWSPLREKYPDGNVELPLSEIITYTVAQSDNNGCDILLRLIGGTKTVQKLMDVNGIKNFQIKYNEEEMHKNDVKTLYANYTTTASMVKTLKAFYKGMFLSKRSTIFLMDIMTKTNTGMSKLPGLLPKVRMARKTGSSGKMKNGLTIAENDSGIVTLANGKHYAIAVFVKDSMESEEVNCGMIAQVSKIVWDALNKKK ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_059324801.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_059324801.1~~~carbapenem;penam~~~unknown MKGLKGLLVLALGFTGLQVFGQQNPDIKIEKLKDNLYVYTTYNTFKGTKYAANAVYMVTDKGVVVIDSPWGEDKFKSFTDEIYKKHGKKVIMNIATHSHDDRAGGLEYFGKLGAKTYSTKMTDSILAKENKPRAKYTFDNNKSFKVGKTEFQVYYPGKGHTADNVVVWFPKDKVLVGGCIVKSGDSKDLGFIGEAYVNDWTQSIHNIQQKFPDVQYVVAGHDDWKDQTSIQHTLDLISEYQQKQKASN ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_059154943.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_059154943.1~~~carbapenem;penam~~~unknown MKGLKGLLVLALGFTGLQVFGQQNPDIKIEKLKDNLYVYTTYNTFKGTKYAANAVYMVTDKGVVVIDSPWGEDKFKSFTDEIYKKHGKKVIMNIATHSHDDRAGGLEYFGKLGAKTYSTKMTDSILAKENKPRAKYTFDNNKSFKVGNTEFQVYYPGKGHTADNVVVWFPKDKVLVGGCIVKSGDSKDLGFIGEAYVNDWTQSIHNIQQKFPDVQYVVAGHDDWKDQTSIQHTLDLISEYQQKQKASN ->ARGMiner~~~OXA-333~~~WP_058951457.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-333~~~WP_058951457.1~~~cephalosporin;penam~~~unknown MKNLQLGLIVLITTFGSACTTISPSVETAKNQHQQSAQQQIQQAFDQLQTTGVIVIKDKYGLHSYGNDLSRAQTPYVPASTFKMLNALIGLEHGKATSTEVFKWDGQKRSFPAWEKDMTLGQAMQASAVPVYQELARRIGLDLMQKEVQRIGYGNQQIGTVVDNFWLVGPLQITPVQEVLFVEKLANTQLAFEPDVQHTVQDMLLIEQKPNYKLYAKSGWGMDLEPQVGWWTGWVETATGEKVYFALNMQMKTGISASVREQLVKQSLTALGII ->ARGMiner~~~OXA-211~~~WP_058870660.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-211~~~WP_058870660.1~~~cephalosporin;penam~~~unknown MKTLQFGLIALITTFGSACTTISPSVETAKNHQQQSAQQQIQQAFDQLQTTGVIVIKDKHGLRSYGNDLSRAQTAYVPASTFKMLNALIGLEHGKATSTEVFKWDGQKRSFPAWEKDMTLGQAMQASAVPVYQELARRIGLDLMQKEVQRIGYGNQQIGTVVDNFWLVGPLQITPVQEVLFVEKLANTQLAFKPDVQHTVQDMLLIEQKPNYKLYAKSGWGMDLEPQVGWWTGWVETATGEKVYFALNMHMKTGISASVREQLVKQSLTALGII ->ARGMiner~~~AAC(6')-Ia~~~WP_058677876.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ia~~~WP_058677876.1~~~aminoglycoside~~~unknown MNYQIVNIAECSNYQLEAANILTEAFNDLGNNSWPDMTSATKEVKECIESPNLCFGLLINNSLVGWIGLRPMYKETWELHPLVIRPDYQNKGIGKILLKELENRAREQGIIGIALGTDDEYYRTSLSLITITEDNIFDSIKNIKNINKHPYEFYQKNGYYIVGIIPNANGKNKPDIWMWKSLIKE ->ARGMiner~~~aadA~~~WP_058664416.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_058664416.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLEASASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRVWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~mecA~~~WP_058611717.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_058611717.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKLIIAIVVVIIVVASSIFFYASKNSQINDTLDAIEDKNVKQVFKDSTYQSKNDNGEVEMTDRPIKIYDSLGVKAINIKDRDIKKVSKNKKQVTAKYELQTNYGKINRDVKLNFIKEDKDWKLDWNQSVIIPGMQKNQSINIEPLKSERGKILDRNNVELATTGTAHEVGIVPNNVSTSDYKAIAEKLDLSESYIKQQAEQDWVKDDTFVPLKTVQNMNQDTKRFVEKYHLTTQETESRQYPLEEATTHLLGYVGPINSEELKQKAFKGYKKDAIVGKKGIEKLYDKDLQNKDGYRVTIIDNNKVIDTLIKKKKKDGKDIKLTIDSRVQKSIYNNMKDDYGSGTAIHPQTGELLALVSTPSYDVYPFMNGMSDEDYKKLTEDDKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKINGKGWQKDKSWGDYNVTRYEVVNGDIDLKQAIESSDNIFFARVALELGSKKFEEGMKRLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENKGNVNAPHVLKDTKNKVWKKNIISQENIKLLTDGMQQVVNKTHREDIYRSYANLVGKSGTAELKMKQGETGQQIGWFISYNKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDDLYDNGKKTYNIDK ->ARGMiner~~~OXA-62~~~WP_058375744.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-62~~~WP_058375744.1~~~cephalosporin;penam~~~unknown MMMLSRWRRSAVVLRIAAALLSPLAVAIPAHADAIANAANAVAPKIVERADWGKYFDAEGAKGTIIVLDGRTGGYQAYDSTRANQRMSPASTYKIFNSLLALESGALDNEREIIPWDGKPRSMKRWNAAMNLRDAFRVSCLPCYQVVSRKIARTYAQGKLDAVGYGNHTIGSAADAYWVDNSLQISAREQVVFLQRLARGQLPFSARTQDIVRQISIAEANMDYVLHGKTGWYVDGKHDIGWWVGWIERDGNITTVALNMDMRSDADAPKRARIARAVLKDLKLI ->ARGMiner~~~PDC-7~~~WP_058198950.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_058198950.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAKGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_058180135.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_058180135.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGRQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~AAC(3)-IIIc~~~WP_058179966.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIIc~~~WP_058179966.1~~~aminoglycoside~~~unknown MTPASFVTRASLAADLASLGLAPGDAVMVHAAVSKVGRLLDGPDTIISALSDAVGPEGTVLAYADWEARYEELADEHGRVPVQWRDHIPPFDPQRSRAIRDNGVLPEFLRTTPGALRSGNPGASVVALGAKAEWFTADHPLDYGYGEGSPLAKLVAAGGRVLMLGAPLDTLTLLHHAEHLADIPGKRIKRIEVPFATPTGTQWRMIEEFDTGDPIVAGLAEDYFAGIVTEFLASGQGRQGLIGAAPSVLVDAAAITAFGVTWLEKRFGTPSP ->ARGMiner~~~PDC-10~~~WP_058176209.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_058176209.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDRVQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPITLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-7~~~WP_058174497.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_058174497.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHATILNLCGLAASTLFFATTSAFATEAPAERLKALVDAAVQPVMKANDIPGLAVAITLKGEPHYFSYGVASKEDARKVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASRHWPALQGSRFDGISLLDLGTYTAGGLPLQFPGAVQKDPAQIRDYYRQWQPTYAPGSHRQYSNPSIGLFGYLAARSLGQPFERSMERQLFPALGLEHTFIRVPAAQQGLYAQGYGKDDRPLRVGPGPLDAEAYGLKSSVADLLRFVEANLHPERLEKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPIDLRRLQAGNSAPMALQAHKVARLPAPQALDGQRLLNKTGSTNGFGAYLAFIPGRDVGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLVR ->ARGMiner~~~PDC-7~~~WP_058169941.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_058169941.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-1~~~WP_058169253.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_058169253.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAGGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_058161279.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_058161279.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAESYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-2~~~WP_058157026.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-2~~~WP_058157026.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTVTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTKGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~ANT(2'')-Ia~~~WP_058133312.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_058133312.1~~~aminoglycoside~~~unknown MDTTQVTLIHQILAAADERNLRLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAMVEMLGGRVTEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPLVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~PDC-2~~~WP_058129018.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-2~~~WP_058129018.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTVTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMVLQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_058128316.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_058128316.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPSPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~AAC(6')-31~~~WP_058124867.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-31~~~WP_058124867.1~~~aminoglycoside~~~unknown MTTTISFVTLRLMTEHDLPMLHDWLNRPHIVEWWGGEETRPTLAEVLEQYLPSALAKESVTPYIAMLDEEPIGYAQSYIALGSGGGWWEDETDPGVRGIDQSLANPSQLGKGLGTKLVRALVEMLFKDAEVTKIQTDPSPNNLRAIRCYEKAGFVAQRTITTPDGPAVYMVQTRQAFEQARSAV ->ARGMiner~~~OXA-91~~~WP_058061931.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-91~~~WP_058061931.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSTSKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRIGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~ADC-43~~~WP_057991970.1~~~cephalosporin unknown +>ARGMiner~~~ADC-43~~~WP_057991970.1~~~cephalosporin~~~unknown MFFNQKYFFQASFITMVIGFGMNLNASEVSQNEQNIEKVVTQSFKPLMDEYGVAGMAIGVIYNGKSYEKYYGVRSKDTNESVNSQTLFELGSLSKTFTAISGTYANNQGKISFNDHPSKYVPALKNSEIDKVNLLELLTYTSGNLPLQFPDNIKTDKQILEYFKNWKVKNPPGTYREYSNPSIGLFGYLTAKSMNVPFSSLLEKTVFPQLNLKHTYVNVPEAQKTNYAFGYDENNKPIRVNPGPLSDEAYGVKSTLPDMLKFVNSNLNVDTNSPAMKKAILDTHKGYFKVSDSGMTQALGWEMFSYPTTSEILQASNSKQILLGSNPVVKELSQPKSKVFHKTGSTNGFGAYVLFIPEEGFGLVMLMNKKIPNVDRIKAAYNVFETLKDN ->ARGMiner~~~PDC-10~~~WP_057388025.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_057388025.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTGFPCLCGIAASTLLFAATSAIAGEAPADRLKTLVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASLHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYTPGSQRLYSNPSIGLFGYLAARSLGQPFERIMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVNANLHPERLDKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~APH(2'')-If~~~WP_057098416.1~~~aminoglycoside unknown +>ARGMiner~~~APH(2'')-If~~~WP_057098416.1~~~aminoglycoside~~~unknown MDIRKTIEEKCNIVIESIKLIGEGYDSKAYIVNNEYVFKIKFSANKKKGYEKEKAVYDFLNKKLHTSVNIPNIEYSYISDEISILGYKEIKGTFLTPEIYFSMSLEQQDLLKQDIAMFLRQMHDLDFDEISSYTIDNKQNVLEEYQLLRETIYESLTDIEKQYIEEFMQRLYSTTIFDGKKCLCHNDFSCNHLLLDDNNRLVGVIDFGDSGIIDEYCDFIYLLEDSEEEIGVSFGEDILKLYGNIDISKAKEYQDVVEQYYPIETIVYGVKNNRDDFIEKGRKEIYLRTRKDEKTRK ->ARGMiner~~~qacH~~~WP_057094859.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_057094859.1~~~fluoroquinolone~~~unknown MKNWLFMATAIISEVIATSALKSSEGFTRLVPSFIVVAGYAAAFYFLSLTLKSIPVGIAYAVWSGLGIVLVTAIAWVLHGQKLDMWGFVGVGFIISGVAVLNLLSKASVH ->ARGMiner~~~ADC-6~~~WP_057093064.1~~~cephalosporin unknown +>ARGMiner~~~ADC-6~~~WP_057093064.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANFNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~OXA-421~~~WP_057089822.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_057089822.1~~~cephalosporin;penam~~~unknown MTKKALFFAIGTMFLSACSFNTVQQHQIQSISTNKNSEKIKSLFDQAQTEGVLVIKRGQTEEIYGNDLKRSSTEYVPASTFKMLNALIGLEHHKATPTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSNEVKRIGFGNADIGSKVDDFWLVGPLKITPQQEAQFAYELAHKTLPFSKNVQEQVQSMLFIEEKNGRKIYAKSGWGWDVEPQVGWLTGWVVQPQGKIVAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OXA-106~~~WP_057053864.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-106~~~WP_057053864.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~WP_057038955.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~WP_057038955.1~~~aminoglycoside~~~unknown MLEEKRVSFRPMNEDDLVLMLKWLTDDRVLEFYDGRDKKHTQKTIREHYTEQWADEIYRVIIAYDTIPIGYAQIYRIQGELFDEYNYHETEEKIYAMDQFIGEPEYWNMGIGAEYCRVVCQYLRTEMDADAVILDPRKNNPRAVRAYQKAGFEIIKELPEHELHEGKKEDCVLMEWRA ->ARGMiner~~~APH(2'')-IIa~~~WP_057038954.1~~~aminoglycoside unknown +>ARGMiner~~~APH(2'')-IIa~~~WP_057038954.1~~~aminoglycoside~~~unknown MIDLDVEIYQHLNEQIKINKLCYLSSGDDSDTFLCNEQYVVKVPKRDSVRFAQKREFELYRFLENCNLSYQTPAVVYQSDRFNIMKYIKGERITYEQYHKLSEKEKDALAYDEATFLKELHSIEIDCSVSLFSDALVNKKDKFLQDKKLLISILEKEQLLTDEMLEHIETIYENISSNAVIFNYIPCLVHNDFSANNLIFRNNRLFGVIDFGDFNVGDPDNDFLCLLDCSTDDFGKEFGRKVLKYYQHKAPEVAERKAELNDVYWSIDQIIYGYERKDREMLIKGVSELLQTQAEMFIF ->ARGMiner~~~OXA-184~~~WP_057036510.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_057036510.1~~~cephalosporin;penam~~~unknown MKKILLLFSLFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNNFKRAKEPFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFIESKTKFLSFALNVDIKNIKNLKIREELLEKYIYSLN ->ARGMiner~~~AAC(6')-Ib-SK~~~WP_055545096.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib-SK~~~WP_055545096.1~~~aminoglycoside~~~unknown MELNGEKVLLRPVLDSDVKKLDKIVREPEVAAWWSTPDDYEEMLAITLDGEVIGAVQYEEEEDPEFRHAGIDIFLTASRHGLGLGTDTVRTVARWLIDERGHHRITIDPAVANAGAIRSYSKVGFKPVGVMRSYARDHTSGVWQDALLMDLLAEELV ->ARGMiner~~~AAC(6')-31~~~WP_054914207.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-31~~~WP_054914207.1~~~aminoglycoside~~~unknown MTTTISFVTLRLMTEHDLPMLHDWLNRPHIVEWWGGEETRPTLAEVLEQYLPSALAKESVTPYIAMLDEEPIGYAQSYIALGSGGGWWEDETDPGVRGIDQSLANPSQLGKGLGTKLVRALVEMLFKDPEVTKIQTDPSPNNLRAIRCYEKAGFVAQRTINTPDGPAVYMVQTRQAFEQARSVV ->ARGMiner~~~OXA-114a~~~WP_054448427.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-114a~~~WP_054448427.1~~~cephalosporin;penam~~~unknown MTVRRLSCALGAALSLSALGGGPVQAAVLCTVVADAADGRILFQQSTQQACAERYTPASTFKLAIALMGADAGILQGPHEPVWNYQPAYPDWGGDAWRQPTDPARWIKYSVVWYSQLTAKALGQDRFQRYTSAFGYGNADVSGEPGKHNGTDGAWIISSLRISPLEQLAFLRKLVNRQLPVKAAAYELADNLFEVGQADGWRLYGKTGTGSPGSNGVYTAANAYGWFVGWARKDGRQLVYARLLQDERATRPNAGLRARDELVRDWPAKAGAWRP ->ARGMiner~~~OXA-243~~~WP_054428802.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-243~~~WP_054428802.1~~~cephalosporin;penam~~~unknown MTVRRFSCALGAALSLSALAGAPARAAVLCTVVADAADGRIVFQQGTQAACAERYTPASTFKLPIALMGADAGILQGPHAPVWNYQPGYPDWGGDAWRQPTDPARWIKYSVVWYSQLTARALGQERFQRYASAFQYGNEDVSGEPGKHNGLDGAWINSSLRISPLEQLAFLRKLVNRQLPLKPAAYDLAETLFDAGEAGGWRLYGKTGTGSPGGNGVYTPDNAYGWFVGWARKDGRQLVFARLLQDEKATRPNAGLRARDDLMRDWPAMADAPRQ ->ARGMiner~~~PDC-3~~~WP_054379352.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_054379352.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLGAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~tetW~~~WP_054336482.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_054336482.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDALLEKYIAGEPISQEKLAREEQRRVQEASLFPVYHGSAKKGLGIQPLMDAVTGLFQPIGEQGSATLCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDQTRLPRKRWREAPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKIETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~OXA-61~~~WP_053921012.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_053921012.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKVFALNLDIDKFEDLYKREKILEKYLDELVKKS ->ARGMiner~~~OXA-184~~~WP_053872444.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_053872444.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDCNTSGVFITFDGKHYASNDFKRAKQAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQEGLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIREELLEKYLAIITN ->ARGMiner~~~PDC-7~~~WP_053816671.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_053816671.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHATILNLCGLAASTLFFATTSAFATEAPAERLKALVDAAVQPVMKANDIPGLAVAITLKGEPHYFSYGVASKEDARKVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASRHWPALQGSRFDGISLLDLGTYTAGGLPLQFPDVVQKDPAQIRDYYRQWQPTYAPGSHRQYSNPSIGLFGYLAARSLGQPFERSMERQLFPALGLEHTFIRVPTAQQGLYAQGYGKDDHPLRVGPGPLDAEAYGLKSSAADLLRFVEANLHPERLEKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPIDLKRLQAGNSAPMALQAHKVARLPAPQALDGQRLLNKTGSTNGFGAYLAFIPGRDVGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLVR ->ARGMiner~~~PDC-7~~~WP_053813381.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_053813381.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHATILNLCGLAASTLFFATTSAFATEAPAERLKALVDAAVQPVMKANDIPGLAVAITLKGEPHYFSYGVASKEDARKVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASRHWPALQGSRFDGISLLDLGTYTAGGLPLQFPDAVQKDPAQIRDYYRQWQPTYAPGSHRQYSNPSIGLFGYLAARSLGQPFERSMERQLFPALGLEHTFIRVPAAQQGLYAQGYGKDDRPLRVGPGPLDAEAYGLKSSAADLLRFVEANLHPERLEKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPIDLRRLQAGNSAPMALQAHKVARLPAPQALDGQRLLNKTGSTNGFGAYLAFIPGRDVGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLVR ->ARGMiner~~~AAC(6')-Iid~~~WP_053766315.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iid~~~WP_053766315.1~~~aminoglycoside~~~unknown MIISEFDRENIVLRDQLADLLRLTWPDEYGTEPMKEVEQLMAPERIAVSAIEGEELVGFVGAIPQYGKTGWELHPLVVASAHRKQQIGTRLVSYLEKEVASYGGLVIYLGTDDVEGQTNLVATDLFEDTFAKLQEIKNINHHPYTFYEKLGYQIIGVIPDANGWNQPDIWLAKRVAKREPTE ->ARGMiner~~~AAC(6')-Isa~~~WP_053638058.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_053638058.1~~~aminoglycoside~~~unknown MRLHGNQVVLRAVTESDIGTLDRIVREPEVAAWWSPPEDYGGMLAVVFEGEVVGAVQFHEETDPEFRHAGIDIFLTARQHGKGLGTDTVRTLARWLVEERGHHRLTIDPAAANTAAIRCYAGVGFKPVGIMRAYARDHRTGTWQDGLLMDLLAAELT ->ARGMiner~~~AAC(6')-Iz~~~WP_053518652.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~WP_053518652.1~~~aminoglycoside~~~unknown MSGCAATIRQATPADAAAWSQLRVGLWPDADDPLEELAQSLADPEGAVFLACAADGEAVGFAEVRLRHDHVNGTGSSPVGFLEGWYVTPQWQGRAVGRALLAEVQAWTRDAGCSELASDSRLEDVEAHAAHRACGFEETERVVYFRMPLDAATRG ->ARGMiner~~~qacH~~~WP_053515552.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_053515552.1~~~fluoroquinolone~~~unknown MKGWLFLVIAIVGEVIATSALKSSEGFTKLAPSAVVIIGYGIAFYFLSLVMKSIPVGVAYALWSGLGVVIITAIAWLLHGQKLDAWGFVGMGLIVSGVVVLNLLSKASAH ->ARGMiner~~~AAC(6')-Iak~~~WP_053461075.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iak~~~WP_053461075.1~~~aminoglycoside~~~unknown MTGSAATIRPATAADAAAWAQLRLGLWPDADDPLETLVAALAEDAGAVFLACAAGGQAIGFAEVRLRHDYVNGTDSSPVGFLEGWYVQPQWQGRGVGRALLAAVRAWTRDAGCRELASDSRVEDVQAHAAHRACGFEETERVVYFRMPLEPSA ->ARGMiner~~~CTX-M-2~~~WP_053444694.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-2~~~WP_053444694.1~~~cephalosporin~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQASSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYSDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGDYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~FosB3~~~WP_053032028.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_053032028.1~~~fosfomycin~~~unknown MIKGINHITYSVSNIAKSIEFYRDILGADILVESETSAYFNLGGIWLALNEEKNIPRSEIKYSYTHIAFTISDNDFEDWYIWLKENEVNILEGRDRDIRDKKSIYFTDLDGHKLELHTGSLEDRLSYYKEAKPHMNFYI ->ARGMiner~~~TEM-135~~~WP_052944427.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-135~~~WP_052944427.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMISTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTTPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OXA-184~~~WP_052858477.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_052858477.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDCNTSGTFIVFDGKNYASNDFQRAKQTFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQEGLNKLSYGNTKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIREELLEKYLAIITN ->ARGMiner~~~OXA-61~~~WP_052805187.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_052805187.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIVLDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_052803794.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_052803794.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISVKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKS ->ARGMiner~~~OXA-61~~~WP_052800105.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_052800105.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKVLNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDINLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_052798312.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_052798312.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDD ->ARGMiner~~~OXA-184~~~WP_052794776.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_052794776.1~~~cephalosporin;penam~~~unknown MKKILLLFSLFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNDFKRAKEPFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFIESKNKILSFALNVDIKNIKNLKIREELLEKYIYSLN ->ARGMiner~~~OXA-184~~~WP_052793969.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_052793969.1~~~cephalosporin;penam~~~unknown MKKILLLFSLFYSFALANDKLKDFFKDYNTSGVFITFDGKHYASNDFKRAKEPFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKIILFKEDKIQKIYAKTGFNDGINLAWIVGFIESKNKILSFALNVDIKDIKNIKIREELLEKYIYSLN ->ARGMiner~~~OXA-61~~~WP_052788887.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_052788887.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNRLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_052788483.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_052788483.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWVSNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_052781249.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_052781249.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFKLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~IND-8~~~WP_052769157.1~~~carbapenem unknown +>ARGMiner~~~IND-8~~~WP_052769157.1~~~carbapenem~~~unknown MKKSIQFFIVSMLLSPFANAQVKDFVIEPPIKSNLYIYKTFGVFGGKEYSANAAYLKTKKGVILFDVPWEKVQYQSLMDTIKKRHNLPVIAVFATHSHDDRAGDLSFFNNKGIKTYATLKTNEFLKKDGKATSTEIIQTGKPYHIGGEEFVVDFLGEGHTADNVVVWFPKYNVLDGGCLVKSNSATDLGYIKEANVEQWPKTMNKLKTKYSKATLIIPGHDEWKGGGHVEHTLELLNKK ->ARGMiner~~~OXA-62~~~WP_052266736.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-62~~~WP_052266736.1~~~cephalosporin;penam~~~unknown MKKTFSRWRRGALVLRILGALASPVVFATPGHAAEPVRPPSVHITERADWGKYFADEGVKGTVVVLDGRTQTYQAYDAARAERRLSPASTYKIFNSLLALESGAIDNEREVIPWDGKPRSMKAWNAALNLRDAFRVSCLPCYQILSHKIPRQYAQAKLNEVGYGNRTIGHAADTYWVDDSLQISAREQVDFLQRLAKGTLPFSARSQDIVRNISIVEANADYVLHGKTGWFTDKKPDIGWWVGWLERDGNLTMIALNIDIQSDADGPKRVRIVRSVLKDLKLI ->ARGMiner~~~NDM-10~~~WP_052157330.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~NDM-10~~~WP_052157330.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MRPLPHSYLKSLVICLLTAFAALTPVVNSGVQAAQPKDVPVTFTAITQGVWMHTSMKHMENWGHVPSNGLIVEKGDFSILVDTAWDDPQTAQIIEWSKDTLKKPIRWAVFTHAHDDKMGGVAALRQQGIVTYAAADSNRMAPQNGLTPAEHDLIFDSEHSTSVLHPLVIFDPGPGHTRDNIVVGLPEQGIVFGGCLIRPSGSTSLGNTADADLAHWKTAVLAVAQRFAEAQQIIPSHGPMAGRELFELTAQLAEKASIPSTP ->ARGMiner~~~AAC(6')-30/AAC(6')-Ib' fusion protein~~~WP_051645529.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-30/AAC(6')-Ib' fusion protein~~~WP_051645529.1~~~aminoglycoside~~~unknown MTFLIRPVEQSDAESWERLRNLLWEGDDHKSEITQFFNGEVEEPNEVLLAVTEENDAIAHIELSLRYDIDGLTGIKTGYIEGLFVEERHRAAGVVLKLLRAAEFWARDQGCLAFASDRDDRVIIYARYTGAPPNNSFKPTPLRGAA ->ARGMiner~~~AAC(6')-31~~~WP_051449075.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-31~~~WP_051449075.1~~~aminoglycoside~~~unknown MTSSISFVKLRLMTEQDLPMLHEWLNRPHIVEWWGGEEARPTLAEVQEQYLPSALARESVTPYIAMLDEEPIGYAQSYVALGSGGGWWEDETDPGVRGIDQSLANPSQLGKGLGTKLVRALVEMLFKDAKVTNIQTDPSPNNLRAIRCYEKAGFVTQRTITTPDGPAVYMVQTRQAFEQARSAA ->ARGMiner~~~AAC(6')-Iq~~~WP_051208285.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iq~~~WP_051208285.1~~~aminoglycoside~~~unknown MVTGAWVSTVRENRNWSEFDVCKHPSLHADAAALLMNTFQAKGITSWPNIESARQTLDECIAVPNLCLGMLIDGKLAGWVGLRPMYDKTWELHPLVVAPAQQGQGVGKALLQTLEQRAKAQGLIGIVLGTDDELFETSLSQTDLNGSNVLAELKRLSNRARHPFEFYQKCGYSVIGAVPNANGKNKPDIMMWKDLSADGV ->ARGMiner~~~AAC(6')-34~~~WP_051139090.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-34~~~WP_051139090.1~~~aminoglycoside~~~unknown MLVQQGRLAIRVLQKSDAPVMLRWLQDERVLEFYEGRDKHFDLQTIIEVFIDDQGETTPCLVLLDDKPLGYVQFYPLDREDKQALELPVEDVIYGLDQFIGEPDFWGLGLGTQLVLLVRDYLITKKAAHRLVLDPQSRNSRAIACYEKCGFEKLRMLPAHEMHEGQLQDCWLMQYYPARSNLIASSRPKI ->ARGMiner~~~ACT-28~~~WP_050861789.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-28~~~WP_050861789.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MKTKSLCCALLLSTSCSVLAAPMSEKQLSDVVERTVTPLMKAQAIPGMAVAVIYQGHPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQDWQPQWKPGTTRLYANASIGLFGALAVKPSGMSFEQAMTKRVFKPLKLDHTWIDVPKEDEAHYAWGYRDGKTVHVSPGMLDAEAYGVKTNVQDMASWVKANMNPAALPDSTLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVEAKTVVEGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKELGIVMLANKSYPNPARVEAAYRILSALQ ->ARGMiner~~~tetO~~~WP_050824634.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_050824634.1~~~tetracycline~~~unknown MKIINLGILGHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMYVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~tetW~~~WP_050618045.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_050618045.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISREKLAREEQQRVQDASLFPVYYGSAKNGLGIQPLMDAVTGLFQPIGEQGGAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYQGEIVILPSDSVRLNDVLGDQTRLPRKRWREDPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETVQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGQSVCLTELKGYQAAVGKPVIQPRRPNSRLDKVRYMFQKIM ->ARGMiner~~~AAC(6')-Iaa~~~WP_050537864.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iaa~~~WP_050537864.1~~~aminoglycoside~~~unknown MEIKKLSRANINLWIALREQLWPHHPENKTDGENIILSDGLASFIAIDNLGQGIGFADASIRNDYVNGCIHSPVAFLEGIFIVPPSRRSGVAKQLVDAVQAWGLEKGCQELASDTALDNVLSQQVHEALGFKETERVVYYRKASLS ->ARGMiner~~~AAC(6')-Iaa~~~WP_050141558.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iaa~~~WP_050141558.1~~~aminoglycoside~~~unknown MEIKKLSSTNINLWIALREQLWPHHPENKTDGENIILSDELASFIAIDDDGLGIGFADASIRNDYVNGCIHSPVAFLEGIFIVPSSRRGGVAKQLVDAVQAWGLEKGCQELASDTALDNVLSQQVHEALGFKETERVVYYRKA ->ARGMiner~~~ANT(3'')-IIc~~~WP_050041693.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-IIc~~~WP_050041693.1~~~aminoglycoside~~~unknown MSETLQLEQLTESLQQLLGESLFAIYLYGSAVDGGLGPESDLDVLVVVNQALTLHQRQQLAETLLKISYPIGAAQRALEVTIVLKEQILSGSYPLSYELQFGEWLREELNQGALLRAHTDPDLSILLKKAQMHHRSLLGPSLTQWSTAIPEQHLWQAMADTYPSIVAHWDEDADERNQILALCRIYFSLITNEIVPKDQAAHWVIAQLPSLHQPILQRMIQEYKGEIRKQNWQQQHQALGPVVDFLSSKIDEQFNKKSSLIK ->ARGMiner~~~vanYD~~~WP_049898469.1~~~glycopeptide unknown +>ARGMiner~~~vanYD~~~WP_049898469.1~~~glycopeptide~~~unknown MMEYQNNNGNYDKRNRRKAKKRKLLFYRAACVTLCLLIVSVIFGVVHFLGESKDPGLLSKENTKTDKNYSWLTDDQNEAVPSVPEPAISDQANKISVNIAAANAIVMNKDTNEVLYQKKSTAKIAPASTAKMIMALTALDYCSPEDEMKVGAEIEMIQSDSSTAWLMKGDTLTVRQLLIALMLPSGNDAAYTLAVNTGKKIAGDKSLTHQQAIEVFMDKVNEKAVNLGATNSKFVAPDGYDAEGQYTTAYDLAIIAKACLDNPIISEIVASYSSYEKWPNGREVTYSNSNELLDPNSPYYRPEVIGLKTGTSSLGGACIVSAAVIDGETYICVVMGSTKESRFQDSVDILDKIKAQ ->ARGMiner~~~AAC(6')-Iaa~~~WP_049677835.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iaa~~~WP_049677835.1~~~aminoglycoside~~~unknown MEIKKLSSANINLWIALREQLWPHHPENANRSDGKNIILSDELASFIAVDEDGLGIGFADASIRNDYVNGCIHSPVAFLEGIFIVPSSRRGGVAKQLVDAVQAWGLEKGCQELASDTALDNVLSQQVHEALGFKETERVVYYRKASLS ->ARGMiner~~~AAC(6')-Iaa~~~WP_049646085.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iaa~~~WP_049646085.1~~~aminoglycoside~~~unknown MEIKKLSSANINLWIALREQLWPHHPENANRSDGKNIILSDELASFIAVDEDGLGIGFADASIRNDYVNGCIHSPVAFLEGIFIVPPSRRGGVAKQLVDAVQAWGLEKGCQELASDTALDNVLSQQVHEALGFKETERVVYYRKVSLR ->ARGMiner~~~AAC(6')-Iak~~~WP_049468785.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iak~~~WP_049468785.1~~~aminoglycoside~~~unknown MTGSAATIRPATAADAVAWAQLRLGLWPDADDPLETLVAALAEDAGAVFLACAAGGQAIGFAEVRLRHDYVNGTDSSPVGFLEGWYVQPQWQGCGVGRALLAAVRAWTRDAGCRELASDSRVEDVQAHAAHRACGFEETERVVYFRMPLEPSA ->ARGMiner~~~AAC(6')-Iz~~~WP_049443010.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~WP_049443010.1~~~aminoglycoside~~~unknown MIDSVPTIRQATPADVAAWAQLRLGLWPDADDPLEELAESLADAEGAVFLACLAGGEAVGFAEVRLRHDYVNGTESSPVGFLEGWYVQPQWQGHAVGRALLAAVQAWTRTAGCSELASDSRVEDVQAHAAHRACGFEETERVVYFRMVLDAELPR ->ARGMiner~~~AAC(6')-Iz~~~WP_049440615.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~WP_049440615.1~~~aminoglycoside~~~unknown MIDSAPTIRQATPADAAAWAQLRLGLWPDADDPLEELTQSLADAEGAVFLACEADGEAVGFAEVRLRHDYVNGTESSPVGFLEGWYVQPQWQGRGVGRALLAAVQAWTRDAGCRELASDSRVEDVQAHAAHRACGFEETERVVYFRMQLEPSA ->ARGMiner~~~PDC-5~~~WP_049321692.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_049321692.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_049272244.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_049272244.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHHGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~AAC(6')-Ic~~~WP_049270433.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_049270433.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRTALWPSSSPEEHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLS ->ARGMiner~~~DHA-1~~~WP_049243320.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~DHA-1~~~WP_049243320.1~~~cephalosporin;cephamycin~~~unknown MTKSVSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADIQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSHYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~AAC(6')-Ic~~~WP_049241494.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_049241494.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRSALWPTCPLEEHRAEMREILASPHHTAFMARGLDGVFVGFAEVALRHDYVNGCESSPVAFLEGIYTVEHARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~PDC-3~~~WP_049239252.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_049239252.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTSAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~AAC(6')-Ic~~~WP_049212943.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_049212943.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRTALWPSGSPEDHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTAERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~AAC(6')-Ic~~~WP_049208356.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_049208356.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRSALWPTCPREEHRAEMREILASPHHTAFMARGLGGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~AAC(6')-Ic~~~WP_049204401.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_049204401.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRSALWPTCPLEEHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVERARRQGWATRLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~AAC(6')-Ic~~~WP_049198488.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_049198488.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRTALWPSSSPEEHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~AAC(6')-Ic~~~WP_049189080.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_049189080.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRSALWPTCPLEEHRAEMREILASPHHTAFMARGLDGVFVGFAEVALRHDYVNGCESSPVAFLEGIYTVERARRQGWATRLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~LEN-22~~~WP_049156962.1~~~penam;penem unknown +>ARGMiner~~~LEN-22~~~WP_049156962.1~~~penam;penem~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~OXA-114a~~~WP_049058560.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-114a~~~WP_049058560.1~~~cephalosporin;penam~~~unknown MTVRRLSCALGAALSLSALGGGPVQAAVLCTVVADAADGRILFQQGTQQACAERYTPASTFKLAIALMGADAGILQGPHEPVWNYQPAYPDWGGDAWRQPTDPARWIKYSVVWYSQLTAMALGQDRFQRYTSAFGYGNADVSGEPGKHNGTDGAWIISSLRISPLEQLAFLRKLVNRQLPVKAAAYELAENLFEVGQADGWRLYGKTGTGSPGSNGVYTAANAYGWFVGWARKDGRQLVYARLLQDERATQPNAGLRARDELVRDWPAMAGAWRP ->ARGMiner~~~GOB-1 beta-lactamase~~~WP_049037557.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~WP_049037557.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFVILFFMFICLGLNAQVVKEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLPIIKANIQKLGFNYKDIKILLLTQAHYDHTGALQDLKTETAAKFYADKEDADVLRTGGKSDYEMGKYGVTFKPVTPDKTLKDQDKIKLGNTILTLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSVIVDKKFSEVTAYPNIQSDYAYTFKAMKNLDFDLWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLNDLEKSYLNKIKKDSQDK ->ARGMiner~~~AAC(6')-IIa~~~WP_049031504.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIa~~~WP_049031504.1~~~aminoglycoside~~~unknown MPASTSVVTLRLMTEHDLPMLHEWLNRPHIVEWWGGEEERPSLDEVREHYLPRVLAEEAVTPYIAMLDGEAIGYAQSYVALGSGDGWWEDETDPGVRGIDQSLANPTQLSRGLGTQLVRALVEMLFSNPAVTKIQTDPDPKNLRAIRCYEKAGFVQQKVITTPDGPAVYMVQTRNAFESSRSAA ->ARGMiner~~~OXA-62~~~WP_048806429.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-62~~~WP_048806429.1~~~cephalosporin;penam~~~unknown MNTIISRRWRAGLWRRLVGAVVLPATLAATPAAYAADVPKAAPGRITERADWGKLFTAEGVKGTIVVLDARTQTYQAYDAARAEKRMSPASTYKIFNSLLALDSGALDNERAIIPWDGKPRRIKNWNAAMDLRTAFRVSCLPCYQVVSHKIGRRYAQAKLNEVGYGNRTIGGAPDAYWVDDSLQISAREQVDFLQRLARGTLPFSARSQDIVRQMSIVEATPDYVLHGKTGWFVDKKPDIGWWVGWIERDGNIASVAINIDMLSEADAPKRARIVKSVLKDLKLI ->ARGMiner~~~OXA-62~~~WP_048627819.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-62~~~WP_048627819.1~~~cephalosporin;penam~~~unknown MKKIISRWRRGVFGLRVALAVVSPMVFAVPAHATEAAGGAAGTKAAAVHMKERADWGKFFDAEGVKGTIVVLDGRTQTYQAFDTARAERRMSPASTYKIFNSLLALESGALDNEREIIPWDGKPRRGKYWNAAMDLRTAFRVSCLPCYQVVSHKIARQFAQSKLNEAGYGNHTIGRAADAYWVDDSLQISAREQVDFLQRLARGTLPFSARSQDIVRQISIVEANPDYVLHGKTGWFVDKKPDIGWWVGWIERDGNITSVALNIDLKDDADAPKRARIVRAVLSSLQLI ->ARGMiner~~~AAC(6')-Iih~~~WP_048603867.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iih~~~WP_048603867.1~~~aminoglycoside~~~unknown MIITEFDREDVVMRDQLADLLKKTWPEEYREESQINALLAEERLAVAALEEDMLIGFIGAIPQYDHTGWELHPLVVGDAYRRRKIGMRLVDFLEKEVASHGGITMYLGTDDENHATSLSELDLYQDTFQALSQIKNLKNHPFEFYEKQGYQIVGVIPDANGWNKPDILMAKRIAEPENENSPS ->ARGMiner~~~mecA~~~WP_048540464.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_048540464.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKLIIAIVIVIIAVGSGVFFYASKDKKINETIDAIEDKNVKQVFKNSTYQSKNDNGEVEMTDRPIKIYDSLGVKDINIKDRDIKKVSKNKKQVTAKYELQTNYGKINRDVKLNFIKEDKDWKLDWNQNAIIPGMKKNQSINIEPLKSERGKILDRNNVELATTGTTHEVGIVPNNVSTSDYKAIAEKLDLSESYIKQQTEQDWVKDDTFVPLKTVQDMNQDLKNFVEKYHLTSQETESRQYPLEEATTHLLGYVGPINSEELKQKAFKGYKKDAIVGKKGIEKLYDKDLQNKDGYRVTIIDDNNKVIDTLIEKKKIDGKDIKLTIDARVQKSIYNNMKDDYGSGTAIHPQTGELLALVSTPSYDVYPFMNGMSDEDYKKLTEDDKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDGKTSYKINGKGWQKDKSWGDYNVTRYEVVNADIDLKQAIESSDNIFFARVALELGSKKFEEGMKRLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENKGNVNAPHVLKDTKNKVWKKNIISQENIKLLTDGMQQVVNKTHREDIYRSYANLVGKSGTAELKMKQGETGQQIGWFISYDKDNPNIMMAINVKDVQDKGMASYNAKISGKVYDDLYDNGKKTYRIDK ->ARGMiner~~~AAC(6')-Ic~~~WP_048325528.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_048325528.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRSALWPTCPLEEHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVECARRQGWATRLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~qacH~~~WP_048269931.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_048269931.1~~~fluoroquinolone~~~unknown MKIWLFLATSIISEVIATSALKSSEGFTRLVPSFIVVAGYAAAFYFLSLTLKSIPVGIAYAVWSGLGIVLVTAIAWVLHGQKLDMWGFVGVGFIISGVAVLNLLSKASVH ->ARGMiner~~~rmtB~~~WP_048266647.1~~~aminoglycoside unknown +>ARGMiner~~~rmtB~~~WP_048266647.1~~~aminoglycoside~~~unknown MNINDALTSILASKKYRALCPDTVRRILTEEWGRHKSPKQAVEAARTRLHGICGAYVTPESLKAAAAALSAGDVKKALSLHVSTKERLAELDTLYDFIFSAETPRRVLDIACGLNPLALYERGVASVWGCDVHQGLGDVITPFAREKDWDFTFALQDVLCAPPAETGDLALIFKLLPLLEREQAGSAMALLQSINTPRMAVSFPTRSLGGRGKGMEANYAAWFEGGLPTEFEIEDKKTIGTELIYLIKKNG ->ARGMiner~~~QnrB13~~~WP_048236419.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB13~~~WP_048236419.1~~~fluoroquinolone~~~unknown MALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDSESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRRVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~AAC(6')-Ic~~~WP_048234935.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_048234935.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRTALWPSSSPEDHRAEMREILASPHHSAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVEGARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETELVVFYRKTLV ->ARGMiner~~~AAC(6')-If~~~WP_048233550.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-If~~~WP_048233550.1~~~aminoglycoside~~~unknown MQIRLMDEASLSMWVGLRSQLWPDHSYENHILDGQHILSCPDKYVSFLALNNQSQAIAFADAAVRHDYVNGCESSPVVYLEGIFVIPEQRGHGVAKLLVAAVQDWGVAKGCTEMASDASLDNHISYQMHQALGFEETERVVFFRKRIAG ->ARGMiner~~~aadA2~~~WP_048207712.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_048207712.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~AAC(6')-Ic~~~WP_047729810.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_047729810.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRTALWPSSSPEDHRAEMREILASPHHSAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVEGARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~CARB-18~~~WP_047706904.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_047706904.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNADISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPGLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~oqxA~~~WP_047693838.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxA~~~WP_047693838.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MSLQKTWGNIHLTALGAMMLSFLLVGCDDSVAQNAAPPAPTVSAAKVLVKSISQWDSFNGRIEAVESVQLRPRVSGYIDKVNYTDGQEVKKGQVLFTIDDRTYRAALEQAQAALARAKTQASLAQSEANRTDKLVHTNLVSREEWEQRRSAAVQAQADIRSAQAAVDAAQLNLDFTKVTAPIDGRASRALITSGNLVTAGDTASVLTTLVSQKTVYVYFDVDESTYLHYQNLARRGQGASSDNQALPVEIGLVGEEGYPHQGKVDFLDNQLTPSTGTIRMRALLDNSQRLFTPGLFARVRLPGSAEFKATLIDDKAVLTDQDRKYVYIVDKDGKAQRRDITPGRLADGLRIVQKGLNPGDSVIVDGLQKVFMPGMPVNAKTVAMTSSATLN ->ARGMiner~~~OKP-B-5~~~WP_047664983.1~~~cephalosporin;penam unknown +>ARGMiner~~~OKP-B-5~~~WP_047664983.1~~~cephalosporin;penam~~~unknown MRQYRFALLPLLAALALPGWAHQATVTTVKQAESQLQGRVGYAELDLASGQLLAGYRSDERFPMMSTFKVLLCGAVLSRVDAGEEQLDRRIHYRQQDLVEYSPVTEKHLTDGLTVGELCAAAITLSDNTAANLLLTTLGGPQGLTSFLRHSGDQTSRLDRWETELNEARPGDVRDTTTPQAMARTLRNLLTGRVLSSASQQQLQRWMVEDKVAGPLLRSVLPAGWFIADKTGAGNRGSRGIIAALGPDGKAARIVVIYLTGTPATMDERNKQIAAIGATLVTHWSADENRP ->ARGMiner~~~AAC(6')-Ic~~~WP_047570454.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_047570454.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRTALWPSGSPEEHRAEMREILASPHHTAFMARGLDGAFVAFAEVALRYDYVNGCESSPVAFLEGIYTAERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~SRT-2~~~WP_047567658.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_047567658.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAQAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEETLFEVGSLSKTFTATLAVYAQQQGKLSFNDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTNNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAATHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIVQALEKR ->ARGMiner~~~AAC(6')-Isa~~~WP_047467383.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_047467383.1~~~aminoglycoside~~~unknown MSDLELRGERVLLRSTVPADTPVLDAIVREPEVARWWPPPEAYAAMLAVVVDGEVIGAIQFSEESDPEFRHAGIDLFLSARWHGQGLGPDAVRTLARWLIAERGHHRLVIDPAAANTHAIRSYRKVGFAPVGIMRAYWRNHRTGAWEDGLLMDLLAAELT ->ARGMiner~~~AAC(6')-Ir~~~WP_047426753.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ir~~~WP_047426753.1~~~aminoglycoside~~~unknown MKIMPVTEPLLADWLQLRILLWPDHEDAHLLEMRQLLEQPHTLQLLSYNDQQQAIAMLEASIRYEYVNGTQTSPVAFLEGIYVLPEYRRSGVATTLVQQVEDWAKQFSCTEFASDAAIDNTISHAMHRALGFQETERVVYFKKKIS ->ARGMiner~~~AAC(6')-Isa~~~WP_047139664.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_047139664.1~~~aminoglycoside~~~unknown MSDLELRGERVLLRSTAPADTPVLDAIVREPEVARWWPPPEAYAAMLAVVVDGEVIGAIQFSEESDPEFRHAGIDLFLSARWHGQGLGPDAVRTLARWLIAERGHHRLVIDPAAANTRAIRSYRKVGFAPVGIMRAYWRDHRTGAWEDGLLMDLLAAELT ->ARGMiner~~~ACT-17~~~WP_047052637.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-17~~~WP_047052637.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLGISCSALAAPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEITDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADATLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVGGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILEALQ ->ARGMiner~~~TEM-12~~~WP_047028173.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-12~~~WP_047028173.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVKYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~AAC(6')-Ic~~~WP_047026538.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_047026538.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRSALWPTCPREEHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~OXA-198~~~WP_046991607.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-198~~~WP_046991607.1~~~cephalosporin;penam~~~unknown MNKKIKLIFILIFSINLFANDVELENLFKKYQVEGTLVLESLNTKKVDIYNEKRANTSFSPASTFKIPNTLIALNEGVVNKDSIIVWDKKVREFDAWNKDQTLQSAFKSSCVWCYKEFASKIGVEKYKKYLKELNYGNKTIGKDVTDFWLDESLRITAFEEIRFLKQLQANNLAFKQEDINLLKELMIDEKSENYVVRAKTGWEGKYGWYVGYVETKNDVWFFALNIDTKTKEDLAKRKALTLEALKTKGIID ->ARGMiner~~~AAC(6')-Isa~~~WP_046930149.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_046930149.1~~~aminoglycoside~~~unknown MELHGDKVVLRPVTDGDTEILERVVREPEVAAWWSPPDDYEGMLAVVFEGEVIGAVQFDEETDPEFRHAGIDIFLTARRHGKGLGADTVRTLARWLVQERGHHRLTIDPAAANTAAIRCYSKVGFKPVGIMRAYGRDHRTGAWQDGLLMDLLADELS ->ARGMiner~~~AAC(6')-Isa~~~WP_046928126.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_046928126.1~~~aminoglycoside~~~unknown MTKATCELRGTDVLLRPVQDTDIATLDRIVREPAVAAWWSPPDDYADMLAITHSGKVIGAIQFSEETDPDFRHAGIDIFLTTSHHGKGLGTDAVRTLAHWLIHERGHHRLTIDPAAANTAAIASYRKVGFKPVGIMRAYGRDYRTGGWADGLLMDLLADELT ->ARGMiner~~~AAC(6')-Ic~~~WP_046897272.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_046897272.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRAALWPSSSPEEHRAEMREILASPHHTAFMARGVDGAFIGFAEVALRYDYVNGCESSPVAFLEGIYAVERARRQGWATRLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~MIR-10~~~WP_046887417.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-10~~~WP_046887417.1~~~monobactam;cephalosporin~~~unknown MMKKSLSCALLLSVACSAFAAPMSEKQLANVVERNVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSVSKTFTGVLGGDTIARKEISLADPVTKYWPELTGKQWQGIRLLDLATYTAGGLPLQVPDAVTDNASLLRFYQSWQPKWAPGTTRLYANTSIGLFGSLTVKPSGMRFEEAMTERVFKPLKLNHTWINIPHAEEPHYAWGYREGKAVHVSPGMLDAEAYGVKSNVKDMASWVMANMAPETIQQPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVDGSDNKVALAPLPAAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPLRVETAYRILDTLQ ->ARGMiner~~~CTX-M-95~~~WP_046808255.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-95~~~WP_046808255.1~~~cephalosporin~~~unknown MIKNTLRKTTLMVATVMPLLFGSAPLWAQTANAKANIQQQLSELEKSSGGRLGVALIDTADNSQILYRGDERFPMCSTSKVMAVSALLKQSETDKNLLAKRMEIKQSDLVNYNPIAEKHLDTGMTLAEFSAATIQYSDNTAMNKILEHLGGPAKVTEFARTIGDKTFRLDRTEPTLNTAIPGDERDTSSPLAMAKSLQNLTLGKALGEPQRAQLVEWMKGNTTGGASIRAGLPTTWIVGDKTGSGDYGTTNDIAVIWPANHAPLVLVTYFTQPQQNAEARKDVLAAAAKIVTEGL ->ARGMiner~~~AAC(6')-Ic~~~WP_046687856.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_046687856.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRSALWPTCLREEHRAEMREILASPHHTAFMARGLDGAFVGFAEIALRYDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKMLG ->ARGMiner~~~AAC(6')-Isa~~~WP_046502149.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_046502149.1~~~aminoglycoside~~~unknown MELRGNEVVLRTTAEGDSEVLDRILREPEVAAWWPPLDDYEGMLAVVFGGEVIGAVTFDEETDPEFRRAGIDIFLTASHHGKGLGADTVRTLARWLLQERGHHRLTIDPAAANTAAIRSYSRAGFKPVGIMRAYWRDRRTGTWQDGLLMDLLADELT ->ARGMiner~~~AAC(6')-Iae~~~WP_045890872.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iae~~~WP_045890872.1~~~aminoglycoside~~~unknown MEYKIVDIALDSKLVKVAAEILFYTFSEINNESWPTINSATEEVKECIEDKNICIGVLVEDKLVGWIGLRPMYENTWELHPMVVLSKWQGKGLGKILIFELEKRAKEQGINGIVLGTDDETFRTSLSMKELDKNDLFEEIKNIKNINHHPYEFYQKCGYKIIGVIPDANGKNKPDIWMWKKIM ->ARGMiner~~~MIR-8~~~WP_045409557.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-8~~~WP_045409557.1~~~monobactam;cephalosporin~~~unknown MMTKSLSCALLLSVASAAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWLIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~MIR-11~~~WP_045372339.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-11~~~WP_045372339.1~~~monobactam;cephalosporin~~~unknown MMTKSLSCALLLSVTSSAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWVIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~MIR-8~~~WP_045354584.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-8~~~WP_045354584.1~~~monobactam;cephalosporin~~~unknown MMTKSLSCALLLSVASSAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWLIANMKPDSLQAPSLKQGIALAQSRYWCVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~ACT-17~~~WP_045340466.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-17~~~WP_045340466.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTNYPNPARVEAAYHILEALQ ->ARGMiner~~~ACT-20~~~WP_045337464.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-20~~~WP_045337464.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLGLSCSALAAPVSEKQLAEVVANTVTPLMIAQSVPGMAVAVIYQGKSHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILEALQ ->ARGMiner~~~AAC(6')-IIc~~~WP_045332676.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIc~~~WP_045332676.1~~~aminoglycoside~~~unknown MSANNAAIVLRVMGENDLPMLHAWLNRPHIVEWWGGEDERPTLDEVLEHYSPEVLAKQAVVPYIAMLDDEPIGYAQSYIALGSGDGWWEDETDPGVRGIDQSLANPSQLNKGLGTKLVRSLVELLFSDPAVTKIQTDPSPSNHRAIRCYEKAGFVQEKNILTPDGPAVYMVQTRQAFESLRTVQSFKIKGKWS ->ARGMiner~~~ACT-20~~~WP_045331818.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-20~~~WP_045331818.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLGLSCSALAAPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILEALQ ->ARGMiner~~~ACT-6~~~WP_045331469.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-6~~~WP_045331469.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMTKTLCCALLLSTSCSVLAAPLSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAKNKPVTPQTLFELGSISKTFTGVLGGDAVARGEISLGDPVTKYWPALTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDSASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMVNMKPETLQDTSLRQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVDGSDNKVALAPLPASEVNPPAPPVKASWVHKTGSTGGFGSYAAFIPEKSLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~ACT-17~~~WP_045328874.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-17~~~WP_045328874.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTAVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILEALQ ->ARGMiner~~~ACT-23~~~WP_045309142.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-23~~~WP_045309142.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLGISCSALAAPVSEKQLAEVVANTVTPLMKAQSIPGMAVAVIYQGKPHYYTFGKADIAASKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNAALLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMGYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGISLAQSRYWRIGSMYQGLGWEMLNWPVEANTVIEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILDALQ ->ARGMiner~~~MIR-10~~~WP_045295573.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-10~~~WP_045295573.1~~~monobactam;cephalosporin~~~unknown MMKKSLSCALLLSVACSAFAAPMSEKQLADVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSVSKTFTGVLGGDAIARKEISLADPVTKYWPELTGKQWQGIRLLDLATYTAGGLPLQVPDDVTDNASLLRFYQSWQPKWAPGTTRLYANTSIGLFGSLAVKPSGMRFEQAMAERVFKPLKLNHTWINVPHAEEPNYAWGYREGKAVHVSPGMLDAEAYGVKSNVKDMASWVMANMAPETLPQSTLQQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVDGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPVRVETAYRILETLQ ->ARGMiner~~~MIR-10~~~WP_045286607.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-10~~~WP_045286607.1~~~monobactam;cephalosporin~~~unknown MMKKSLSCALLLSVACSAFAAPMSEKQLAKVVERAVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTRYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDDVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSFEEAMTKRVFKPLRLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGIKTNVKDMASWVVANMAPDALQDSSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVNPPVPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVETAYRILDALQ ->ARGMiner~~~ACT-28~~~WP_045269678.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-28~~~WP_045269678.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MKTKSLCCALMLSTSCSVLAAPMSEKQLSDVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQHWQPQWKPGTTRLYANASIGLFGALAVKPSGMSFEQAMTKRVFKPLKLDHTWINVPKEEEAHYAWGYRDGKATHVSPGMLDAEAYGVKTNVQDMASWVKANMNPDALPDSTLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVEAKTVVEGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKELGIVMLANKSYPNPARVEAAYRILSALQ ->ARGMiner~~~ACT-29~~~WP_045261282.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_045261282.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKFLCCALLLSTSCSVLAAPMSEKQLADVVERNVTPLMKAQGIPGMAVAVIYQGQPHYFTFGKADIAANKSVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPDLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANSSIGLFGALAVKPSGMNYEQAMTTRVFKPLKLDHTWINVPKAEETHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMANMAPDALQDTSLKQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVSPPVPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~OXA-60~~~WP_045219469.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-60~~~WP_045219469.1~~~cephalosporin;penam~~~unknown MFSRWSKPLVLAATVCAMAMSAATAHAELIVRNDLKRVFDEAGVSGTFVLMDISADRTYVVDPARAARRIHPASTFKIPNSLIAFDTGAVRDDHEVLPYGGKPQPYKQWEHDMALPEAIRLSAVPIYQEVARRVGLERMQAYVDAFDYGNRQLGSVIDQFWLRGPLEISALEEARFTSRMALKQLPVKPRTWDMVHRMLLIEQQGDAALYAKTGVATEYQPEIGWWVGWVERAGRVYAFALNIDMPREGDMAKRIPLGKQLMQALDVWPVL ->ARGMiner~~~OXA-22~~~WP_045219048.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-22~~~WP_045219048.1~~~cephalosporin;penam~~~unknown MTKLRHATTGAFLAALATFAHAEHPVCTLVADAATGKVVLQEGKCNERVTPASTFKLALAVMGYDAGFLKDPHTPVEHFRRGDPDWGGRPWRQPVDPTLWLKYSVVWYSQRITHAMGAQMFASYVRKLDYGNMDVSGDPGKNNGLDRSWITSSLKISPEEQVGFLRRLVNRQLPVSAQTYEMVDRTVQTWQVPGGWAVQGKTGTAGPAPGNTSADGTWDQAHAYGWFVGWAKKGGRTYVFANLIQDDKIEPTSGGIRSRDAMLARLPQVLAAAKP ->ARGMiner~~~OXA-184~~~WP_044779552.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_044779552.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDCNTSGTFIVFDGKNYASNDFKRAKQAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIREELLEKYLAIITN ->ARGMiner~~~LEN-9~~~WP_044614545.1~~~penam;penem unknown +>ARGMiner~~~LEN-9~~~WP_044614545.1~~~penam;penem~~~unknown MRYVRLCVISLLATLPLVVYAGPQPLEQIKQSESQLPGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTHLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~OXA-61~~~WP_044600959.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_044600959.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISTKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~MIR-6~~~WP_044597278.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-6~~~WP_044597278.1~~~monobactam;cephalosporin~~~unknown MMTKSLSCALLLSVTSAAFAAPMSETQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWLIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~L1 beta-lactamase~~~WP_044403015.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_044403015.1~~~cephalosporin~~~unknown MRTLTTLGLALLLAQPAVAAQAVLPQLQPYTAPAAWLTPVAPLRIADNTWHIGTASITALLVKTPEGAVLLDGGMPQVADHLLANMRELGVAPGDLKLILHSHAHIDHVGPLAAIKKATGAQLVSNAESAVLLQRGDSQDIHFGDDMVFAPVQVDRLVQDGETVELGGMTFTAHFTPGHTPGSLSWTWTDRRDGKPLRIAYSDSLSAPGYSLWMNPRFPKIAEAFRSGFAAVRALPCDLLITPHAEASGWDYTNAEHPNPSPMSCKAYADKAEAAFDAQLKKQRGG ->ARGMiner~~~AAC(6')-Ic~~~WP_044030410.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_044030410.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRAALWPSSSPEEHRAEMREILASPHHTAFMARGPDGVFVAFAEVALRYDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~HMB-1 beta-lactamase~~~WP_043942497.1~~~carbapenem unknown +>ARGMiner~~~HMB-1 beta-lactamase~~~WP_043942497.1~~~carbapenem~~~unknown MKLLFAALFVVMFCGLARASDSLPELRIEKIAEGVYLHTSFQQVQGYGLVDANGLVVLDGQGAYIIDTPWSERDTAALVAWLQERNYQVKASVSTHFHDDRTAGIEYLNAISVPTYASARTNALLKQNGKALATETFDDAPLWLLEGKVEVFYPGAGHSVDNLVVWLPEQKLLNGGCFVRAAAAGTLGNTADAVVSEWAASAERLQRRYPDAQLVIPGHGVPGDVSLLEHTRKLALAATAKD ->ARGMiner~~~AAC(6')-Isa~~~WP_043911783.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_043911783.1~~~aminoglycoside~~~unknown MELRGAEVVLRPVAAGDEEVLDRIVREPEVAAWWSPPDDFQRMLAVVLQGEVIGAIQYQEETDPEFRHAGLDVFLTARHHGKGLGTDAVRTLARWLVHDRGHHRLTIDPAAANTAAIRSYRKVGFKPVGIMRSYWRDHRTGTWQDGLLMDLLAEELT ->ARGMiner~~~qacH~~~WP_043876060.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_043876060.1~~~fluoroquinolone~~~unknown MKNWIFLAVAIFGEVIATSALKSSHGFTRLVPSVVVVAGYGLAFYFLSLALKSIPVGIAYAVWAGLGIVLVAAIAWIFHGQKLDFWAFIGMGLIVSGVAVLNLLSKVSAH ->ARGMiner~~~KPC-16~~~WP_043629745.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-16~~~WP_043629745.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MRLSRRLVLLSCLLLPQAGFSAAMPANLADQKIARLERVFGGQIGVYALDTGSGAAVSYRADERFPLCSSFKGFLAASALAHSQSKPGFLDQILHYGKEELIAWSPISEKHQSSGMTVAGLCAATVQYSDNAAANVLMKQLDGPAGLTAFMRAIGDSTFRLDRWEPELNTAIPGDARDTSTPRAVAESLQKLTLGTALAAHQRQQLVDWLKGNTTGNQRIRAAVPAGWLVGDKTGTCGVYGTANDYAVIWPTGRAPIVMAVYTRASKKDIKHSDAVIADAAKAALEALGVMTR ->ARGMiner~~~KPC-17~~~WP_043592266.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-17~~~WP_043592266.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MFKHLILFASLAAPLTQAVAADPLAVAADKLAKLERDFGGSIGVYAIDTGSGATVANRPNERFPMCSSFKGFLAAGVLAQSQDKPGLLDKRIRYSKAALPNWSPITTKHQASGMTVAELNAASVQYSDNGAANLLLKEINGPAALTAFMRSIGDASFRLDRREPELNSAIPGDPRDTSTPKAVAESAQKLALGKALPEPQRQQLADWLKGNTTGNARIRAAVPAGWEVGDKTGTCGVYGTANDFAVIWPPKRAPIVLAVYTKHAKKEAKHSDEVIAAAARAALEAFNVKK ->ARGMiner~~~tlrB conferring tylosin resistance~~~WP_043470786.1~~~macrolide;lincosamide unknown +>ARGMiner~~~tlrB conferring tylosin resistance~~~WP_043470786.1~~~macrolide;lincosamide~~~unknown MRKNVVRYLRCPHCAAPLRSSDRTLRCENGHTFDVARQGYVNLLRRPTKLAADTTDMVAARAALLDSGHYAPLTERLAGTARRAAGAGAPDCVVDIGGGTGHHLARVLEEFEDAEGLLLDMSKPAVRRAARAHPRASSAVADVWDTLPLRDGAAAMALNVFAPRNPPEIRRILRPGGTLLVVTPQQDHLAELVDALGLLRVRDHKEGRLAEQLAPHFEAVGQERLRTTLRLDHDALGRVVAMGPSSWHQDPDELARRIAELPGIHEVTLSVTFTVCRPLP ->ARGMiner~~~MOX-7~~~WP_043155783.1~~~cephalosporin;cephamycin;penam unknown +>ARGMiner~~~MOX-7~~~WP_043155783.1~~~cephalosporin;cephamycin;penam~~~unknown MQQRQSILWGALATLMWAGLAHAGDKAATDPLRPVVDASIRPLLKEHRIPGMAVAVLKDGKAHYFNYGVADRERAVGVSEQTLFEIGSVSKTLTATLGAYAVVQGGFELDDKASLFAPWLKGSAFDNITMGELATYSAGGLPLQFPEEVDSLEKMQAYYRQWTPAYSPGSHRQYANPSIGLFGYLAASSMKQPFDRLMEQTILPGLGLYHTYLNVPEQAMGHYAYGYSKEDKPIRVTPGMLADEAYGIKTSSADLLRFVKANISGVDNAAMQQAIDLTHQGQYAVGEMTQGLGWERYAYPVSEQTLLAGNSPAMIYNTNPAAPAPAAAGHPVLFNKTGSTNGFGAYVAFVPAKGIGVVMLANRNYPNEARIKAAHAILTKLAR ->ARGMiner~~~qacH~~~WP_043106116.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_043106116.1~~~fluoroquinolone~~~unknown MKNWLFLAIAIFGEVVATSALKSSHGFTRLVPSVVVVAGYGLAFYFLSLALKSIPVGIAYAVWAGLGIVLVAAVAWIFHGQKLDFWAFIGMGLIVSGVAVLNLLSKVSAH ->ARGMiner~~~PDC-7~~~WP_043104614.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_043104614.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASILLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFSDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-5~~~WP_043089496.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_043089496.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVVLANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_043083525.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_043083525.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFSCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~tetM~~~WP_043029018.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_043029018.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~OXA-61~~~WP_042962442.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_042962442.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRTMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~ANT(2'')-Ia~~~WP_042852798.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_042852798.1~~~aminoglycoside~~~unknown MDTTQVTLIHQILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAMVEMLGGRVTEELDYGFLAEIGDELLDCEPAWRADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPMDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~AAC(6')-Ic~~~WP_042785406.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_042785406.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRSALWPTSPLEEHRAEMREILASPHHTAFMARGLDGAFVGFAEIALRYDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~CARB-18~~~WP_042771632.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_042771632.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILDHYKES ->ARGMiner~~~CARB-18~~~WP_042764055.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_042764055.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNTLSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~MCR-3~~~WP_042649074.1~~~peptide unknown +>ARGMiner~~~MCR-3~~~WP_042649074.1~~~peptide~~~unknown MPSLIKIKIVPLIFFLALYFAFMLNWRGVLHFYEILYKLEYFKFGFAISLPILLVAALNFVFVPFSIRYLVKPFFALLIALSAIVSYTMMKYRVLFDQNMIQNIFETNQNEALAYLNLPIIGWVTIAGFIPAILLFFVDIEYEEKWFKGILTRALSMFASLIVIAVIAALYYQDYVSVGRNNSNLQREIVPANFVNSTVKYVYNRYLAEPIPFTTLGDDAKRDTNQSKPTLMFLVVGETARGKNFSMNGYEKDTNPFTSKSGGVISFNDVRSCGTATAVSVPCMFSNMGRKEFDDNLARNSEGLLDVLQKTGVSIFWKENDGGCKGVCDRVPNIEIKPKDYPKFCDKNTCYDEVVLQDLDSEIAQMKGDKLVGFHLIGSHGPTYYKRYPDAHRQFTPDCPRSDIENCTDEELTNTYDNTIRYTDFVIAEMIAKLKTYEDKYNTALLYVSDHGESLGAMGLYLHGTPYKFAPDDQTRVPMQVWMSPGFIKEKGMNMECLQKNAAANRYSHDNIFSSVLGIWDVKTAIYEQELDIFKQCRNN ->ARGMiner~~~LRA-12~~~WP_041884311.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-12~~~WP_041884311.1~~~cephalosporin;penam~~~unknown MLKQSINTVVLLLFLTFSSLFACAQKVAEPTRNPPEWTQPYQPFRIAGNLYYVGTSDLASYLITTPKGHILINTGLSSSLSSIKANVKTLGFKFSDIKILLTTQAHFDHMGAMAAIKKLTGAKFMVDEKDAKVAADGGRSDYALGGHRSTYVPVKADRILHDKDKITLGGMELVMLHHPGHTQGSCSFLFNVKDESRVYSVLIANMPTIVTEKKFSEVTTYPGIAKDYAYTLNAMKKLKFDMWLSSHASQFGLLTKHKPGDAYNPAAFIDQKGYDSAIRDLEDKFLRKE ->ARGMiner~~~TLA-1~~~WP_041881964.1~~~fluoroquinolone;monobactam;cephalosporin unknown +>ARGMiner~~~TLA-1~~~WP_041881964.1~~~fluoroquinolone;monobactam;cephalosporin~~~unknown MKGFFRIKSVVCGVFLLMISVNAFAQKSELREKLQRIVNAHSATIGFSLTDLRNGDTLTVNGTKHLPMQSVYKFHLALAVLDQVDQGKLKLDQKIMVKKSDLLPDTWSPLRDKYPNGEVEIPLSEILSFTVSQSDNNGCDILFRLIGGPAKVNRYIHHLGIKDVAITATEEQMHQNDQIQFTNWTTPQAATELLGLFYAKKILSPASHEFLCKVMTETSTGADKIKGLLPAGTLAAHKTGSSGANKSGLTAASNDIGIVTLPDGKHFAVAAFVSMTKEDEKATDLIIAELTKASWDYLTTGKP ->ARGMiner~~~OXA-247~~~WP_041816295.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-247~~~WP_041816295.1~~~cephalosporin;penam~~~unknown MKKKYIKRLFSCIMLMAFCISQPSSTEARSIAWSVDEFFKNREGTFVIQEVKEKSPWVYNKKRAKERFAPQSTFKVANALIGLQTGAVRDEYDIKYWDGVKREIDNWNRDHTLGSGMRDSVVWYYQAMARDIGEERMNHWVKAIHYGNKDISGGIDQFWLSSTLRISPIEQVRFLKQLYEETLPFDLKNMRTVKRMMVQEEEKHATLYGKTGSGSDIGWYVGFIKHEHKTYILATNIKGTGIEAKDITYRILKKYHLMEASV ->ARGMiner~~~AAC(6')-IIa~~~WP_041550454.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIa~~~WP_041550454.1~~~aminoglycoside~~~unknown MSAIDTPVTLRLMTEQDLPMLHDWLNRPHIFEWWGGEEERPTLDEVLEHYLPRVLAEESVTPYIAMLGEEPIGYAQSYVALGSGDGWWEDETDPGVRGIDQSLANPTQLSKGLGTKLVRALVERLFLDSTVTKIQTDPTPNNHRAIRCYEKAGFVRQKIITTPDGPAVYMVQTRQSFENARSTA ->ARGMiner~~~CcrA beta-lactamase~~~WP_041449074.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_041449074.1~~~carbapenem~~~unknown MRTLLARVVVLLLVPWSAARGEPETDLGDRVRARPLSAHAWLIRSVSALEGFGDVESNAVLVTGATESVLVDTPATDEQTAPVLAWAEKTLRRPVRHLIVTHWHADRMGGIGAARARQVATYAFGRTRALARQKGLVVPEHELGPAERLTLAGLSLATWYPGHGHTADNIVVWLPEDGVLVAGCFVKDAAAGTLGNVREADPVQWATGVAALRRRYPGARIVVPGHGAAGGSELLARTTALLEAHGSRGVPASGR ->ARGMiner~~~BEL-1~~~WP_041385949.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~BEL-1~~~WP_041385949.1~~~monobactam;cephalosporin;penam~~~unknown MPRRLIFAALLLAAPAAAQQEAPRQSLLPPPPRYMVSESAMKSVRNPKEIETESGGRLGVALVDGKGALILGFNRDERFAMCSTFKAPLAAAVLAGAEGGKFGLEGQISFAKADLLDYAPVVRKNVKRGRMSMEELAAAAVEVSDNSAANLLLPMVGGPEGLTRFIRAHGDMVTRLDRNEPSLNENAEGDERDTTSPAAMAGLMGRLIFRDLAPESAGKLRGWLNASTTGANRIKAGLPKGWISGSKTGSCGTAYNDVALVKAPSGEEYILAIYLDRPTVDAKAAEAAIAETARAALDFVDKAQKTGLE ->ARGMiner~~~BcII~~~WP_041258349.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcII~~~WP_041258349.1~~~cephalosporin;penam~~~unknown MRFLFILLASTLFTHLAVAQAAQKPPLRVSRLSDRVFVHTTYGMYQGEPVPSNGLIVRTDDGVVLLDTGWDTEGNTDNTRQLLRWVADSLRLPVRLCIVTHSHDDKVGGIVELQKAGIRVVSTPLTAQKTVKQGYPSPDGILPADTTFTIGNVPIRTYFPGEGHTVDNIVVWLPSERILHGGCFVKSVAAFGMGYVGESNLTAWSGSIRNVKKRFGNARIVVPGHEEWTGPQALDHTLRLLEKYNANRH ->ARGMiner~~~vanI~~~WP_041219811.1~~~glycopeptide unknown +>ARGMiner~~~vanI~~~WP_041219811.1~~~glycopeptide~~~unknown MNRLKIAIIFGGCSEEHSVSVKSAQEVAKNLDLEKYEPYYIGITQNGVWKLCHFPEANWENSCCLPAMLAPDSGVHGLIVLEQGKYKMIPLDMVFPVLHGKFGEDGAIQGLLELSCIPYVGCDIQSSALSMDKSLAYIVAGNARIATPNFWTFTENEDMDPDRFIYPVFVKPARSGSSFGVTKVCREEELLSAVEIARQYDSKVLIEEAVVGSEVGCAIFGDDPDLTAGEVDQISLSHGFFRIHQESEPENGSENSTIIVPADISTEMRLHIQETAKAIYRALGCRGLARVDMFLKEDGEVILNEVNTLPGMTSYSRFPRMMAAAGLTFAEVIDRIVALTLEGKRR ->ARGMiner~~~AAC(6')-Ic~~~WP_041036791.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_041036791.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRTALWPSGSPEEHRAEMREILASPHHTVFMARGLDGAFVAFAEVALRYDYVNGCESSPVAFLEGIYTAERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~oqxB~~~WP_040224644.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_040224644.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPFRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~PDC-3~~~WP_040184286.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_040184286.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPRPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~aadA~~~WP_040120354.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_040120354.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHFEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~ACT-17~~~WP_040117106.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-17~~~WP_040117106.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTAVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTRINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILEALQ ->ARGMiner~~~AAC(6')-Isa~~~WP_039639671.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_039639671.1~~~aminoglycoside~~~unknown MELRGDDVVLRPVGDGEGEVLDRIVREPEVAAWWSPPEDYEGMLAIVFEGEVIGAVQFEEETDPEFRRAGIDIFLTARHHGKGLGADAVRTLARWLVRECGHHRLTIDPAAANTAAIRSYGKVGFRPVGIMRAYGRDHQTGLWQDALLMDLLAEELT ->ARGMiner~~~AAC(6')-Ic~~~WP_039569351.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_039569351.1~~~aminoglycoside~~~unknown MIVICDHGNLDAWLALRTALWPSGSPEEHRAEMREILASPHHTAFMARGLDGTFVAFAEVALRYDYVNGCESSPVAFLEGIYTTERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~OXA-51~~~WP_039208445.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXA-51~~~WP_039208445.1~~~monobactam;cephalosporin;penam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIHQGQTQQSYGNDLTRASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSEEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFTLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~ACC-5~~~WP_039189232.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~ACC-5~~~WP_039189232.1~~~monobactam;cephalosporin;penam~~~unknown MRKKMQNTLKLLSVITCLAATAQGALAANIDESKIKDTVDDLIQPLMQKNNIPGMSVAVTVNGKNYIYNYGLAAKQPQQPVTENTLFEVGSLSKTFAATLASYAQVSGKLSLDQSVSHYVPELRGSSFDHVSVLNVGTHTSGLQLFMPEDIKNTTQLMAYLKAWKPADAAGTHRVYSNIGTGLLGMIAAKSLGVSYEDAIEKTLLPQLGMHHSYLKVPADQMENYAWGYNKKDEPVHVNMEILGNEAYGIKTTSSDLLRYVQANMGQLKLDANAKMQQALTATHTGYFKSGEITQDLMWEQLPYPVSLPNLLTGNDMAMTKSVATPIVPPLPPQENVWINKTGSTNGFGAYIAFVPAKKMGIVMLANKNYSIDQRVTVAYKILSSLEGNK ->ARGMiner~~~AAC(6')-29b~~~WP_039110076.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-29b~~~WP_039110076.1~~~aminoglycoside~~~unknown MPNVSILPVKEQDAADWLALRNLLWLADDHASEIEQYFSGGLEEPVEVVLIARDATGAAVGHVELSIRHDLEELQGIKTGYIEGLYVAPSHRSTDLVKRFLRESEKWALEQGCSAFASDRSDRVITHRKFAGSAV ->ARGMiner~~~AAC(6')-Ic~~~WP_038879528.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_038879528.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRTALWPSSSPEDHRAEMREILASPHHSAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVEGARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLV ->ARGMiner~~~OXA-61~~~WP_038851696.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_038851696.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGGKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_038813458.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_038813458.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFLRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKS ->ARGMiner~~~oqxB~~~WP_038435619.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_038435619.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTELFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRDLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~OXA-172~~~WP_038350216.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-172~~~WP_038350216.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGLDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~AAC(6')-Ib-SK~~~WP_037790839.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib-SK~~~WP_037790839.1~~~aminoglycoside~~~unknown MDSDWELRGDRIVLRPVMADDTPTLHRIVREPEVAAWWGTPEGFDDMLAVVLDGEVIGSVQYEEEDDPDYRHAGIDLFLSARHHGRGLGAETVRTLARWLIDARGHHRLTIDPAAANTTAVRSYTRVGFRPVGVLRAYERDPLTGDWRDGLLMDLLADELA ->ARGMiner~~~OXA-48~~~WP_037428895.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~WP_037428895.1~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVALWNENKQQGFTNNLKRANQAFLPASTFKIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~Proteus mirabilis chloramphenicol acetyltransferase~~~WP_035203840.1~~~phenicol unknown +>ARGMiner~~~Proteus mirabilis chloramphenicol acetyltransferase~~~WP_035203840.1~~~phenicol~~~unknown MKFNTINRDTWDRKEYFEHYLQQQTTFSLTNEINITILMKNLKKKHYKLYPAFIFMVTKIVNAHREFRINFNSEGNLGYWTEICPLYTIFDNKSHTFSGIWSPNLTIFSEFHSKYENDAERYNGTRRLFPKKPIPDNTIPISMIPWSSFTAFNLNINNGGDFLLPIITGGKYSQVNDELFLPVSIQMHHAICDGYHASVFINDLQKLADESEDWI ->ARGMiner~~~vanRC~~~WP_034872470.1~~~glycopeptide unknown +>ARGMiner~~~vanRC~~~WP_034872470.1~~~glycopeptide~~~unknown MAEKIIVVDDEKEIAELVTTFLRNEGFQVEPFYDGTSALAYLEKETVDVAVLDVMLPDIDGFQLLQTIRKTHFFPVLMLTAKGEDLDKITGLSLGADDYVTKPFNPLEVVARVKTQLRRYQRYNQSAVPQKIEEYEKEGLLLKINSHQCFLYGKEVFLTPIEFKILLYLFEHQGSVVASETLFEAVWKEKYLDNNNTVMAHIARLREKLNEQPRKPKLIKTVWGVGYIIEK ->ARGMiner~~~vanSC~~~WP_034869172.1~~~glycopeptide unknown +>ARGMiner~~~vanSC~~~WP_034869172.1~~~glycopeptide~~~unknown MKNRSPLIRKLLTQYFITTGILMAILIIIPFAVRFVAGMRVWYGYEPIYQILRLFADYWLLCLAIGALLIWVSTTIYYMSKAISYLNETIQATNQLIAEPTKRIVLSSNMIDVQEEMNQLREKNLQDQRAAKEAEQRKNDLIVYLAHDLRTPLTSVIGYLTLLEEEPQLSTELRARYTKIALQKAQRLELLISEFFEITRFNLTTIALQTETTDLSLMLEQLTFEFLPLLEEKNLHWQLQLQKKVFATVDTEKMERVFDNLIRNAINYSYPNSPLRLAISESDDILIRLTNQGKTIPAEKIVLIFEPFYRMDASRATATGGTGLGLPIAKEIVEALGGKIWAESRDETIVFSIRLPKAAMEKNEQLSKPHPSEPTA ->ARGMiner~~~GOB-1 beta-lactamase~~~WP_034866180.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~WP_034866180.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFVTLFFLLVCLSLNAQVVKEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESFPIIKGNIQKLGFNYKDIKILLLTQAHYDHTGALQDFKTETGAKFYADKADADVLRTGGKSDYELGKYGVTFKPVTPDKTLKDQDKITLGNTTLTLLHHPGHTKGSCSFIFETKDENRKYKILIANMPSIIVDKKFSEVTAYPGIQSDYAYTFKAMKNLDFDIWVASHASQFDLHTKRKEGDSYNPQLFMDKENYFKRLERLENNYFEKIKEDSKDK ->ARGMiner~~~AAC(6')-Ii~~~WP_034860925.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_034860925.1~~~aminoglycoside~~~unknown MIITEFDREDRSLKSQLADLLRLTWPEEYGETAVQEADQLMEADRIAVAAIEGDELLGFAGAIPQYQQTGWELHPLVVESAKRKNQIGTRLLHYVEREVVFRGGITLYLGTDDLEHETSLGSGDLFEETFKKIQEIENLKKHPYEFYKKLGYTIVGVIPDANGWDRPDIWMAKRLVERPHNT ->ARGMiner~~~TLA-1~~~WP_034735227.1~~~fluoroquinolone;monobactam;cephalosporin unknown +>ARGMiner~~~TLA-1~~~WP_034735227.1~~~fluoroquinolone;monobactam;cephalosporin~~~unknown MKKITFLLLMVSAFATAQKSVLDEKISAVIKDKKATVGVSVLGFENAFKYSKNGDKKLPLLSVFKFHLACAVLDMADKGKFSTDQKFLIKKSDLLENTWSPLREKFPEGNIELSLGEIITYTVAQSDNNTCDFLLRLIGGPQVVQHFMDSKGAKDLQIKYNEDDMHRDWKNQYGNESSTNATVSLLKKFYDGKLLTKKSTDFLMQIMLGTTTGTNKIVEQLPKSTPVAHKTGSSGKPDNILTVAENDMGIITLPNGKHYAIAVFVSNSTETEKVNTRMVSDISKIVWDNFNK ->ARGMiner~~~AAC(6')-Iid~~~WP_034700626.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iid~~~WP_034700626.1~~~aminoglycoside~~~unknown MIISEFDRENIVLRDQLADLLRLTWPDEYGTEPMKEVEQLMAPERIAVSAIEGEELVGFVGAIPQYGKTGWELHPLVVASAHRKQQIGTRLVSYLEKEVASYGGLVIYLGTDDVEGQTNLVETDLFEDTFAKLQEIKNINHHPYTFYEKLGYQIIGVIPDANGWNQPDIWLAKRVAKREPTE ->ARGMiner~~~AAC(6')-Iid~~~WP_034688908.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iid~~~WP_034688908.1~~~aminoglycoside~~~unknown MIISEFDRRNIGLKDQLADLLRLTWPKDYGEQPMKEVEQLLATDRIAVSAVEQDRLVGFIGAIPQYGMTGWEIHPLVVETSYRKQYVGSRLVDYLEKEITSKGGVTVYLGTDDMDGDTSLSHTDLFDHPLDKLKSIETINKHPYTFYEKMGYQVVGVIPDANGINQPDIILAKRLGEMNQ ->ARGMiner~~~PDC-7~~~WP_034081664.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_034081664.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHATILNLCGLAASTLFFATTSAFATEAPAERLKALVDAAVQPVMKANDIPGLAVAITLKGEPHYFSYGVASKEDARKVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASRHWPALQGSRFDGISLLDLGTYTAGGLPLQFPDAVQKDPAQIRDYYRQWQPTYAPGSHRQYSNPSIGLFGYLAARSLGQPFERSMERQLFPALGLEHTFIRVPAAQQGLYAQGYGKDDHPLRVGPGPLDAEAYGLKSSAADLLRFVEANLHPERLEKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPIDLKRLQAGNSAPMALQAHKVARLPAPQALDGQRLLNKTGSTNGFGAYLAFIPGRDVGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLVR ->ARGMiner~~~PDC-5~~~WP_034075190.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_034075190.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLLATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~APH(3')-IIa~~~WP_034067921.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIa~~~WP_034067921.1~~~aminoglycoside~~~unknown MTVALDEVSELKNLLSPLLDECTFEEVEYGQSDARVIRVLFPDRNTAYLKYASGSSAQEILQEHQRTRWLRTRALVPEVISYVSTSTVTILLTKALIGHNAADAAHADPAIVVAEMARALRDLHSISPDDCPFDERLHLRLKLASGRLEAGLVDEEDFDHARQGMLARDVYEQLFLQMPGAEQLVVTHGDACPENFIFQGNAFVGFIDCGRVGLADKYQDLALASRNIDAVFGPELTNQFFIEYGEPNPNIAKIEYYRILDEFF ->ARGMiner~~~PDC-10~~~WP_034066397.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_034066397.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLLEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-5~~~WP_034056534.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_034056534.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPRRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_034054438.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_034054438.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTNAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~SRT-2~~~WP_034051940.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_034051940.1~~~cephalosporin~~~unknown MRCNKNVLSVVLLGALSLSAGNAFGQVSQADVDAVIRPLMSKYKIPGMAVALSVDGQHTFYNYGVASKATGQAVTPTTLFEIGSLSKTFTATLASYAQGQGKLQWSDQASHYLPSLKGSAFDRVTLLNLATHTSGMPLFVPDEVTNQEQLMAWYQAWQPTAPIGSQRVYSNLGIGMLGLITAQSLQKPFSEAMEQDLLAPLGMKHSWVKVPENQMAEYAQGYNKLDEPVRVTPGPPDAEAYGLKSSSADLLRWLDLNMAITPPSPAWQQAITETHKGYYQTGEFTQALIWEYYPWPTTKETLLAGNSSERIMKGLGAKPLTPPQAGPEQAWYNKTGSTNGFSTYAVFIPGQKTALILLANKWYPNDARIEAAYELVQRLKK ->ARGMiner~~~PDC-3~~~WP_034051361.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_034051361.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPIAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_034048369.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_034048369.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRNTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_034043639.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_034043639.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTYLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_034041053.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_034041053.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-1~~~WP_034039380.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_034039380.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTSAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQTLEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-8~~~WP_034035338.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_034035338.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_034032599.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_034032599.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMAPQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_034031407.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_034031407.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTSGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_034028067.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_034028067.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_034020787.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_034020787.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKVRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_034005655.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_034005655.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPITLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-1~~~WP_034003797.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_034003797.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPSYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_033998327.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_033998327.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPVPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_033998317.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_033998317.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHATILNLCGLAASTLFFATTSAFATAAPAERLKALVDAAVQPVMKANDIPGLAVAITLKGEPHYFSYGVASKEDARKVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASRHWPALQGSRFDGISLLDLGTYSAGGLPLQFPDAVQKDPAQIRDYYRQWQPTYAPGSHRQYSNPSIGLFGYLAARSLGQPFERSMERQLFPALGLEHTFIRVPAAQQGLYAQGYGKDDRPLRVGPGPLDAEAYGLKSSAADLLRFVEANLHPERLEKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPIDLKRLQAGNSAPMALQAHKVARLPAPQALDGQRLLNKTGSTNGFGAYLAFIPGRDVGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLVR ->ARGMiner~~~PDC-3~~~WP_033990638.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_033990638.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFSCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-5~~~WP_033987207.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_033987207.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_033985393.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_033985393.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASILLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGLVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFSDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_033982123.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_033982123.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQSYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_033977759.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_033977759.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTGFPCLCGIAASTLLFAATSAIAGEAPADRLKTLVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASLHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYTPGSQRLYSNPSIGLFGYLAARSLGQPFERIMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_033974288.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_033974288.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQNKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPKAALAQYAQGYGKDDRPLRAGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-10~~~WP_033969586.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_033969586.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTGFPCLCGIAASTLLFAATSAIAGEAPADRLKTLVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASLHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYTPGSQRLYSNPSIGLFGYLAARSLGQPFERIMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGSDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-5~~~WP_033962599.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_033962599.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTKFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-7~~~WP_033957064.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_033957064.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDRAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~OXA-50~~~WP_033954903.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-50~~~WP_033954903.1~~~cephalosporin;penam~~~unknown MRPLLFSALLLLSGRAQASEWNDSQAVDKLFGAAGVKGTFVLYDVQRQRYVGHDRERAETRFVPASTYKVANSLIGLSTGAVRSADEVLPYGGKPQRFKAWEHDMSLRDAIKASNVPVYQELARRIGLERMRANVSHLGYGNAEIGQVVDNFWLVGPLKISAMEQTRFLLRLAQGELPFPAPVQSTVRAMTLLESGPGWELHGKTGWCFDCTPELGWWVGWVKRNERLYGFALNIDMPGGEADIGKRVELGKASLKALGILP ->ARGMiner~~~PDC-3~~~WP_033945151.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_033945151.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFKRLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKRAREGDGA ->ARGMiner~~~PDC-7~~~WP_033940628.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_033940628.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGKPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~WP_033851992.1~~~cephalosporin;penam unknown +>ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~WP_033851992.1~~~cephalosporin;penam~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWEELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVAKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~AAC(6')-Ic~~~WP_033654785.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_033654785.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRTALWPSGSPEEHRAEMREILASPHHTAFMARGLDGTFVAFAEVALRYDYVNGCESSPVAFLEGIYTAERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~AAC(6')-Ic~~~WP_033651281.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_033651281.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRSALWPTCPREEHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTIERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~AAC(6')-Ic~~~WP_033644545.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_033644545.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRSALWPTCLREEHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~AAC(6')-Ic~~~WP_033639326.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_033639326.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRSALWPTCPLEEHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRHDYVNGCESSPVAFLEGIYTAERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~AAC(6')-Ic~~~WP_033636082.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_033636082.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRSALWPSSSPEAHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~AAC(6')-Ic~~~WP_033632034.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_033632034.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRTALWPTCPLEEHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRYDYVNGCESSPVAFLEGIYTVECARRQGWATRLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~tetW~~~WP_033493020.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_033493020.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTSIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETVQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGQSVCLTELKGYQAAVGKPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~ACT-32~~~WP_033487869.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-32~~~WP_033487869.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVVEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILEALQ ->ARGMiner~~~AAC(6')-Isa~~~WP_033268654.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_033268654.1~~~aminoglycoside~~~unknown MELRGDRVVLRPVAEGDPEVLDRIVREPEVAAWWSPPDDYAGMLAVVLGGDVIGAVQFDEETDPEFRRAGIDIFLTARRHGQGLGTDTVRTLARWLVRERGHHRLTIDPAAANTAAIRSYRKVGFKPVGIMRKYWRGHRTGTWQDGLLMDLLADELT ->ARGMiner~~~CMY-115~~~WP_032948363.1~~~cephamycin unknown +>ARGMiner~~~CMY-115~~~WP_032948363.1~~~cephamycin~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYKGKPYYFTWGKADIANNHPVTQHTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDITDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMAHWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~CMY-112~~~WP_032937778.1~~~cephamycin unknown +>ARGMiner~~~CMY-112~~~WP_032937778.1~~~cephamycin~~~unknown MMKKSICCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEEKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDEVTDKAALLRFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPARVEAAWRILEKLQ ->ARGMiner~~~AAC(6')-Ir~~~WP_032880374.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ir~~~WP_032880374.1~~~aminoglycoside~~~unknown MKIMPVSEPFLADWLQLRILLWPDHEDAHLLEMRQLLEQPHTLQLLSYNDQQQAVAMLEASIRYEYVNGTQSSPVAFLEGIYVLPEYRRLGVASTLVQQVEHWAKQFACTEFASDAALDNTISHAMHRALGFQETECVVYFKKNIS ->ARGMiner~~~OXA-214~~~WP_032877719.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_032877719.1~~~cephalosporin;penam~~~unknown MSAKLKILTLSISLVLGLPLMACQSFSQQKQQIMTQKSEQQQIASLFQNAQTSGVLVIYDGKKIQKYGNDTSRAEHRYIPASTFKMLNALIGIQHHKTTPNEIFKWDGRKRAFSSWEKDLTLAEAMQASAVPVYQELARRIGLELMTQEIKRVGYGNNNVGTQVDNFWLVGPLKITPVEEVRFAYALAKQKLPFDQSTQQQVKGMLLLDEVQGAKIYAKSGWGMDVSPQVGWWTGWIEQANGKITAFSLNMEMSQPEHAETRKAIVYQALQQLDLLVN ->ARGMiner~~~AAC(6')-Ir~~~WP_032874497.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ir~~~WP_032874497.1~~~aminoglycoside~~~unknown MKIMPVTEPFLADWLELRRLLWPDHEDAHLLEMRQLLEQTQTLQLLSYNDQQQAIAMLEASIRYEYVNGTQSSPVAFLEGIYVLPEYRRSGVASALVQQAEDWAKQFACTEFASDAALDNTISHAMHRALGFQETERVVYFKKNIS ->ARGMiner~~~AAC(6')-Ir~~~WP_032870384.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ir~~~WP_032870384.1~~~aminoglycoside~~~unknown MKIMPVTEPLLADWLQLRRLLWPDHEDAHLLEMRQLLEQPQTLQLLSYNDQQQAIAMLEASIRYEYVNGTQTSPVAFLEGIYVLPECRRLGVASTLVQQVEHWAKQFACTEFASDAALDNTISHAMHRALGFQETERVVYFKKNIS ->ARGMiner~~~OXA-214~~~WP_032865068.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_032865068.1~~~cephalosporin;penam~~~unknown MSKKLKCLALFTAVFFAIPMTACQSFSQQKQQFSTQKNEQQQISSLFQSAQTSGVLMIYDGKKIQSYGNDLDRAEQRYIPASTFKMLNALIGIQHHKTTPDEVFKWDGKKRAFSSWEKDLTLAEAMQASAVPVYQELARRIGLELMTREVKRVGYGNKNIGTQVDNFWLVGPLKITPIEEVRFAYALAKQKLPFDQPTQQQVKAMLLVDQIQGTKIYAKSGWGMDVSPQVGWWTGWIEQPNGKITAFSLNMQMSQPEHADARKVIVYQALQELGLLAH ->ARGMiner~~~OXA-85~~~WP_032835180.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-85~~~WP_032835180.1~~~cephalosporin;penam~~~unknown MKKFILVMLLFMFSIISFGNENQFMKEIFERKGLNGTFVVYDLKNDKIDYYNLDRANERFYPASSFKIFNTLIGLENGIVKNVDEMFYYYDGSKVFLDSWAKDSNLRYAIKVSQVPAYKKLARELGKERMQEGLNKLNYGNKEIGSEIDKFWLEGPLKISAMEQVKLLNLLSQSKLPFKLENQEQVKDITILEKKDDFILHGKTGWATDNIVVPIGWFVGWIETSDNIYSFAINLDISDSKFLPKREEIVREYFKNINVIK ->ARGMiner~~~TEM-166~~~WP_032699754.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-166~~~WP_032699754.1~~~monobactam;cephalosporin;penam;penem~~~unknown MRQYRFALLPLLAALALPGWAHQATVTTVKQAESQLQGRVGYAELDLASGQLLAGYRSDERFPMMSTFKVLLCGAVLSRVDAGEEQLDRRIHYRQQDLVEYSPVTEKHLTDGLTVGELCAAAITLSDNTAANLLLTTLGGPQGLTSFLRHSGDQTSRLDRWETELNEARPGDVRDTTTPQAMARTLRNLLTGRVLSSASQQQLQRWMVEDKVAGPLLRSVLPAGWFIADKTGAGNRGSRGIIAALGPDGKAARIVVIYLTGTPATMDERNKQIAAIGATLIRHWSADENRP ->ARGMiner~~~TEM-166~~~WP_032696109.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-166~~~WP_032696109.1~~~monobactam;cephalosporin;penam;penem~~~unknown MRQYRFALLPLLAALALPGWAHQATVTTVKQAESQLQGRVGYAELDLASGQLLAGYRSDERFPMMSTFKVLLCGAVLSRVDAGEEQLDRRIHYRQQDLVEYSPVTEKHLTDGLTVGELCAAAITLSDNTAANLLLTTLGGPQGLTSFLRHSGDQTSRLDRWETELNEARPGDVRDTTTPQAMARTLRNLLTGRVLSSASQQQLQRWMVEDKVAGPLLRSVLPAGWFIADKTGAGNRGSRGIIAALGPDGKAARIVVIYLTGPPATMDERNKQIAAIGATLVTHWSADENRP ->ARGMiner~~~ACT-6~~~WP_032644152.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-6~~~WP_032644152.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMTKTLCCALLLSTSCSALAGPLSEKQLAEVVEQTVTPLMKAQAIPGMAVAVIYEGQPHYFTFGKADVAKNKPVTPQTLFELGSISKTFTGVLGGDAVARGEISLGDPVTKYWPALTGKQWQGIRMLDLATYTAGGLPLQVPDDVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVQPSGMSYEQAITTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMVNMKPEALQDTSLGQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVDGSDNKVALAPLPAREVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKNLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~rmtB~~~WP_032634099.1~~~aminoglycoside unknown +>ARGMiner~~~rmtB~~~WP_032634099.1~~~aminoglycoside~~~unknown MNINDALTSILASKKYRALCPDTVRRILTEEWGRHKSPKQAVEAARTRLHGICGAYVTPESLKAAAAALSAGDVKKALSLHASTKERLAELDTLYDFIFSAETPRRVLDIACGLNPLALYERGIASVWGCDIHQGLGDVITPFAREKDWDFTFALQDVLCAPPAEAGDLALIFKLLPLLEREQAGSAMALLQSLNTPRMAVSFPTRSLGGRGKGMEANYAAWFEGGLPTEFEIEDKKTIGTELIYLIKKNG ->ARGMiner~~~ACT-25~~~WP_032608349.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-25~~~WP_032608349.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLGLSCSALAAPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGTLDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILKALQ ->ARGMiner~~~cepA beta-lactamase~~~WP_032579291.1~~~cephalosporin unknown +>ARGMiner~~~cepA beta-lactamase~~~WP_032579291.1~~~cephalosporin~~~unknown MQKRLIHLSIIFFLLCPALVVAQNSPLETQLKKAIEGKKAEIGIAVIIDGQDTITINNDIHYPMMSVFKFHQALALADYMHHQKQPLKTRLLIKKSDLKPDTYSPLRETYPQGGIEMSIADLLKYTLQQSDNNACDILFNYQGGPDAVNKYLHSLGIRECAVIHTENDMHENLEFCYQNWTTPLAAAKLLEIFRNENLFDKEYKNFIYQTMVECQTGQDRLIAPLLDKKVTMGHKTGTGDRNAKGQQIGCNDIGFILLPDGHAYSIAVFVKDSEADNRENSEIIAEISRIVYEYVKQQID ->ARGMiner~~~lnuB~~~WP_032495568.1~~~lincosamide unknown +>ARGMiner~~~lnuB~~~WP_032495568.1~~~lincosamide~~~unknown MLKQKELIANVKNLTESDERITACMMYGSFTKGEGDQYSDIEFYIFLKHSITSNFDSSNWLFDVAPYLMLYKNEYGTEVVIFDNLIRGEFHFLSEKDMNIIPSFKDSGYIPDTKAMLIYDETGQLENYLSEISGARPNRLTEENANFLLCNFSNLWLMGINVLKRGEYARSLELLSQLQKNTLQLIRMAEKNADNWLNMSKNLEKEISLENYKKFAKTTARLDKVELFEAYKNSLLLVMDLQSHLIEQYNLKVTHDILERLLNYISE ->ARGMiner~~~oqxB~~~WP_032495443.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_032495443.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPGGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAYQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTIFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~aadA8~~~WP_032495379.1~~~aminoglycoside unknown +>ARGMiner~~~aadA8~~~WP_032495379.1~~~aminoglycoside~~~unknown MREAVTAEISTQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVTVRLNEATRQALLNDLLEASAFPGESETLRAIEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGISEPAMIDVDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLKLWNSQPDWAGDERNVVLTLSRIWYTEVTGKIVPKDVAADWAMERLPAQHQPVLLEARQAYLGQKEDRLASRADQLEEFVHFVKGEITKVVGK ->ARGMiner~~~AAC(6')-Ip~~~WP_032495046.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ip~~~WP_032495046.1~~~aminoglycoside~~~unknown MIYNIINIADSEKNKEDAARILYSAFRGKGKDAWPTLDSAREEIAECIASPNICLGITLDDRLVGWGGLRPMYETTWELHPLVIDPDYQGNGLGRLLLSKIESTATTNRIIGIMLGTDDETLSTSLSMTDIDESNIFQEIKNIINIKNHPFEFYKKCGYIIVGIVPNANGYRKPDIWMWKNLEKKSG ->ARGMiner~~~CMY-2~~~WP_032494240.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-2~~~WP_032494240.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDCAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~aadA~~~WP_032492580.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_032492580.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPSDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~APH(3')-IIa~~~WP_032492579.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIa~~~WP_032492579.1~~~aminoglycoside~~~unknown MLRSRAVALDEVSELKNLLSPLLDECTFEEVEYGQSDARVIRVLFPDRNTAYLKYASGSSAQEILQEHQRTRWLRTRALVPEVISYVSTSTVTILLTKALIGHNAADAADADPVIVVAEMARALRDLHSISPDDCPFDERLHLRLKLASGRLEAGLVDEEDFDHARQGMLARDVYEQLFIQMPGAEQLVVTHGDACPENFIFQGNAFVGFIDCGRVGLADKYQDLALASRNIDAVFGPELTNQFFIEYGEPNPNIAKIEYYRILDEFF ->ARGMiner~~~vgaA~~~WP_032492560.1~~~streptogramin;pleuromutilin unknown +>ARGMiner~~~vgaA~~~WP_032492560.1~~~streptogramin;pleuromutilin~~~unknown MKIMLEGLHIKHYVQDRLLLNINRLNIYQNDRIGLIGKNGSGKTTLLHILYKKIVPEEGIVKQFSHCELIPQLKLIESTKSGGEVTRNYIRQALDKNPELLLADEPTTNLDNNYIEKLEQDLKNWHGAFIIVSHDRAFLDNLCTTIWEIDEGRITEYKGNYSNYVEQKELERHREELEYEKYEKEKKRLEKAINIKEQKAQRATKKPKNLSLSEGKITGAKPYFAGKQKKLRKTVKSLETRLEKLESVEKRNELPPLKMDLVNLESVKNRTIIRGEDVSGTIEGRVLWKAKSFSIRGGDKMAIIGSNGTGKTTFIKKIVHGNPGISLSPSVKIGYFSQKIDTLELDKSILENVQSSSQQNETLIRTILARMHFFRDDVYKPISVLSGGERVKVALTKVFLSEVNTLVLDEPTNFLDMEAIEAFESLLKEYNGSIIFVSHDRKFIEKVATRIMTIDNKEIKIFDGTYEQFKQAEKPTRNIKEDKKLLLETKITEVLSRLSIEPSEELEQEFQNLINEKRNLDK ->ARGMiner~~~dfrA12~~~WP_032492456.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA12~~~WP_032492456.1~~~diaminopyrimidine~~~unknown MNSESVRIYLVAAMGANRVIGNGPNIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRHTSVISRQANYRATGCVVVSTLSHAIALASELGNELYVAGGTEIYTLALPHAHGVFLSEVHQTFEGDAFFPMLNETEFELVSTETIQAVIPYTHSVYARRNG ->ARGMiner~~~ErmC~~~WP_032492416.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_032492416.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKKNIKDSQNFITSKRNVDKIMTNISLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVNHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKVVFESIADEIYLIVEYGFAKRLLNTKRSLALLLMAEVDISILSMVPREYFHPKPKVNSALIRLKRKKSRISYKDKQKYNYFVMKWVNKEYKKIFTKNQFNKSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~catB3~~~WP_032492194.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_032492194.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSEAMVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPILCSSNIVGLHKYWLEFAV ->ARGMiner~~~cmlA1~~~WP_032492104.1~~~phenicol unknown +>ARGMiner~~~cmlA1~~~WP_032492104.1~~~phenicol~~~unknown MRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTTSTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAIGPLLGALVDTWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPIKCLNFWLYTLCYTAGMGSFFVFFSIAPGLMMGRQGVSQLDFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWVSQSVLGFIAPMWLVGVGVATAVSVAPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIIWLLPRNTAWPVVVYCLTLATVVLGLSCVSRAKGFRGQREHDVVALQNAESTSNPNR ->ARGMiner~~~sul1~~~WP_032491966.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_032491966.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFLDESRRLDPSGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHASGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~aadA2~~~WP_032491964.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_032491964.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSAIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA~~~WP_032491959.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_032491959.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGKWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~OXA-10~~~WP_032491804.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-10~~~WP_032491804.1~~~cephalosporin;penam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPSAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~sul1~~~WP_032491570.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_032491570.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRVILDPGMGFFLRPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~sul1~~~WP_032490976.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_032490976.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGVVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~catII~~~WP_032490795.1~~~phenicol unknown +>ARGMiner~~~catII~~~WP_032490795.1~~~phenicol~~~unknown MNFTRIDLNTWNRREHFAFYRQQIKCGFSLTTKLDITALRTALAETGYKFYPLMIYLISRAVNQFPEFRMALKDNELIYWDQSDPVFTVFHKETETFSALSCRYFPDLSEFMAGYNAVTAEYQHDTRLFPQGNLPENHLNISSLPWVSFDGFNLNITGNDDYFAPVFTMAKFQQEGDRVLLPVSVQVHHAVCDGFHAARFINTLQLMCDNILK ->ARGMiner~~~sul1~~~WP_032490794.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_032490794.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHSNARPVSPADEIRRIGPLLDALSDQMHRVSINSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~EreA~~~WP_032490532.1~~~macrolide unknown +>ARGMiner~~~EreA~~~WP_032490532.1~~~macrolide~~~unknown MTWRTTRTLLQPQKLDFNEFEILTSVIEGARIVGIGEGAHFVAEFSLARASLIRYLVERHEFNAIGLECGAIQASRLSEWLNSTAGAHELERFSDTLTFSVYGSVLIWLKSYLRESGRKLQLVGIDLPNTLNPRDDLAQLAEIIQLIDHLMKPHVDMLTHLLASIDGQSAVISSAKWGELETARQEKAISGVTRLKLRLASLAPVLKKHVNSDLFRKASDRIESIEYTLETLRIMKTFFDGTSLEGDTSVRDSYMAGVVDGMVRANPDVKIILLAHNNHLQKTPVSFSGELTAVPMGQHLAERVNYRAIAFTHLGPTVPEMHFPSPKSPLGFSVVTTPADAIREDSMEQYVIDACGTENSCLTLTDAPMEAKRMRSQSASVETKLSEAFDAIVCVTSAGKDSLVAL ->ARGMiner~~~Streptococcus suis chloramphenicol acetyltransferase~~~WP_032490319.1~~~phenicol unknown +>ARGMiner~~~Streptococcus suis chloramphenicol acetyltransferase~~~WP_032490319.1~~~phenicol~~~unknown MNFNKIDLYNWKRKEIFNHYLNQQTTFSITTEIDISVLYRNIKQEGYKFYPAFISLVTRVINSNTAFRTGYHNDGELGYWDKLEPLYTIFDGDSRTSSGIGTPVKNDSKEFYELYLSDVEKYNGSGKLFPKTPIPENAFSLSIIPWTLFTGFNLNIHNNSNYLLPIITAGKFINIGNSIYLPLSLQEHHSVGDGYHAGLFMNSIQELSDRPNDWLL ->ARGMiner~~~APH(3'')-Ib~~~WP_032490182.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3'')-Ib~~~WP_032490182.1~~~aminoglycoside~~~unknown MNRTNIFFGESHSDWLPVRGGESGDFGFRRGDGHAFAKIAPASRRGELAGERDRLIWLKGRGVACPEVINWQEEQEGACLVITAIPGVPAADLSGADLLKAWPSMGQQLGAVHSLSVDQCPFERRLSRMFGRAVDVVSRNAVNPDFLPDEDKSTPQLDLLARVERELPVRLDQERTDMVVCHGDPCMPNFMVDPKTLQCTGLIDLGRLGTADRYADLALMIANAEENWAAPDEAERAFAVLFNVLGIEAPDRERLAFYLRLDPLTWG ->ARGMiner~~~tet(E)~~~WP_032490168.1~~~tetracycline unknown +>ARGMiner~~~tet(E)~~~WP_032490168.1~~~tetracycline~~~unknown MNRTVMMALVIIFLDAIGIGIIMPVLPALLREFVGKANVAENYGVLLALYAMMQVIFAPLLGRWSDRIGRRPVLLLSLLGATLDYALMATASVVWVLYLGRLIAGITGATVAVAASTIADVTPEESRTHWFGMMSACFGGGMIAGPVIGGFAGQLSVQAPFMFAAAINGLAFLVSLFILHETHNANQVSDEIKNETINETTSSIREMISPLSGLLVVFFIIQLIGQIPATLWVLFGEERFAWDGVMVGVSLAVFGLTHALFQGLAAGFIAKHLGEQRAIVVGILADGCGLLLLAVITQSWMVWPVVLLLACGGITLPALQGIISVRVGQVAQGQLQGLLTSLTHLTGVIGPLIFAFLYSATHESWNGWVWIVGCGLYVVALTILRFFYPGRAVHPKNQSNSQPFL ->ARGMiner~~~cmlA5~~~WP_032489896.1~~~phenicol unknown +>ARGMiner~~~cmlA5~~~WP_032489896.1~~~phenicol~~~unknown MRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLRLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWASQSVLGFIAPMWLVGIGVATAVSVSPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRVKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~AAC(6')-Ia~~~WP_032489895.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ia~~~WP_032489895.1~~~aminoglycoside~~~unknown MNYQIVNIAECSNYQLEAANILTEAFNDLGNNSWPDMTSATKEVKRCIESPNLCFGLLINNSLVGWIGLRPMYKETWELHPLVVRPDYQNKGIGKILLKELENRAREQGIIGIALGTDDEYYRTSLSLITITEDNIFDSIKNIKNINKHPYEFYQKNGYYILGIIPNANGKNKPDIWMWKSLIKE ->ARGMiner~~~vanXB~~~WP_032489747.1~~~glycopeptide unknown +>ARGMiner~~~vanXB~~~WP_032489747.1~~~glycopeptide~~~unknown MENGFLFLDEMLHCVRWDAKYATWDNFTGKPVDGYEVNRIIGTKAVAFALREAQIHAARLGYGLLLWDGYRPKSAVDCFLRWAAQPEDNLTKEKYYPNIERAELITKGYVASQSSHSRGSTIDLTLYHLDTGELVSMGSNFDFMDERSHHTAKGIGNAEAQNRRCLRKIMESSGFQSYRFEWWHYKLIDEPYPDTYFNFAVS ->ARGMiner~~~vanB~~~WP_032489746.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_032489746.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANINTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFELSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMVAAAGITLPALIDSLITLALKR ->ARGMiner~~~vanHB~~~WP_032489745.1~~~glycopeptide unknown +>ARGMiner~~~vanHB~~~WP_032489745.1~~~glycopeptide~~~unknown MRKSMGITVFGCEQDEANAFRALSPDFHIIPTLISDAISADNAKLAAGNQCVSEGHKSEVSEATILALRKVGVKYISTRSIGCDHIDTTAAERMGISVGTVAYSPDSVADYALMLMLMAIRGAKPTMHAVAQQDFRLDRIRGKELGDMTVRVIGTGHIGQAVVKRLRGFGCHVLAYDNSRKMDADYVQLDELLKNSGIVTLHVPLCADTRHLIGQKQIGEMKQGAFLINTGRGALVDTGALVEALESGKLGGAALDVLEGEDQFVYTDCSQKVLDHPFLSQLLRMPNVIITPHTAYYTERVLQDTTEKTIRNCLNFERSLQHE ->ARGMiner~~~vanWB~~~WP_032489744.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~WP_032489744.1~~~glycopeptide~~~unknown MDRKRLTQRFPFLLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIRPGETFSFWWLVRHADKDTPYKDGLTVANGKLTTMSGGGMCQMSNLLFWVFLHTPLTIIQRSGHVVKEFPEPNSDEIKGVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVFADKQPQALYKIANGSIQYVRESGGIYEYAKVERMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEANQ ->ARGMiner~~~vanRB~~~WP_032489743.1~~~glycopeptide unknown +>ARGMiner~~~vanRB~~~WP_032489743.1~~~glycopeptide~~~unknown MSIRILLVEDDDHICNTVRGFLAEAGYQVDACTDGNEAYTKFYENTYQLVILDIMLPGMNGHELLREFRAKNDTPILMMTALSDDENQIRAFDAEADDYVTKPFKMQILLKRVEALLRRSGALAKEIRVGRLTLLPEDFTVLCDGTELPLTRKEFEILLLLVQNKGRTLTHEIILSRIWGYDFEGDGSTVHTHIKNLRAKLPENIIKTIRGVGYRLEESL ->ARGMiner~~~vatE~~~WP_032489640.1~~~streptogramin unknown +>ARGMiner~~~vatE~~~WP_032489640.1~~~streptogramin~~~unknown MTIPDANAIYHNSAIKEVVFIKNVIKSPNIEIGDYTYYDDPVNPTDFEKHVTHHYEFLGDKLIIGKFCSLASGIEFIMNGANHVMKGISTYPFNILGGDWQQYTPELTDLPLKGDTVVGNDVWFGQNVTVLPGVKIGDGAIIGANSVVTKDVAPYTIVGGNPIQLIGPRFEPEVIQALENLAWWNKDIEWITANVPKLMQTTPTLELINSLMEK ->ARGMiner~~~CMY-2~~~WP_032489027.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-2~~~WP_032489027.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCSALLVTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~ErmC~~~WP_032489016.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_032489016.1~~~macrolide;lincosamide;streptogramin~~~unknown MNEKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIRYNISTDIIRKIVFDSIADEIYLIVEYGFAKRLLNTKRSLALFLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVNKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~ErmC~~~WP_032489011.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_032489011.1~~~macrolide;lincosamide;streptogramin~~~unknown MNEKNIKHSQKFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGDFTLELVQRCNFVTAIEIDHKLCKTTEKKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKIVFDSIADEIYLIVEYGFAKRLLNTKRSLALFLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVNKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~ErmC~~~WP_032489010.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_032489010.1~~~macrolide;lincosamide;streptogramin~~~unknown MNEKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGHFTLELVKRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKIVFDSIADEIYLIVEYGFAKRLLNTKRSLALFLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVNKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~ErmC~~~WP_032489009.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_032489009.1~~~macrolide;lincosamide;streptogramin~~~unknown MNEKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIRYNISTDIIRKIVFDSIADEIYLIVEYGFAKRLLNTKRSLALLLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVNKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~FosB3~~~WP_032488468.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_032488468.1~~~fosfomycin~~~unknown MIKGINHITYSVSNIAKSIEFYRDILGADILVEGETSAYFNLGGIWLALNEEKNIPRSEIKYSYTHIAFTISDNDFEDWYIWLKENEVNILEGRDRDIRDKKSIYFTDLDGHKLELHTGSLEDRLSYYKEAKPHMNFYI ->ARGMiner~~~EreB~~~WP_032488343.1~~~macrolide unknown +>ARGMiner~~~EreB~~~WP_032488343.1~~~macrolide~~~unknown MRFEEWVKDKHIPFKLNHPDDNYDDFKPLRKIIGDTRVVALGENSHFIKEFFLLRHTLLRFFIEDLGFTTFAFEFGFAEGQIINNWIHGQGTDDEIGRFLKHFYYPEELKTTFLWLREYNKAAKEKITFLGIDIPRNGGSYLPNMEIVHDFFRTADKEALHIIDDAFNIAKKIDYFSTSQAALNLHELTDSEKCRLTSQLARVKVRLEAMAPIHIEKYGIDKYETILHYANGMIYLDYNIQAMSGFISGGGMQGDMGAKDKYMADSVLWHLKNPQSEQKVIVVAHNAHIQKTPILYDGFLSCLPMGQRLKNAIGDDYMSLGITSYSGHTAALYPEVDTKYGFRVDNFQLQEPNEGSVEKAISGCGVTNSFVFFRNIPEDLQSIPNMIRFDSIYMKAELEKAFDGIFQIEKSSVSEVVYE ->ARGMiner~~~ANT(4')-Ib~~~WP_032488330.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(4')-Ib~~~WP_032488330.1~~~aminoglycoside~~~unknown MRIVNGPIIMTREERMKIVHEIKERILDKYGDDVKAIGVYGSLGRQTDGPYSDIEMMCVMSTEEAEFSHEWTTGEWKVEVNFDSEEILLDYASQVESDWPLTHGQFFSILPIYDSGGYLEKVYQTAKSVEAQKFHDAICALIVEELFEYAGKWRNIRVQGPTTFLPSLTVQVAMAGAMLIGLHHRICYTTSASVLTEAVKQSDLPSGYDHLCQFVMSGQLSDSEKLLESLENFWNGIQEWTERHGYIVDVSKRIPF ->ARGMiner~~~OXA-10~~~WP_032410229.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-10~~~WP_032410229.1~~~cephalosporin;penam~~~unknown MKTFAAYVIIACLSSKALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~DHA-1~~~WP_032097955.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~DHA-1~~~WP_032097955.1~~~cephalosporin;cephamycin~~~unknown MKKSLSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADVQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMALNDPAAKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~EreA~~~WP_032084014.1~~~macrolide unknown +>ARGMiner~~~EreA~~~WP_032084014.1~~~macrolide~~~unknown MTWRTTRTLLQPQNLDFNEFEILTSVIEGARIVGIGEGAHFVAEFSLARASLIRYLVERHDFNAIGLECGAIQASRLSEWLNSTAGAHELERFSDTLTFSVYGSVLIWLKSYLRESGRKLQLVGIDLPNTLNPRDDLAQLAEIIQLIDHLMKPHVDMLTHLLASIDGQSAVISSAKWGELETARQEKAISGVTRLKLRLASLAPVLKKHVNSDLFRKASDRIESIEYTLETLRIMKTFFDGTSLEGDTSVRDSYMAGVVDGMVRANPDVKIILLAHNNHLQKTPVSFSGELTAVPMGQHLAERVNYRAIAFTHLGPTVPEMHFPSPDSPLGFSVVTTPADAIREDSMEQYVIDACGTENSCLTLTDAPMEAKRMRSQSASVKTKLSEAFDAIVCVPSAGKDSLVAL ->ARGMiner~~~dfrA17~~~WP_032084013.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~WP_032084013.1~~~diaminopyrimidine~~~unknown MKISLISAVSENGVIGSGPDIPWSAKGEQLIFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISGSNENVLVFPSIENALQELSKITDHVYISGGGQIYESLIEKADIIHLSTIHVEVEGDIKFPILPEGFNLVFEQFFVSNINYTYQIWKKG ->ARGMiner~~~ADC-25~~~WP_032071188.1~~~cephalosporin unknown +>ARGMiner~~~ADC-25~~~WP_032071188.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPIDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNRGPLDAAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-2~~~WP_032068214.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_032068214.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGIIQNNKKYEMYYGLQSVQDKKALNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQCRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-3~~~WP_032037870.1~~~cephalosporin unknown +>ARGMiner~~~ADC-3~~~WP_032037870.1~~~cephalosporin~~~unknown MRFKKISCLLFSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIYANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLSAIKK ->ARGMiner~~~OXA-217~~~WP_032037742.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-217~~~WP_032037742.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDENAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTAVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~ADC-25~~~WP_032027415.1~~~cephalosporin unknown +>ARGMiner~~~ADC-25~~~WP_032027415.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNRGPLDAAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPKKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~OXA-208~~~WP_032025569.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-208~~~WP_032025569.1~~~cephalosporin;penam~~~unknown MNITALLLITSAIFISACSPYIVTANPNHSASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-421~~~WP_032007272.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_032007272.1~~~cephalosporin;penam~~~unknown MTKKALFFAIGTMFLSACSFNTVQQHQIQSISTNKNSEKIKSLFDQAQTEGVLVIKRGQTEEIYGNDLKRSSTEYVPASTFKMLNALIGLEHHKATPTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDDFWLVGPLKITPQQEAQFAYELAHKTLPFSKNVQEQVQSMLFIEEKNGRKIYAKSGWGWDVEPQVGWLTGWVVQPQGKIVAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OXA-421~~~WP_032003497.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_032003497.1~~~cephalosporin;penam~~~unknown MTKKALFFAIGTMFLSACSFNTVQQHQIQSISTNKNSEKIKSLFDQAQTEGVLVIKRGQTEEIYGNDLKRSSTEYVPASTFKMLNALIGLEHHKATPTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDDFWLVGPLKITPQQEAQFAYELAHKTLPFSKNVQEQVQSMLFIEEKNGRKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~ADC-56~~~WP_031980335.1~~~cephalosporin unknown +>ARGMiner~~~ADC-56~~~WP_031980335.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPEYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~WP_031965243.1~~~cephalosporin;penam unknown +>ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~WP_031965243.1~~~cephalosporin;penam~~~unknown MRFKKISCLLFSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVAKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPTPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-25~~~WP_031961878.1~~~cephalosporin unknown +>ARGMiner~~~ADC-25~~~WP_031961878.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFNATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNRGPLDAAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~TEM-135~~~WP_031942394.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-135~~~WP_031942394.1~~~monobactam;cephalosporin;penam;penem~~~unknown MKKIRLIIISLLAGMCTPALSTPVNVTDTIQSTEDHIKGRVGFTEIDFLSGKVLSSHRREERFPMMSTFKVLLCGAILVRVDKGLEQLERRITYNKHDLDDYSPLTSQHIADGMTVSELCNAAITTSDNTAANLLLSTIGGPEGLTHFLRSTGDSYTRLDRHEPSLNEAKPGDERDTTTPAAMAQTLQKLLNGSVLTEKSRKKLISWMQEDKVGGPLFRSVLPAGWMIADKTGAGDHGSRGIVALLGPGGKPSRIVVLYITNTHSSMNELNEHIAGIGDSVIKNW ->ARGMiner~~~CARB-18~~~WP_031847165.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_031847165.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDEATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~CARB-18~~~WP_031841337.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_031841337.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDESNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~PDC-3~~~WP_031692400.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_031692400.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLLATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERFMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_031690214.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_031690214.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTLETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_031687354.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_031687354.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLNRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-5~~~WP_031630420.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_031630420.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDHPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~CARB-18~~~WP_031413517.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_031413517.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNHGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~Agrobacterium fabrum chloramphenicol acetyltransferase~~~WP_031281281.1~~~phenicol unknown +>ARGMiner~~~Agrobacterium fabrum chloramphenicol acetyltransferase~~~WP_031281281.1~~~phenicol~~~unknown MTNFFESPFKGIPLDQQVTHPNIVVGRHSYYSGYYHGHGFDDCARYVLPDADADRLIIGAFCSIGSGAAFIMAGNQGHRHEWVSTFPFFYANESWQQAQAADGYLPAGDTVIGNDVWIGSEAIIMPGVRVGDGAIIGTRALVTRDVEPYAIVGGNPARVLRKRFDEDRIALLLEMRWWDWPDAAIEATMPLMTSGDIEGLHAAWQRQTRR ->ARGMiner~~~aadA14~~~WP_031192893.1~~~aminoglycoside unknown +>ARGMiner~~~aadA14~~~WP_031192893.1~~~aminoglycoside~~~unknown MTTKLPESIADQLSDTLSILENHLGETIQAVHLFGSAVDGGLKPFSDIDLLITVSTPLGESTRVALMSDLLLVSAFPGTDAKRRALEVTILAQKDVVPWRYPARRQMQFGEWLRHDINAGIFEPAMTDHDLAILLTKVRLHSLALYGPAAQEFFDEIPAIDVQRSLLETLALWTTEADWEGDERNIVLALVRIWYTAMTGDIASKDAAADWALQRLPSEHKHIVIAARDGYLGLGTVDLAAYPQERAELLNYIRSSVTTKLQ ->ARGMiner~~~AAC(3)-VIIIa~~~WP_031136588.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-VIIIa~~~WP_031136588.1~~~aminoglycoside~~~unknown MDEKELIERAGGPVTRGRLVRDLEALGVGAGDTVMVHTRMSAIGYVVGGPQTVIDALRDAVGADGTLMAYCGWNDAPPYDLAEWPPAWREAARAEWPAYDPLLSEADRGNGRVPEALRHQPGAVRSRHPDASFVAVGPAAHPLMDDHPWDDPHGPDSPLARLAGAGGRVLLLGAPLDTLTLLHHAEALAEAPGKRFVAYEQPVTVGGRRVWRRFRDVDTETEAFPYGRVVPEGVWPFTVIAQDMLAAGIGRTGRVAAAPCHLFEAADVVRFGVEWIESRMGGAAGGA ->ARGMiner~~~APH(3')-Va~~~WP_031129630.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Va~~~WP_031129630.1~~~aminoglycoside~~~unknown MDDSTLRRKYPHHEWHAVNEGDSGAFVYQLTGGPEPQPELYAKIAPRAPENSAFDLSGEADRLEWLHRHGIPVPRVVERGADDTAAWLVTEAVPGVAAAEEWPEHQRFAVVEAMAELARALHELPVEDCPFDRRLDAAVAEARRNVAEGLVDLDDLQEERAGWTGDQLLAELDRTRPEKEDLVVCHGDLCPNNVLLDPGTCRVTGVIDVGRLGVADRHADIALAARELEIDEDPWFGPAYAERFLERYGAHRVDKEKLAFYQLLDEFF ->ARGMiner~~~AAC(6')-Isa~~~WP_031084431.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_031084431.1~~~aminoglycoside~~~unknown MRLHGNQVVLRAVTGSDIGTLDRIVREPEVAAWWSPPEDYRGMLAVVFEGEVVGAVQFHEETDPEFRHAGIDIFLTARQHGKGLGTDTVRTLARWLVEERGHHRLTIDPAAANTAAIRCYAGVGFKPVGIMRAYARDHRTGTWQDGLLMDLLAAELT ->ARGMiner~~~BLA1~~~WP_030892446.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_030892446.1~~~penam~~~unknown MRIRPTRRLLLGAVAPLALVPLVACGQASGSESAGSSPASAVRTSAHGSADAHEKEFRALEKKFDATLGVYAIDTADGQEITHRADERFAYGSTFKALQAGAILRKFSETGAKSGAGAEADGMDKVVHYGQDAILPNSPVTEKHVADGMSLRELCDAVVRYSDNTAANLLFDQLGGPKGLNAVLKQLGDHTTSMDRYEQELGSAVPGDPRDTSTPRAFAEDLRAFAVEDGKKAALAPNDREQLNDWMSGNATGDALIRAGVPKDWKVADKSGQVKYGTRNDIAVVRPPGRAPIVVSVMSHGDTEDAEPHDELVAEAASVVADGLK ->ARGMiner~~~BLA1~~~WP_030877515.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_030877515.1~~~penam~~~unknown MRIRPTRRLLLGAVAPLALVPLVACGQASGSESAGSSPASAVRTSAHGSADAHEKEFRALEKKFDATLGVYAIDTADGQEITHRADERFAYGSTFKALQAGAILRKFSETGAKSGGGAEADGMDKVVHYGQDAILPNSPVTEKHVADGMSLRELCDAVVRYSDNTAANLLFDQLGGPKGLNAVLKQLGDHTTSMDRYEQELGSAVPGDPRDTSTPRAFAEDLRAFAVEDGEKAALAPNDREQLNDWMSGNATGDALIRAGVPKDWKVADKSGQVKYGTRNDIAVVRPPGRAPIVVSVMSHGDTQDAEPHDELVAEAASVVADGLK ->ARGMiner~~~AAC(6')-Isa~~~WP_030695745.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_030695745.1~~~aminoglycoside~~~unknown MSDLEQRGERVLLRSTVPADTPVLDAIVRESEVARWWPPPEAYAAMLAVVVEGEVIGAIQFSEESDPEFRHAGIDLFLSARWHGQGLGPDAVRTLARWLIAERGHHRLVIDPAAANTHAIRSYRKVGFAPVGIMRAYWRNHRTGAWEDGLLMDLLAAELT ->ARGMiner~~~AAC(6')-Isa~~~WP_030403494.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_030403494.1~~~aminoglycoside~~~unknown MRLHGNQVVLRAVTGSDIGTLDRIVREPEVAAWWSPPEDYGGMLAVVFEGEVVGAVQFHEETDPEFRHAGIDIFLTARQHGKGLGTDTVRTLARWLVEERGHHRLTIDPAAANTAAIRCYAGVGFKPVGIMRAYARDHRTGTWQDGLLMDLLAAELT ->ARGMiner~~~oqxB~~~WP_029884393.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_029884393.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGTIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTELFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~CARB-18~~~WP_029839920.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_029839920.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKDWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~CARB-21~~~WP_029837067.1~~~penam unknown +>ARGMiner~~~CARB-21~~~WP_029837067.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQMDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATARIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSVGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILERYKES ->ARGMiner~~~CARB-18~~~WP_029834158.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_029834158.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISIIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~CARB-18~~~WP_029815111.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_029815111.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPGLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~CARB-18~~~WP_029795186.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_029795186.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLRSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~PDC-5~~~WP_029610673.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_029610673.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDSISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~AAC(6')-Isa~~~WP_029391459.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_029391459.1~~~aminoglycoside~~~unknown MCCMELHGEKVVLRPVEDSETETLDRIVREPEVAAWWSPPNGYENTFAVFLDDEIIGAVQFTEESDPEYRHAGIDVFLTARRTGAGLGTDTVRTLARWLLDDRGHHRLTIDPAAENAPAIASYRKVGFQPVGVLRRYWRDHQTGEWRDGLLMDLLADELT ->ARGMiner~~~vanXD~~~WP_028530136.1~~~glycopeptide unknown +>ARGMiner~~~vanXD~~~WP_028530136.1~~~glycopeptide~~~unknown MKKNFAFLDEMIPGIRWDAKYATWDNFTGKPVDGYMVNRVMGTKELGVALRKAQKMAEKLGYGLLLWDGYRPQCAVDCFLNWASQPEDNLTKKRYYPNIKRNEMVAKGYVASQSSHSRGSTVDLTIFHLNSGMLVPMGGDFDFMDERSHHAASGLSEEESKNRQCLRYIMESSGFEAYRYEWWHYVLADEPYPDTYFDFCIA ->ARGMiner~~~vanD~~~WP_028530135.1~~~glycopeptide unknown +>ARGMiner~~~vanD~~~WP_028530135.1~~~glycopeptide~~~unknown MFKIKVAVLFGGCSEEHNVSIKSAMEIAANIDTKKYQPYYIGITKSGVWKMCEKPCLEWEQYAGDPVVFSPDRSTHGLLIQKDKGYEIQPVDVVLPMIHGKFGEDGSIQGLLELSGIPYVGCDIQSSVTCMDKALAYTVVKNAGIAVPGFRILQEGDRLETEDFVYPVFVKPARSGSSFGVNKVCKAEELQAAIEEARKYDSKILIEEAVTGSEVGCAILGNGNDLMAGEVDQIELRHGFFKIHQEAQPEKGSENAVIRVPAALPDEVRERIQKTAMKIYRILGCRGLARIDLFLREDGCIVLNEVNTMPGFTSYSRYPRMMTAAGFTLTEILDRLIELSLRR ->ARGMiner~~~vanHD~~~WP_028530134.1~~~glycopeptide unknown +>ARGMiner~~~vanHD~~~WP_028530134.1~~~glycopeptide~~~unknown MEKIIDITVFGCEPDEMEVFQKISYELGVTATLIKDSISESNAGLANGCRCVSVSHKAELSEPILLALKNAGVKYISTRSIGFNHIDIQAAGLLGMVVGTVEYSPGSVADYTVMLMLMLMRGTKSILRETQRQNYCLNDLRGKELRDMTVGVLGTGRIGQAVMERLEGFGCKVLAYDRNQKAGADYVSFHELLKKSDIVTLHIPLAEDTRHMIGYEELEMMKQEALLINTGRGALVDTAALVEALKGQKIGGAALDVLEGEEGIFYHDCTQRRIEHPFLSVLQGMPNVIVTPHTAYHTERVLVDTVRNTIRNCLNFERSLGNV ->ARGMiner~~~vanSD~~~WP_028530133.1~~~glycopeptide unknown +>ARGMiner~~~vanSD~~~WP_028530133.1~~~glycopeptide~~~unknown MKNRNKTSHEDDYLLFKNRLSVKILLMMVYSILIIAGVYLFILKDNFANVVVAILDSFIYHDRDEAVAVYLRTFKAYEIWLFLIAVMGVFFMIFRRYLDSISKYFKEINRGIDTLVNEDANDIGLPPELASTERKINSIRHTLTKRKTDAELAEQRKNDLVMYLVHDLKTPLSSVIGYLNLLRDENQISEELREKYLSISLDKAERLEELINEFFEITRFNLSNITLVYSKINLTMMLEQLGHEFKPMLAGKNLICEFDVQPDMMLSCDANKLQRVFDNVLRNAVSYCYENTTIRVKARQTEDHVLIQIINEGDTIPGERLERIFEQFYRLDVSRSSSTGGAGLGLAIAKEIVELHHGQITARSENGITSFEVALPVVGKS ->ARGMiner~~~AAC(6')-32~~~WP_027814019.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-32~~~WP_027814019.1~~~aminoglycoside~~~unknown MPTKAIAVTLRAMTEDDLPMLYDWLNRPHIVEWWGGEGQRPTLSDVVEHYRPRVLAEERVTPYIAMLGNEPIGYAQSYIALGSGEGWWQDETDPGVRGIDQSLANPLQLNKGLGTELVRSLVELLFLDPEVTKIQTDPAPTNHRAIRCYEKAGFVVQNTITTPDGPAVYMVQTRHDFERARCAA ->ARGMiner~~~LRA-1~~~WP_027789588.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~WP_027789588.1~~~cephalosporin;penam~~~unknown MTYSSKRRTLLLAAATAPLVLTVTACASRQAAAPDEASAAAAAAAAAVAPAAAGQMLAELESSVGGRLGVCAIDTASGRIIEHRADERFPFCSTFKAMLSAAVLAQSVGRPALLQQRVTYTRADLVNYSPVSEKHVGEGMTVAALCEAAIQYSDNSAANLLMKLLGGPSAVTAYARSIGDDTFRLDRWETELNTALPGDLRDTTTPAAMAASMRVLMVGDALPAAQRAQLVAWMRGNKVGDKRLRAGVPAGWTVGDKTGTGDYGTTNDAGVVWSPSRVPIAVAVYYTQTRADARSKDDVIASVARIVVQAFG ->ARGMiner~~~TLA-1~~~WP_027373439.1~~~fluoroquinolone;monobactam;cephalosporin unknown +>ARGMiner~~~TLA-1~~~WP_027373439.1~~~fluoroquinolone;monobactam;cephalosporin~~~unknown MKKITFLILMVSAFATAQKSVLDEKISAVIKDKKATVGVSVLGFENAFKYSKNGDEKLPLLSVFKFHLACAVLDMADKGKFSTDQKFLIKKSDLLENTWSPLREKFPEGNIELSLGEIITYTVAQSDNNTCDFLLRLIGGPQVVQHFMDSKGAKDLQIKYNEDDMHRDWKNQYGNESSTNATVSLLKKFYDGKLLTKKSTDFLMQIMLGTTTGTNKIVEQLPKGTPVAHKTGSSGKPDNILTVAENDMGIITLPNGKHYAIAVFVSNSTETEKVNTRMVSDISKIVWDNFNK ->ARGMiner~~~AAC(6')-Isa~~~WP_026328431.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_026328431.1~~~aminoglycoside~~~unknown MCCMELHGEKVVLRPVEDSETETLDRIVREPEVAAWWSPPNDYRNTFAVFLDDEIIGAVQFTEESDPEYRHAGIDVFLTARRTGAGLGTDTVRTLARWLLDDRGHHRLTIDPAAENAPAIASYRKVGFQPVGVLRRYWRDHQTGEWRDGLLMDLLADELA ->ARGMiner~~~TMB-2~~~WP_026025287.1~~~carbapenem;cephalosporin;cephamycin unknown +>ARGMiner~~~TMB-2~~~WP_026025287.1~~~carbapenem;cephalosporin;cephamycin~~~unknown MFKLTLALLSSVIGFNAAAEPVVKPLQFEEITNNVFLVKSYREFKNLQSPKKPILVDANSLVYVDGKDAYLIDTPWNASNMPQLMTWIENRGLTLKKTVFSHFHEDQTGGLEYLQEHHFETYASALTNKFLKGDNKKATNHQFSGDNFVLLENKIEVFYPGGGHTKDNAVVWLPKEKVLLGGCLMRANEVNTIGWTGDADMKAWAGSAQKVLTKYPQANFVVPGHGNVGEGTSIISHTVDITGKI ->ARGMiner~~~CARB-20~~~WP_025816575.1~~~penam unknown +>ARGMiner~~~CARB-20~~~WP_025816575.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATARINERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~CARB-18~~~WP_025794721.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_025794721.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDNATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~CARB-18~~~WP_025788060.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_025788060.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRVEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~CARB-17~~~WP_025623492.1~~~penam unknown +>ARGMiner~~~CARB-17~~~WP_025623492.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLTGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~CARB-18~~~WP_025577435.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_025577435.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQNTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKTTRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~CARB-18~~~WP_025551322.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_025551322.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSLGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~CARB-18~~~WP_025546314.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_025546314.1~~~penam~~~unknown MKKLFLLVGLMVYSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~CARB-18~~~WP_025535332.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_025535332.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMESGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~CARB-18~~~WP_025525762.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_025525762.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHSLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~CARB-18~~~WP_025521699.1~~~penam unknown +>ARGMiner~~~CARB-18~~~WP_025521699.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQTDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNTAANLVLNKIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~cmlA4~~~WP_025368619.1~~~phenicol unknown +>ARGMiner~~~cmlA4~~~WP_025368619.1~~~phenicol~~~unknown MRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTVQLTLATYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLAFTSLAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVTGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLIMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWASQSVLGFIAPMWLVGIGVATAVSVAPNGALQGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRAKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~PDC-3~~~WP_025325152.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_025325152.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVVLANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~AAC(6')-Ic~~~WP_025304332.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_025304332.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRSALWPTCPLEEHRAEMREILASPHHTAFMARGLDGAFVGFAEIALRYDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~OXA-61~~~WP_025006557.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_025006557.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPTSTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~AAC(6')-Iz~~~WP_024956926.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~WP_024956926.1~~~aminoglycoside~~~unknown MIDSAPTIRQATPADAAAWAQLRLGLWTDADDPLEELTQSLADAEGAVFLACAADGEAVGFAEVRLRHDYVNGTESSPVGFLEGWYVQPQWQGRGVGRALLTAVQAWTRDAGCRELASDSRVEDVQAHAAHRACGFEETERVVYFRMPLEPSA ->ARGMiner~~~PDC-10~~~WP_024915536.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_024915536.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFTATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERIMEQRLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRQWAQALDATHRGYYKVGDMTQGLGWEAYDWPIALKRLQAGNSTPMALQPHRVARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLAR ->ARGMiner~~~AAC(6')-Ii~~~WP_024635824.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_024635824.1~~~aminoglycoside~~~unknown MIISEFDRNNPVLKDQLSDLLRLTWPKEYGDSSAEEVEEMMNPERIAVAAVDQDELVGFIGAIPQYGITGWELHPLVVESSRRKNQIGTRLVNYLEKEVASRGGITIYLGTDDLDHGTTLSQTDLYEHTFDKVASIQNLREHPYEFYEKLGYKIVGVLPNASGWDKPDIWMAKTIIPRPDSQ ->ARGMiner~~~KPC-16~~~WP_024531321.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-16~~~WP_024531321.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSRTGRLSVFFSAIFPLLTLTNMAEAASQPPQVTVDKLKRLENDFGGRIGVYAIDTGSNKTFGYRANERFPLCSSFKGFLAAAVLSKSQQQEGLLNQRIRYDNRVMEPHSPVTEKQITTGMTVAELSAATLQYSDNGAANLLLEKLIGGPEGMTSFMRSIGDNVFRLDRWELELNSAIPGDDRDTSTPKAVAESMQKLAFGNVLGLTERHQLMDWFKGNTTGGARIRASVPANWVVGDKTGTCGVYGTANDYAVIWPVGHAPIVLAVYTSKPDKNSKHSDAVIADASRIVLESFNIDALRMATGKSIGF ->ARGMiner~~~AAC(6')-Ip~~~WP_024437351.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ip~~~WP_024437351.1~~~aminoglycoside~~~unknown MSYNIINIADSEKNKEDAARILYSAFRGKGKDAWPTLDSAREEIAECIASPNICLGITLDDRLVGWGGLRPMYETTWELHPLVIDPDYQGNGLGRLLLSKIESTATTNRIIGIMLGTDDETLSTSLSMTDIDESNIFQEINNIINIKNHPFEFYKKCGYIIVGIVPNANGYRKPDIWMWKNLEKKSG ->ARGMiner~~~AAC(6')-IIa~~~WP_024437054.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIa~~~WP_024437054.1~~~aminoglycoside~~~unknown MPSHDHPVTLRLMTEQDLPMLHEWLNRPHIVEWWGGEEQRPTLADVLEHYRPRILAHESVTPYIAMLGEEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANPTQLNMGLGTELVRALVDRLFSDPTVTKIQTDPAPNNRRAIRCYEKAGFVQERVITTPDGQAVYMTQSRQAYERARGAA ->ARGMiner~~~ADC-3~~~WP_024436624.1~~~cephalosporin unknown +>ARGMiner~~~ADC-3~~~WP_024436624.1~~~cephalosporin~~~unknown MRFKKISCLLLPPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQALEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIYANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLSAIKK ->ARGMiner~~~OXA-114a~~~WP_024069684.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-114a~~~WP_024069684.1~~~cephalosporin;penam~~~unknown MTVRRLSCALGAALSLSALGGGPVQAAVLCTVVADAADGRILFQQGTQQACAERYTPASTFKLAIALMGADAGILQGPHEPVWNYQPAYPDWGGDAWRQPTDPARWIKYSVVWYSQLTAKALGQDRFQRYTSAFGYGNADVSGEPGKHNGTDGAWIISSLRISPLEQLAFLRKLVNRQLPVKAAAYELAENLFEVGQADGWRLYGKTGTGSPGSNGVYTAANAYGWFVGWARKDGRQLVYARLLQDERATRPNAGLRARDELVRDWPAMAGAWRP ->ARGMiner~~~tetM~~~WP_024052575.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_024052575.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~PDC-8~~~WP_023913271.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_023913271.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-2~~~WP_023874922.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-2~~~WP_023874922.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFSCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTTTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~AAC(6')-Ib-SK~~~WP_023529211.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib-SK~~~WP_023529211.1~~~aminoglycoside~~~unknown MDRNTELHGDRVALRTVVDADTDTLHRIVREPEVAAWWSTPEDFDGMLVIVLDSEVVGAVQYEEEDDPDYRHASIDLFLSARHHGRGIGAETVRTLARWLIEVRGHHRLTIDPAAANTTAIRSYTRVGFKPVGVLRAYERDPLTGTWRDGLLMDLLAGELT ->ARGMiner~~~AAC(6')-Iid~~~WP_023519215.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iid~~~WP_023519215.1~~~aminoglycoside~~~unknown MIISEFDRRNIGLKDQLADLLRLTWPKDYGEQPMKEVEQLLAMDRIAVSAVEQDRLVGFIGAIPQYGMTGWEIHPLVVETSYRKQYIGSRLVAYVEKEIASKGGVMVYLGTDDTDGDTSLSHTDLFDHPLDKLKSIETFNKHPYTFYEKMGYQVVGAIPDANGLNQPDIILAKRLGEINQ ->ARGMiner~~~rmtF~~~WP_023434793.1~~~aminoglycoside unknown +>ARGMiner~~~rmtF~~~WP_023434793.1~~~aminoglycoside~~~unknown MDERAQAALDALLSAKNLRDVCPETVRRVFMELLPRYRKPKDAEKAARTHLHQITGAFMTADAQEKARALLARWNEGDESALAAALSLHASTRERLPGADEWMRRVSPFLGADARVLDLACGLNPILLGSMGVTNALGMDIHLGCVRLVNETARARGWHTRARACDLLSEIPAEEADAALLMKLLPVLEAQKTGRAAELLASLRAPRLVVTFPTRTLGGRGVGMEKHYADWFERILPDTLSVRDRFTVSDELVYLVERT ->ARGMiner~~~AAC(6')-Isa~~~WP_023417224.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_023417224.1~~~aminoglycoside~~~unknown MSDLELRGERVLLRSTTPADTALLDRIVREPEVALWWPPPQGYARMLAVVVDGEVIGAIQFSEETDPEFRHAGIDLFLSARWQGQGLGPDAVRTLARWLIAERGHHRLVIDPAAANAHAIRSYRKVGFAPVGIMRAYWRNHRTGEWEDGLLMDLLAGELT ->ARGMiner~~~oqxB~~~WP_023342632.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_023342632.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPGGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFAVYLLLLCAAGVMFKAVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTELFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_023323140.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_023323140.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFVVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPFRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRILSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~OKP-B-7~~~WP_023318187.1~~~cephalosporin;penam unknown +>ARGMiner~~~OKP-B-7~~~WP_023318187.1~~~cephalosporin;penam~~~unknown MRYVRLYLISLIAALPLAVFASPQPLEQIKISESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKIVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OKP-A-4~~~WP_023289605.1~~~cephalosporin;penam unknown +>ARGMiner~~~OKP-A-4~~~WP_023289605.1~~~cephalosporin;penam~~~unknown MRYVRLCLFSLIAALPLAVFASPPPLEQVTRSESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIRYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMAATLRKLLTSHALSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPNGKAERIVVIYLRDTPATMAERNQQIARIGAALIEHWQR ->ARGMiner~~~HERA-1~~~WP_023281079.1~~~penam unknown +>ARGMiner~~~HERA-1~~~WP_023281079.1~~~penam~~~unknown MKKITPLFAIAFLTLIALLAPAQASVTPDMTDFLRQQEQRLHARIGMAVVNAQGETVFGYRQDERFPLTSTFKTLACAALLERLQKNGGSLDEQVTIPPDALLDYAPVTKNYLAPATISLRMLCAAAVSYSDNTAGNRILTYLGGPDAVTQFMRGIGDHVTRLDRTEPTLNEATPGDARDTSSPQKMAAGLQKILTAPPLTPANRAVLAQWMRDDKVGDALLRAALPKGWAIADKTGAGGYGSRAIIAAVYPPERPPFYVAIFITQTEASMKMANETIAEIGKQLFAGQP ->ARGMiner~~~PDC-10~~~WP_023115067.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_023115067.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-5~~~WP_023114693.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_023114693.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRLWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_023109643.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_023109643.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFSCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRMQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-7~~~WP_023092413.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_023092413.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFSCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_023091031.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_023091031.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_023089477.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_023089477.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-3~~~WP_023085632.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_023085632.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFSCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~vanHD~~~WP_023043160.1~~~glycopeptide unknown +>ARGMiner~~~vanHD~~~WP_023043160.1~~~glycopeptide~~~unknown MQEKIDITVFGCERDEAAVFRKLSSEYGVTVSLIEDVVSEHNAKLADGCQCVSVSHKAELSEQLLLALKHAGVKYISTRSIGFNHIDIQAAGQLGMAVGTVAYSPGSVADYTVMLMLMLLRGTKSVLRGTQKQNYCLNDCRGKELQDLTVGVLGTGRIGQAVMERLEGFGCKVLAYDRTHKAGANYVSFCELLKSSDIVTLHVPLAEDTRHMIGREQLEMMKREALLINTARGALVDTAALVAALKEQKIGGAALDVLEGEEGIFYHECTQKTIGHPYLSVLQKMPNVIVTPHTAYHTDRVLVDTVSNTIRNCLNFERSLGNV ->ARGMiner~~~vanXD~~~WP_023042861.1~~~glycopeptide unknown +>ARGMiner~~~vanXD~~~WP_023042861.1~~~glycopeptide~~~unknown MKKNFAFLDEMIPGIRWDAKYATWDNFTGKPVDGYMVNRVMGTKELGVALRKAQKMAEKLGYGLLLWDGYRPQCAVNCFLNWASQPEDNLTKKRYYPNIKRNEMVAKGYVASQSSHSRGSTVDLTIFHLNSGMLVPMGGDFDFMDERSHHAASGLSEEESKNRQCLRYIMESSGFEAYRYEWWHYVLADEPYPDTYFDFCIA ->ARGMiner~~~vanRD~~~WP_023042613.1~~~glycopeptide unknown +>ARGMiner~~~vanRD~~~WP_023042613.1~~~glycopeptide~~~unknown MNEKILVVDDEKELADLVEVYLKNDGYTVYKFYNGKDALKCIESVELDLAILDIMLPDVDGFQICQKIREKFYFPVIMLTAKVEDGDKIMGLSVADDYITKPFNPLEVVARVKAQLRQYMRYKQPSLKQEAECTEYDIRGMTISKSSHKCILFGKEIQLTPTEFSILWYLCERQGTVVSTEELFEAVWGERFFDSNNTVMAHIGRLREKMKEPSRNPKFIKTVWGVGYTIEK ->ARGMiner~~~vanD~~~WP_023042300.1~~~glycopeptide unknown +>ARGMiner~~~vanD~~~WP_023042300.1~~~glycopeptide~~~unknown MYKLKIAVLFGGCSEEHDVSVKSAMEVAANINKEKYQPFYIGITKSGAWKLCDKPCRDWENYAGYPAVISPDRRTHGLLIQKDGGYESQPVDVVLPMIHGKFGEDGTIQGLLELSGIPYVGCDIQSSVTCMDKSLAYMVVKNAGIEVPGFRVLQKGDSLKAETLSYPVFVKPARSGSSFGVNKVCRAEELQAAVTEAGKYDCKILVEEAVSGSEVGCAILGNENALMAGEVDQIELRHGFFKIHQEAQPEKGSENAVIRVPAVLPDEVRERIQKTAMKIYRILGCRGLARIDLFLREDGCIVLNEVNTMPGFTSYSRYPRMMTAAGFTLTEILDRLIELSLRR ->ARGMiner~~~vanHD~~~WP_023042299.1~~~glycopeptide unknown +>ARGMiner~~~vanHD~~~WP_023042299.1~~~glycopeptide~~~unknown MNKKMDITVFGCESDEMEVFQKISYELGVTATLIKDSISESNAGLADGCCCVSVSHKAELSEPILLALKNAGVKYISTRSIGFNHIDIQAAGLLGMVVGTVEYSPGSVADYTVMLMLMLMRGTKSILRETQRQNYCLNDLRGKELRDMTVGVLGTGRIGQAVMERLKGFGCKVLAYDRNQKAGTDYVSFHELLKKSDIVTLHIPLAEDTRHMIGCEELEMMKQEALLINTGRGALVDTAALVEALKGQKIGGAALDVLEGEEGIFYHDCTQRTIEHPFLSVLQGMPNVIVTPHTAYHTDRVLVDTVSNTIRNCLNFERSLGNV ->ARGMiner~~~vanSD~~~WP_023042297.1~~~glycopeptide unknown +>ARGMiner~~~vanSD~~~WP_023042297.1~~~glycopeptide~~~unknown MKNRDKTSHEDDYLLFKNRLSLKILLIMACSILIIAAVYLFVLKDNFANVVVAILDSFIYHDRDEAVAVYLRTFKAYEIWLFLIAVMGVFFMIFRRYLDSISKYFKEINRGIDTLVHEDTNDIALPPELASTERKINSIRHTLTKRKTDAELAEQRKNDLVMYLAHDLKTPLSSVIGYLNLLRDENQISEELREKYLSISLDKAERLEELINEFFEITRFNLSNITLVYSKINLTMMLEQLGHEFKPMLAGKNLKCEFDVQPDMMLSCDANKLQRVFDNVLRNAVSYCYENTTIQVNARQAEDYVLIQVINEGDTIPGERLERIFEQFYRLDVSQSSSTGGAGLGLAIAREIVELHHGQITARSENGITSFEVTLPTVGKS ->ARGMiner~~~AAC(6')-Ib'~~~WP_022631162.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib'~~~WP_022631162.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFEKQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~PDC-7~~~WP_022580963.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_022580963.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFSCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDRAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~lnuD~~~WP_022071788.1~~~lincosamide unknown +>ARGMiner~~~lnuD~~~WP_022071788.1~~~lincosamide~~~unknown MIGINDACEILSWAYNNNIEIWLDGGWGVDALLGKETRQHNDIDLFVEEKNYNKFIEIIKNKGFNEIVVEYTSEVHTIWSDNKLRIIDLHMFKDNCDGTICYEGEVFQKNIFDGVGKIGNIMVSCINAKNQVLFHLGYEFGESDIHDVKLLCKEFNIPIPKEYENF ->ARGMiner~~~dfrA14~~~WP_021529707.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA14~~~WP_021529707.1~~~diaminopyrimidine~~~unknown MKVSLIAAKAKNGVIGCGPDIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGALPNRKYAVVTRSGWTSNDDNVVVFQSIEEAMDRLAEFTGHVIVSGGGEIYRETLPMASTLHLSTIDIEPEGDVFFPSIPNTFEVVFEQHFTSNINYCYQIWKKG ->ARGMiner~~~AAC(6')-Ic~~~WP_021505085.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_021505085.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRAALWPTCPLEEHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRHDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_021459345.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_021459345.1~~~penam~~~unknown MKKFFTIFVLLCVCFAYTTATASAQGLTKLEHKNDATVGVYGINTATGQTYSHNADTRFAYASTFKAITSGLLLQQNSPEALNKTVTIKESDIVAYSPVTEQYVGKTMTLRQLISAAMLQSDNTASNIIMEQLGGLDQISSRLQALGDTTTNPQRYEPELNNYDPQSTADTSTPRATAHSLQQLLTTDAVAPQQRKFLQNLMFNNETGDSLIKKGVPDSYKVGDKSGQGTTYGTRNDVALIYPKHQTKPIVLVVFTKHQQQDAQPQDELVAQAARHAIHQLD ->ARGMiner~~~oqxB~~~WP_021312368.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_021312368.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTELFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPFRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~catB8~~~WP_021018581.1~~~phenicol unknown +>ARGMiner~~~catB8~~~WP_021018581.1~~~phenicol~~~unknown MKNYFNSPFKGELLSEQVKNPNIRVGRYSYYSGYYHGHSFDECARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRHDWASSFPFFYMQEEPAFSRALDAFQRAGDTVIGNDVWIGSEAMIMPGIKIGDGAVIGSRSLVTKDVEPYSIIGGNPAKQIKKRFSDEEISLFMEMEWWNWPLDKIKTAMPLLCSSNIFGLHKYWREFAV ->ARGMiner~~~EXO beta-lactamase~~~WP_020924773.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_020924773.1~~~penam~~~unknown MLTRRTFIASAVLAGWIPALAHARTDKKTRWTRESLAAFQQGLAQVEAASRGRLGVALLDVGSGQAAGYRADERFLMLSSFKTLSAAYVLARADRGEDQLSRRIPITDADVREYSPVTRLHVGPRGMTLAELCEATITTSDNAAVNLMHKSYGGPQALTRYLRSLGDTVTRHDRYEPELNRPHPSEPQDTTTPQAMARTLDTLLFGDALKPQSRQQLQSWLLANTTGGKRLRAGMPADWKIGEKTGTYSKVGCNDAGFAQPPGAAPIIIAAYLETTAVPMEERDRCIAEVGRLVAALG ->ARGMiner~~~OXA-198~~~WP_020848173.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-198~~~WP_020848173.1~~~cephalosporin;penam~~~unknown MNKKIKLIFILIFSINLFANDVELENLFKKYQVEGTLVLESLNTKKVDIYNEKRANTSFSPASTFKIPNTLIALNEGVVNKDSIIVWDKKVREFDAWNKDQTLQSAFKSSCVWCYKEFASKIGVEKYSKYLKELNYGNKTIGKDVTDFWLDESLRITAFEEIRFLKQLQANNLAFKQEDINLLKELMIDEKSENYVVRAKTGWEGKYGWYVGYVETKNDVWFFALNIDTKTKEDLAKRKALTLEALKTKGIIN ->ARGMiner~~~OXA-61~~~WP_020836977.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_020836977.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNISGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~sul1~~~WP_019727244.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_019727244.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDTRPADSTRAAATMPHCNKVR ->ARGMiner~~~AAC(6')-Iid~~~WP_019722502.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iid~~~WP_019722502.1~~~aminoglycoside~~~unknown MIISEFDRRNIGLKDQLADLLRLTWPKDYGEQPMKEVEQLLATDRIAVSAVEQDRLVGFIGAIPQYGMTGWEIHPLVVETSYRKQYIGSRLVAYVEKEIASKGGVMVYLGTDDTDGDTSLSHTDLFDHPLDKLKSIETFNKHPYTFYEKMGYQVVGALPDANGVNQPDIILAKRLGEINQ ->ARGMiner~~~oqxB~~~WP_019704817.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_019704817.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~AAC(6')-IIa~~~WP_019655053.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIa~~~WP_019655053.1~~~aminoglycoside~~~unknown MHASDSKVTLRLMTERDLPMLHEWLNRPHIVEWWGGEDERPTLDEVLRHYMPRVLSEASVTAYIAMLGDEPIGYAQSYVALRSGDGWWADETDPGVRGIDQSLADPAQLNKGLGTMLVRALTSMLFSDPTVTKIQTDPAPHNHRAIRCYEKAGFVQQKVVTTPDGPAVYMVQTREAFERSCSAT ->ARGMiner~~~PDC-7~~~WP_019485213.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_019485213.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~AAC(6')-Ic~~~WP_019453091.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_019453091.1~~~aminoglycoside~~~unknown MIVICDHDNLDAWLALRAALWPSSSPEDHRAEMREILASPHHTAFMARGLDGAFIGFAEVALRYDYVNGCESSPVAFLEGIYTVERARRQGWATRLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~APH(3')-IIa~~~WP_019407932.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIa~~~WP_019407932.1~~~aminoglycoside~~~unknown MTVALDEVSELKNLLSPLLDECTFEEVEYGQSDARVIRVLFPDRNTAYLKYASGSSAQEILQEHQRTRWLRTRALVPEVISYVSTSTVTILLTKALIGHNAADAADADPVIVVAEMARALRDLHSISPDDCPFDERLHLRLKLASGRLEAGLVDEEDFDHARQGMLARDVYEQLFIQMPGAEQLVVTHGDACPENFIFQGNAFVGFIDCGRVGLADKYQDLALASRNIDAVFGPELTNQFFIEYGEPNPNIAKIEYYRILDEFF ->ARGMiner~~~AAC(6')-Isa~~~WP_018893623.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_018893623.1~~~aminoglycoside~~~unknown MSDLELRGERVLLRSTTPADTAALDRIVREPEVALWWPPPEGYARMLAVVVDGEVIGAIQFSEETDPEFRHAGIDLFLSARWHGQGLGPDAVRTLARWLIAERGHHRLVIDPAAANTYAVRSYRKVGFAPVGIMRAYWRDHRTGEWEDGLLMDLLAAELT ->ARGMiner~~~mefA~~~WP_018374806.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_018374806.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLLGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGSVLTIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGDRVQSLDPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALFPLISMDYFNGTPVHISITEISFASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSALFADRIGVNHWFLLSGILIICIAIVCPMINEIRKLDLK ->ARGMiner~~~vanI~~~WP_018211347.1~~~glycopeptide unknown +>ARGMiner~~~vanI~~~WP_018211347.1~~~glycopeptide~~~unknown MNKLKIAIIFGGCSEEHPVSVKSAQEVADNLDLEKYEPFYIGITKSGVWKLCHRPEANWEKGSCLPAILSPDRSVQGLLVLEHGHYKTIPLDMVFPVLHGKFGEDGAMQGLLELSGIPYAGCDVPSSALCMDKALAYIVAGSAGIATPNFRTVTAEEDIDADGLAYPVFVKPARSGSSFGVTKVCRKEDLLSAVASAREYDTKVLIEEAVAGSEVGCAIFGNDPDLVAGEVDQICLSHGFFKIHQEDEPEKGSENSKLIVPSDIPAEARLQVQETAKAIYRALGCRGLARVDMFLKEDGEVILNEVNTLPGMTSYSRFPKMVAAAGLPFAEVLDRIISLALKGKR ->ARGMiner~~~ADC-12~~~WP_017480710.1~~~cephalosporin unknown +>ARGMiner~~~ADC-12~~~WP_017480710.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDREIKKLVDQNFKPLLDKYDVPGMAVGVIQNNKKYETYYGLQSVQDKKSVSSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKEWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPGLGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFINANINPQKYPKDTQRAINETHQGFYQVGTMYQALGWEEFSYPAPLQTLLDSNSEQIVMKPNKVTAISKEPSVKMFHKTGSTNGFGSYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~AAC(6')-Ig~~~WP_017395772.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ig~~~WP_017395772.1~~~aminoglycoside~~~unknown MNIKPASEASLKDWLELRNKLWSDSESSHLQEMRQLLTEKYALQLLAYSEHQAIAMLEASIRFEYVNGTETSPVGFLEGIYVLPEQRRSGVATMLIRQAEVWAKQFSCTEFASDAALDNVISHAMHRSLGFQETEKVVYFSKKID ->ARGMiner~~~oqxB~~~WP_016947602.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_016947602.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTELFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~AAC(6')-Ic~~~WP_016930164.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_016930164.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRSALWPTCPLEEHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRHDYVNGCESSPVAFLEGIYTVECARRQGWAAHLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~OXA-50~~~WP_016852290.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-50~~~WP_016852290.1~~~cephalosporin;penam~~~unknown MRPLLLSALLLLSGHTQASEWNDSQAVDKLFGAAGVKGTFVLYDVQRQRYVGHDRERAETRFVPASTYKVANSLIGLSTGAVRSADEVLPYGGKPQRFKAWEHDMSLREAIKASNVPVYQELARRIGLERMRANVSRLGYGNAEIGQVVDNFWLVGPLKISAMEQTHFLLRLAQGELPFPAPVQSTVRAMTLLESGPGWELHGKTGWCFDCTPELGWWVGWVKRNERLYGFALNIDMPGGEADIGKRVELGKASLKALGILP ->ARGMiner~~~AAC(6')-Ii~~~WP_016628216.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_016628216.1~~~aminoglycoside~~~unknown MIISEFDRNNPVLKDQLSDLLRLTWPEEYGDSSAEEVEEMMNPERIAVAAVDQDELVGFIGAIPQYGITGWELHPLVVESSRRKNQIGTRLVNYLEKEVASRGGITIYLGTDDLDHGTTLSQTDLYEHTFDKVASIQNLREHPYEFYEKLGYKIVGVLPNANGWDKPDIWMAKTIIPRQDSQ ->ARGMiner~~~PDC-3~~~WP_016562272.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_016562272.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~APH(2'')-IIa~~~WP_016439437.1~~~aminoglycoside unknown +>ARGMiner~~~APH(2'')-IIa~~~WP_016439437.1~~~aminoglycoside~~~unknown MVNLDAEIYEHLNKQIKINELRYLSSGDDSDTFLCNEQYVVKVPKRDSVRISQKREFELYRFLENCKLSYQIPAVVYQSDRFNIMKYIKGERITYEQYHKLSEKEKDALAYDEATFLKELHSIEIDCSVSLFSDALVNKKDKFLQDKKLLISILEKEQLLTDEMLEHIETIYENILNNAVLFKYTPCLVHNDFSANNMIFRNNRLFGVIDFGDFNVGDPDNDFLCLLDCSTDDFGKEFGRKVLKYYQHKAPEVAERKAELNDVYWSIDQIIYGYERKDREMLIKGVSELLQTQAEMFIF ->ARGMiner~~~vanXO~~~WP_016331866.1~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~WP_016331866.1~~~glycopeptide~~~unknown MRDDFVFVDEVVSGIRWDAKYATWDNFTGKPVDGYLVNRVVGTRAFCAALEKARDKAAELGFGLLLWDVYRPQRAVDRFMRWAEEPEDGRKKARHYPNIERPQMFEQGYVATKSGHSRGSTVDLTLYRLDTGELADMGGDHDLMDVVSHHGADGVPEEAAKNRAHLCAIMEGSGFSSYECEWWHYNLKDEPYPDTYFDFPIE ->ARGMiner~~~vanO~~~WP_016331865.1~~~glycopeptide unknown +>ARGMiner~~~vanO~~~WP_016331865.1~~~glycopeptide~~~unknown MGRLKIGILFGGLSEEHPISIKSAREVQKHLDLEKYEPYYIGITQSGSWMLCDGPAEDWENGDVRPAVLSPDRGVHGLLVLDEGKYETIALDVVLPVLHGKFGEDGAMQGLLEISGIPYVGCDLPSSVMCIDKSLTYSVVRGAGIATPTFHIVTPDNDVDPDALNYPVFVKPARSGSSFGVSKVSSKEELPAALAEARQYDAKILIEEAVIGSEIGCSILGDGEDLFAGEVDRVALTHGFFKIHQEKSPETGSENSSFIVPADIPDASRDLVQETAKVIYRALGCSGLARVDLFLKEDGSVVLNEVNTLPGLTSYSRYPRMMNAAGLSLGDVIDRLVKLTLAGVTNEG ->ARGMiner~~~vanRD~~~WP_016295750.1~~~glycopeptide unknown +>ARGMiner~~~vanRD~~~WP_016295750.1~~~glycopeptide~~~unknown MNEKILVVDDEKELADLVEVYLKNDGYTVYKFYNGKDALKCIESVELDLAILDIMLPDVDGFQICQKIREKFYFPVIMLTAKVEDGDKIMGLSVADDYITKPFNPLEVVARVKAQLRQYMRYKQPSLKQEAECTEYDIRGMTISKSSHKCILFGKEIQLTPTEFSILWYLCERQGTVVSTEELFEAVWGERYFDSNNTVMAHIGRLREKMKEPSRNPKFIKTVWGVGYTIEK ->ARGMiner~~~PDC-8~~~WP_016263090.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_016263090.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-3~~~WP_016252988.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_016252988.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATPPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~AAC(6')-Iih~~~WP_016177698.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iih~~~WP_016177698.1~~~aminoglycoside~~~unknown MIISEFDRDNLVLRDQLADLLRLTWPDEYGEQPMKEVERLLEDERIAVSAIEGDELIGFVGAIPQYGQTGWELHPLVVESMYRKQQVGTRLVSYLEKEIASQGGIVVYLGTDDVERQTSLAIEEDLFEDTFDKLETIQNRKDHPYEFYEKLGYQIVGVIPDANGWNKPDIWMAKRIARKHGSE ->ARGMiner~~~AAC(6')-Ii~~~WP_016172918.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_016172918.1~~~aminoglycoside~~~unknown MLITEFERDNRILKDQLADLLAKTWPNDYGQTAKNEVEKLLAPERIAVAALVDDDLVGFVGAIPQYGQTGWEMHPLVVVADFRRQKIGARLVSFLESEIASRGGITIYLGTDDENDETTLSQVNLYNEPLQAIANIKNLKAHPYSFYEKLGYQITGVIPDANGWFKPDIIMSKRIGDFEELTEDE ->ARGMiner~~~AAC(6')-Is~~~WP_016162901.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Is~~~WP_016162901.1~~~aminoglycoside~~~unknown MNIMPISESQLSDWLALRSLLWPDHEDAHLLEMRQLLKQTDTLQLLVYSETQLAIAMLEASIRHEYVNGTQTSPVAFLEGIYVLPEYRRSGIATQLVQCVEEWAKQFACTEFASDAALENTISHAMHRALGFHETERVVYFKKNIG ->ARGMiner~~~LEN-18~~~WP_016160983.1~~~penam;penem unknown +>ARGMiner~~~LEN-18~~~WP_016160983.1~~~penam;penem~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGVEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTVGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTHLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~OXA-421~~~WP_016145058.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_016145058.1~~~cephalosporin;penam~~~unknown MTKKALFLAISTIFLSACSFNTVQQHQIHALSTHKNSEEIQSLFDQAQTTGVLVIKRGKKEEIYGNDLKRASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEIKRVDFGNADIGSKVDNFWLVGPLKITPQQEAQFAYELAHKTLPFSKNVQEQVQSMVFVEEKNGRKIYAKSGWGWDVEPQVGWLTGWVVQQQGEIVAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OXA-421~~~WP_016141238.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_016141238.1~~~cephalosporin;penam~~~unknown MTKKALFFAISTIFLSACSFNTVQHHQIHAISTHKNSEEIKSLFDQAQTTGVLVIKRGNTEEIYGNDLKRASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDNFWLIGPLKITPQQEAQFAYELAHKTLPFSKNVQEQVQSMVFVEEKNGRKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OXA-352~~~WP_016138346.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-352~~~WP_016138346.1~~~cephalosporin;penam~~~unknown MYKKVLIVATTTLFLSACSSKTVKQHQIHSISANQNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDLKRSSTEYVPASTFKILNALIGLEHHKVTTTEVFKWDGQKRLFPDWEKDMTLGDAMQASAIPVYQKLARRIGLDLMSKEVKRVGFGNADIGSRVDNFWLVGPLKITPLQEAEFAYELAHKTLPFSKNVQEQVQSLVFIEEKNGRKIYAKSGWALDIDPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSIIRKEITYKGLEQLGIL ->ARGMiner~~~vanI~~~WP_015943583.1~~~glycopeptide unknown +>ARGMiner~~~vanI~~~WP_015943583.1~~~glycopeptide~~~unknown MSKLKIAIIFGGCSEEHPVSVKSAGEVAKNLDLEKYEPFYIGITKDGVWQLCHYPEANWEKGSCRPAILSPDRSVQGLLVLEQGQYQRIPLDLVFPVLHGKFGEDGAIQGLLELSGIPYVGCDIPSSALCMDKSLAYIVAGKAGIATPKFRTVTAKETIDAERLAYPVYVKPARSGSSFGVTKVCRQEELLSAVEIARQYDSKVLIEEAVVGSEVGCAIFGNDLDLMAGEVDQICLSHGFFRIHQENEPEKGSENSTLIVPADISPEARSRVQETAKAIYRALGCRGLARVDMFLKEDGTVILNEVNTLPGMTSYSRFPRMMAAAGLPFAEVIDRLVSLAF ->ARGMiner~~~FosX~~~WP_015860243.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_015860243.1~~~fosfomycin~~~unknown MITGLSHITFICRDLDRMEAVLTGVLGARRVYDSGEATFSLSRERFYLVGGEGDDGLWLAVMEGEPLAEPTYNHVAFAVDEADFEACLARVQALGLTFRPPRLRVAGEGRSLYFYDHDNHLFELHTGTLPERLARYRQGRAAAPAPTPDNPETP ->ARGMiner~~~TMB-1~~~WP_015818996.1~~~carbapenem;cephalosporin;cephamycin unknown +>ARGMiner~~~TMB-1~~~WP_015818996.1~~~carbapenem;cephalosporin;cephamycin~~~unknown MRVFLVIFILFIAGDLCAGEEMPGFSVEEIEKNVFLHGSYSQVNGFGLVSSNGLVVIENKKAFIVDTPWSEKDTINLVQWIEGRNLVLIGSISTHSHEDRTAGIKWLNAHSIPTYASALTNELLKKDDKEVATKSFEGAELMLADGLIEAYYPGGGHTIDNIVVWLPKSQILFGGCLVRSVDSTGLGYVGEADIKNWSSSVENVLSKYPEIKAVVPGHGKIGGLSLLTHTKKLAISALPKLSN ->ARGMiner~~~OXA-50~~~WP_015649877.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-50~~~WP_015649877.1~~~cephalosporin;penam~~~unknown MRPLLFSALLLLSGHAQASEWNDSQAVDKLFGAAGVKGTFVLYDVQRQRYVGHDRERAETRFVPASTYKVANSLIGLSTGAVKSADEVLPYGGKPQRFKAWEHDMSLRDAIKASNVPVYQELARRIGLERMRANVSRLGYGNAEIGQVVDNFWLVGPLKISAMEQTRFLLRLAQGELPFPAPVQSTVRAMTLLESGPGWELHGKTGWCFDCTPELGWWVGWVKRNERLYGFALNIDMPGGEADIGKRVELGKASLKALGILP ->ARGMiner~~~tet(40)~~~WP_015573055.1~~~tetracycline unknown +>ARGMiner~~~tet(40)~~~WP_015573055.1~~~tetracycline~~~unknown MFAKNSKAYSVYLLFRFVCSLAVSMSTVLSIVYHLEVVQLDAFQLVLVGTVQETSCFLFEMPTGVVADLYSRRRSVLIGMFLYGLGFLMEGALPWFAPVLLAQVVWGCGDTFITGALEAWIASEEEDKPIDKVFLRGSQMGQIGGVLGVVLGTLLGNINLQMPLILGGSLCLLLGLVMVRIMPETNFSPAIEERQGLLKDFVCLFKLNLGFVKGAPVLLALLAITLCGGLASEGFDRLSTAHFLDDTVIPVIGPLNSVTWFGVISLIGNGLGILASQLLIARMEKKGTVSRTSVVMSTSAGYILFLVLFAVGRSFWFMLLVFLLAGLMRTIKEPVLAAWMNDHVDEKMRATVFSTSGQLDSFGQIIGGPIVGLVAQQVSIPWGLVCTAFLLLPALFLVPVAGKKRD ->ARGMiner~~~ACT-32~~~WP_015572455.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-32~~~WP_015572455.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLGISCSALATPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALVVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVVEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANTSYPNPARVEAAYHILEALQ ->ARGMiner~~~ROB-1~~~WP_015431537.1~~~cephalosporin;penam unknown +>ARGMiner~~~ROB-1~~~WP_015431537.1~~~cephalosporin;penam~~~unknown MFNKLKIGTLLLLTLTACSLNSVHSVTSNPQPASAPVQQSATQATFQQTLANLEQQYQARIGVYVWDTETGHSLSYRADERFAYASTFKALLAGAVLQSLPEKDLNRTISYSQKDLVSYSPETQKYVGKGMTIAQLCEAAVRFSDNSATNLLLKELGGVEQYQRILRQLGDNVTHTNRLEPDLNQAKPNDIRDTSTPKQMAMNLNAYLLGNTLTESQKTILWNWLDNNATGNPLIRAATPTSWKVYDKSGAGKYGVRNDIAVVRIPNRKPIVMAIMSTQFTEEAKFNNKLVEDAAKQVFHTLQLN ->ARGMiner~~~AAC(6')-Ic~~~WP_015379140.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_015379140.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRAALWPTCPLEEHRAEMREILASPHHTAFMARGLDGAFVGFAEVALRHDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLYSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~EreB~~~WP_015345560.1~~~macrolide unknown +>ARGMiner~~~EreB~~~WP_015345560.1~~~macrolide~~~unknown MKNIKPLTFPFNSENNTSIKQSLFRFREYFDSSTIVGLGENSHFIKEFFTFRHQVIEFLVTECDFDTLAFEFGFSEGLEVDKWIKSQIPFDDLDKLLSHFYYPNEFKDTLLWLRRYNQDNNNQITFLGVDIPKNGGSYFPNFRIVSDYLQRLSIVSSDVLQKILNLAEKFDFYSTSQLALNLSLFDEAEHNELKALLLKVYIRLVTLQPKLESLEFQSILHQVKGLIYMNYNADAMESFITEKGIEGDMGAKDQYMAESIDWFLKNSLGKKIILVAHNAHIQKTPVDFDGFISCYPMGQRLSMTFGEKYKAFAITNLRGETAALYPDNDYQFGFRVDKFPLDSPESDSVEFFMQELSGKECCLIINKSKELKNCSKIRFDSIYLKTEIVDSFDGIFLIEKSTVSEIVD ->ARGMiner~~~CcrA beta-lactamase~~~WP_015266427.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_015266427.1~~~carbapenem~~~unknown MYYSLLISAFLLSISACGGKSEKLQEHLVAEQTSTFTEKEVYSSDKLIIKQVSPHTYVHVSFLDTDTFGKVACNGMIVISDGEAVVFDTPSTSNETSELLSFLEEEKLQVNAVVATHFHLDCLGGLEAFHARNIPSYAFKNTLSLASQHDFPQPQKGFSDELTLKVGTKAVFVHYFGEGHTQDNVIGYFPDDQVLFGGCLIKANGAGKGNLEDANVEAWPVTVNKISTAYPNLRLVIPGHGNWGDKTLLHYTETLFK ->ARGMiner~~~qacH~~~WP_015243636.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_015243636.1~~~fluoroquinolone~~~unknown MKNWIFLAVSIFGEVIATSALKSSHGFTRLVPSVVVVAGYGLAFYFLSLALKSIPVGIAYAVWAGLGIVLVAAIAWIFHGQKLDFWAFIGMGLIVSGVAVLNLLSKVSAH ->ARGMiner~~~CcrA beta-lactamase~~~WP_015083750.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_015083750.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGMIVINNHQAALLDTPINDAQTETLVNWVADSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVQSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQATSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGDYGGTELIEHTKQIVNQYIESTSKP ->ARGMiner~~~qacH~~~WP_015063357.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_015063357.1~~~fluoroquinolone~~~unknown MKNWLFLATSIIFEVIATSALKSSEGFTRLVPSFIVVAGYAAAFYFLSLTLKSIPVGIAYAVWSGLGIVLVTAIAWVLHGQKLDMWGFVGVGFIISGVAVLNLLSKASVH ->ARGMiner~~~KPC-4~~~WP_015062847.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-4~~~WP_015062847.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVRWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGGYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~tetX~~~WP_015060600.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~WP_015060600.1~~~glycylcycline;tetracycline~~~unknown MTMRINTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKKFSDWDERYKELIHATLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~tet(L)~~~WP_015060376.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~WP_015060376.1~~~tetracycline~~~unknown MNTSYSQSNLRHNQILIWLCVLSFFSVLNEMVLNVSLPDIANDFNKSPASTSWVNTAFMLTFSIGTALYGKLSDQLGIKRLLLFGIIINCFGSVIGFVGHSFFPILILARFIQGAGAAAFPALVMVVVARYIPKENRGKAFGLIGSIVAMGEGIGPAIGGMIAHFIHWSYLLLIPMMTIITVPFLIKLLKKEVRIKGHFDIVGIILMSVGIVFFMLFTTSYNISFLIISILSFLIFVKHIRKVTEPFVEPALGKNISFIIGVLCGGLIFGTVAGFIYMVPYMMKDVHQLSTAAIGSVIIFPGTMSVIIFGYIGGLLVDRKGPLYVLTIGVTFLSVSFLIAAFFLEVTPWLLTIILVFVFGGLSFTKTVISTIVSSSLKQKEAGAGMSLLNFTSFLSEGIGIAIVGGLLSVRLLNQKLLPMDLDQSTYLYSNMLFLFTGVVVTSWLITLNVYKRRQIQFKS ->ARGMiner~~~sul2~~~WP_015060203.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_015060203.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTVRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIRYQKTEGTSIESN ->ARGMiner~~~apmA~~~WP_015059965.1~~~aminoglycoside unknown +>ARGMiner~~~apmA~~~WP_015059965.1~~~aminoglycoside~~~unknown MKTRLEQVLERYLNGREVVVWGVPTRRLLRALKPFKFRVDDRVDPQYHYVVAVNDDDLSDFLSDEQSKSFQYANDYLTFDDEGGELPFERMCFNVPVGRQTYFGDGVVGACKNGYIKSIGQFTSINGTAEIHVNHQLNMTFVSDDIQNFFNEESMAIFHEKLRKDPKHPYAYSKEPMTIGSDVYIGAHAFINASTVTSIGDGAIIGSGAVVLENVPPFAVVVGVPARIKRYRFSKEMIETLLRVKWWDWSIEEINENADALISPELFMKKYGGL ->ARGMiner~~~qacH~~~WP_015059047.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_015059047.1~~~fluoroquinolone~~~unknown MKNWLFLAIAIFGEVVATSALKSSHGFTKLVPSVVVVAGYGLAFYFLSLAIKSIPVGIAYAVWAGLGIVLVAAIAWIFHGQKLDLWAFVGMGLIVSGVAVLNLLSKVSAH ->ARGMiner~~~QnrS7~~~WP_015058260.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrS7~~~WP_015058260.1~~~fluoroquinolone~~~unknown METYNHTYRHHNFSHKDLSGLTFTACTFIRSDFRRANLRDTTFVNCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIEFRACDLKGANFSRTNFAHQVSNRMYFCSAFISGCNLSYANMERVCLEKCELFENRWIGTNLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIAAWQQELILEALGIVVYPD ->ARGMiner~~~ACT-28~~~WP_014882211.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-28~~~WP_014882211.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MKTKSLCCALLLSTSCSVLAAPMSEKQLSDVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQHWQPQWKPGATRLYANASIGLFGALAVKPSGMSFEQAMTKRVFKPLKLDHTWINVPKEEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDISSWVKANMNPAALPDSTLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVEAKTVVEGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKELGIVMLANKSYPNPARVEAAYRILSALQ ->ARGMiner~~~FosX~~~WP_014714131.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_014714131.1~~~fosfomycin~~~unknown MKGISHITFIVRDLNRMAALLCEGLGAREVYDSSNQNFSLSREKFFVLGSTWLAAMEGEPPAERSYQHVAFAVSETDLPAYQARLEALGVEIRPPRSRVDGEGLSLYFYDFDNHLFELHSGTLEQRLVRYQAGR ->ARGMiner~~~mefA~~~WP_014636304.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_014636304.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLLGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGSVLTIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSALFADRIGVNHWFLLSGILIICIAIVCPMINEIRKLDLK ->ARGMiner~~~tetO~~~WP_014636291.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_014636291.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIKISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~vanB~~~WP_014387148.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_014387148.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQIIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTMPGFTSYSRYPRMVAAAGITLPALIDSLITLALKR ->ARGMiner~~~tet(B)~~~WP_014386815.1~~~tetracycline unknown +>ARGMiner~~~tet(B)~~~WP_014386815.1~~~tetracycline~~~unknown MNSSTKIALVITLLDAMGIGLIMPVLPTLLREFIASEDIANHLGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLNNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~tetM~~~WP_014386813.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_014386813.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDRGTTKTDNTLLERQRGITIQTAITSFQWKNTKINIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKIGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELHPNMRVMNFTESEQWDMVIEGNDYLLEKYTSGKLLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTAVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTSFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~mphD~~~WP_014325835.1~~~macrolide unknown +>ARGMiner~~~mphD~~~WP_014325835.1~~~macrolide~~~unknown MTIQDIQSLAEAHGLLITDKMNFNEMGIDFKVVFALDTKGQQWLLRIPRRDGMREQIKKEKRILELVKKHLSVEVPDWRISSTELVAYPILKDNPVLNLDAETYEIIWNMDKDSPKYITSLAKTLFEIHSIPEKEVRENDLKIMKPSDLRPEIANNLQLVKSEIGISEQLETRYRKWLDNDVLWADFTQFIHGDLYAGHVLASKDGAVSGVIDWSTAHIDDPAIDFAGHVTLFGEESLKTLIIEYEKLGGKVWNKLYEQTLERAAASPLMYGLFALETQNESLIVGAKAQLGVI ->ARGMiner~~~ANT(3'')-IIa~~~WP_014208115.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-IIa~~~WP_014208115.1~~~aminoglycoside~~~unknown MPDLIQLEYLQEKLQQLLAESLFAIYLYGSAVDGGLGPESDLDVLVVVTQPLTSALREQLAQELLKISQPVGELQRPLEVTILLKDEIQSGIYPLSYEIQFGEWLREELKEGGTLSSKKDPDISILLRKARSHHTVLFGPALNQWAPEISDQELWQAMSDTYPEIVAHWDEDADERNQILALCRIYFSLVMKDIASKDNAARWVMSQLPDEQKFVLQRLIQEYRGEIGKQNWQEEHYALQPIVNFLSSKIEEQFEQKRNLIT ->ARGMiner~~~OXA-421~~~WP_014206564.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_014206564.1~~~cephalosporin;penam~~~unknown MTKKALFFAIGTMFLSACSFNTVQQHQIQSISTNKNSEKIKSLFDQAQTEGVLVIKRGQIEEIYGNDLKRSSTEYVPASTFKMLNALIGLEHHKATPTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRISFGNADIGSTVDDFWLVGPLKITPQQEAQFAYELAHKTLPFSKNMQEQVQSMLFIEEKNGRKIYAKSGWGWDVDPQVGWFTGWVVQPQGEIVAFSLNLEMKKGIPSSIRKEITYKGLEQLGIL ->ARGMiner~~~ErmC~~~WP_014158490.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_014158490.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKKNIKDSQNFITSKRNVDKIMTNISLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVNHDNFQVLNKDILQFKFPKNQSYKIFGSIPYNISTDIIRKVVFESIADESYLIVEYGFAKRLLNTKRSLALLLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISYKDKQKYNYFVMKWVNKEYKKIFTKNQFNKSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~tetW~~~WP_014078528.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_014078528.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISRKKLAREEQQRVQDASLFPVYHGSAKNGLGIQPLMDAVTGLFQPIGEQGGAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYQGEIVILPSDSVRLNDVLGDQTRLPRKRWREDPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPSVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLSLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFILYAPQEYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGRSVCLTELKGYQAAVGQPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~CcrA beta-lactamase~~~WP_013992183.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_013992183.1~~~carbapenem~~~unknown MTYKSTVLLLFLITAFFSCKSQQPTLTYSSEDLKIIPLSKNSFVHISYLSTGDFGKVACNGLIYINQGQAVVFDTPTDNETSEELIHWITETKKQQIKAVVINHFHDDCLGGIEAFHELSIPSYASNTTIELAKKEGNPVPQIGFDTTNELTIGKQKIINRHFGEAHTKDNIVSYIPSEHLLFGGCALKSLNAAKGYLGDANTNEWGNTIKKIKAAYPDLKTAIPGHGEYGGSELLDYTISLFSTKQ ->ARGMiner~~~BEL-1~~~WP_013846594.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~BEL-1~~~WP_013846594.1~~~monobactam;cephalosporin;penam~~~unknown MPRRLLLAALLLAGPASAQQEAPRQSLLPPPPRYMVSESAMKSVRNPKEIESESGGRLGVALVDSKGALILGFNRDERFAMCSTFKAPLAAAVLSGAEGNKFGLEGQIGFTKADLLDHAPVVKKNARRGRMSMEELAAAAVEVSDNSAANLLLPMIGGPEGFTRYVRAHGDNVTRLDRTEPALNENAEGDERDTTSPAAMAGLMRRLIFTDLKPESAARLRGWLNASTTGGNRIKAGLPKDWTSGSKTGTCGTAYNDVALVKAPSGEEYILAIYLDRPTVDAKAAEAAIAETARAALDFVGKAQKTGLE ->ARGMiner~~~SPM-1~~~WP_013255389.1~~~carbapenem unknown +>ARGMiner~~~SPM-1~~~WP_013255389.1~~~carbapenem~~~unknown MNLFRNYYNPIRNVMLIIFFVLTDLSLIAQTQPEYPVIRLNDELEVREILPNAFVITHKFPWGGNSLVVLIGEKYAVFVDTPYTPEATENVLDWINKQYGNRQFIEINTGYHVDNLGGNDALLHRNIPIIGSDKTVSLLRERGEATRQLTMGWLEGPGNEKFLKRHETIPYVGPSQIFQLTEGYHFTVGDEPIEVFFPGETHAPDNIVVYFPERKILFGGCMLRVGNGTGNRADANMDTWKSSVERLRDFDCVAVIPGHGIRFDPGVIENTISVLP ->ARGMiner~~~MIR-10~~~WP_013095270.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-10~~~WP_013095270.1~~~monobactam;cephalosporin~~~unknown MMKKSLSCALLLSVACSAFAAPMSEKQLADVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSVSKTFTGVLGGDAIARKEISLADPVTKYWPELTGKQWQGIRLLDLATYTAGGLPLQVPDDVTDNASLLRFYQSWQPKWAPGTTRLYANTSIGLFGSLAVKPSGMRFEQAMAERVFKPLKLNHTWINVPHAEEPHYAWGYREGKAVHVSPGMLDAEAYGVKSNVKDMASWVMANMAPETLPQSTLQQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVDGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPVRVETAYRILDALQ ->ARGMiner~~~LEN-18~~~WP_012968280.1~~~penam;penem unknown +>ARGMiner~~~LEN-18~~~WP_012968280.1~~~penam;penem~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVLYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~oqxB~~~WP_012967412.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_012967412.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMKWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFVVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPFRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~tet(A)~~~WP_012881330.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tet(A)~~~WP_012881330.1~~~glycylcycline;tetracycline~~~unknown MNSSTKIALVITLFDAMGIGLIMPVLPTLLREFIASEDIANHFGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLTNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKTDKIQE ->ARGMiner~~~tetO~~~WP_012775603.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_012775603.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIKISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAETKESTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~mecR1~~~WP_012705551.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_012705551.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKAYNFLSYMSYSTISITLVIIALILIRGIFGKWISAKHKYYLWLILIIKLIIPFTPNWNGDNFNFINWFSKSLVTNANTAMDKVGNKYSSIPLIDNTKDYAVSVNVSNVYSFIFILWLLICVVILGFILVNSFRFKTKIIKSGYKPDNKLKIIIETCRKQLKMKNNKFNSLIIKGAHAAFVVGPIKPYVIISQDICDEFNDEEIKYILLHEIAHLKRKDIMIKFIMIIFCCIYWFNPFIWIARAIMMNDMELSCDEKVLSNLNKNEIQDYGKTIIKVLERFSLNRHKGVMLNINGSKKNIKNRIKNIAIFSKQTIRRRFLTFLLLVIILLLTITFIGVKTPFANDKFKSLNSNVIYKDFSKDFNGDKGTFVLFNEQSNQYTIFNKEGSEKRVSPCSTYKIVIALIGLDKEVISKTDNNISWDGKNYPFTEWNKDQTLESAMNYSVNWYFDKIDSRISRKTLQECVDSLSYGNENIRTLDGQYWNQSSLKISAIEQVQFLKKLWNYDVKFKKEDVDFVKNSIKLMEEGDVILYGKTGSGSENNKDVNGWFVGVLEKGNNKYYFATNIEGSNNINGQKAKSIAVDILKENKIIN ->ARGMiner~~~BLA1~~~WP_012704143.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_012704143.1~~~penam~~~unknown MKKIVNSKLKVNKFKMCIFISILIFSLTGCGNVENKTSENTKPEIQYNSAFSKIESDYGVKLGVYAFDTETNKEVTYNADKRFAYCSTFKSLISGAILQKYSSDQLKQVIKYSPKDVLSYAPVTKNHVDKGMTIEELCDAAVRFSDNTAANLLINLIGGPNGFKSALNQLGDTVTEPARIEPELNVATPGDNRDTSTPRQLSIDLKEYTTGNILSDDKKKILINWMSGNATGDKLIRAGAPKDWMVSDKSGTGSYGTRNDIAIVIPPNKKPIFIAILSSKNDKDAKYDDKTISEASKIVFDYFINTRK ->ARGMiner~~~arr-1~~~WP_012695486.1~~~rifamycin unknown +>ARGMiner~~~arr-1~~~WP_012695486.1~~~rifamycin~~~unknown MSATATMFAQSFFHGTKAALAPGDLIAVGYRSNFTDAKSLSWVYFTGTLDAAIWGAELAGGSGTERIYVVEPTGAIEEDPNLTDKKFPGNPTLSYRSRDPLRVIAEVTKWQAHTAQRLREMKEGLARLNAEGAEIID ->ARGMiner~~~tetO~~~WP_012669445.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_012669445.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNLQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~OXA-184~~~WP_012662081.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_012662081.1~~~cephalosporin;penam~~~unknown MKKIFLLFGLFCSFALANENLKDLFKDYNESGVFIAYDGKNYYSNDFKKANKRILPASTFKIFNALIALNEGVVKDTNEIFYHYKGEKVFLPSWKNNANLALAMQRSQLPAYKELARKIGLEKMQKNLNKLNYGNQKISKIDEFWIDDSLQISLKEQATLLFKLANLTLDYPKHIQEEVINIIKLKENDHYELFAKTGWGLRQYGQIVGFIKSKKSDKIYAFALNMNISDFNKLYLREEIVQLYLDQL ->ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~WP_012655884.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~WP_012655884.1~~~aminoglycoside~~~unknown MNIVENEICIRTLIDDDFPLMLKWLTDERVLEFYGGRDKKYTLESLKKHYTEPWEDEVFRVIIEYNNVPIGYGQIYKMYDELYTDYHYPKTDEIVYGMDQFIGEPNYWSKGIGTRYIKLIFEFLKKERNANAVILDPHKNNPRAIRAYQKSGFRIIEDLPEHELHEGKKEDCYLMEYRYDDNATNVKAMKYLIEHYFDNFKVDSIEIIGSGYDSVAYLVNNEYIFKTKFSTNKKKGYAKEKAIYNFLNTNLETNVKIPNIEYSYISDELSILGYKEIKGTFLTPEIYSTMSEEEQNLLKRDIASFLRQMHGLDYTDISECTIDNKQNVLEEYILLRETIYNDLTDIEKDYIESFMERLNATTVFEGKKCLCHNDFSCNHLLLDGNNRLTGIIDFGDSGIIDEYCDFIYLLEDSEEEIGTNFGEDILRMYGNIDIEKAKEYQDIVEEYYPIETIVYGIKNIKQEFIENGRKEIYKRTYRGPERLREICIDKK ->ARGMiner~~~tet(C)~~~WP_012579085.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_012579085.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALVQFACAPVLGALSDRFGRRPILLVSLAGATVDYAIMATVPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITAPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~QnrS5~~~WP_012550237.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrS5~~~WP_012550237.1~~~fluoroquinolone~~~unknown MAKYNEIFDNVDFSKQDLRESYFEGCKFYRCDFNRTNLRDSRFVDCVFIEQGAIEGCHFDYSDLRDASFKRCRLTMSNFKGANCFGVEFRECDLKGANFVQASFVNQISHQMYFCSAYITGCNLSYANFERLCIEKCDLFENKWNGANLQGASFKGSDLSRGAFSEDSWGQFRMQDANLCHCELDGLDPRRIDLTGVKICAWQQEQLLEPLGLVVLPD ->ARGMiner~~~LEN-22~~~WP_012541790.1~~~penam;penem unknown +>ARGMiner~~~LEN-22~~~WP_012541790.1~~~penam;penem~~~unknown MRYVRLCVISLLANLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSPRSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~AAC(6')-Iz~~~WP_012481011.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~WP_012481011.1~~~aminoglycoside~~~unknown MIDSAPMIRQATPADAAAWARLRLGLWTDADDPLEELTQSLADAEGAVFLACAADGETVGFAEVRLRHDYVNGTESSPVGFLEGWYVQPQWQGSGVGRALLAAVQAWTRDAGCRELASDSRVEDVQAHAAHRACGFEETERVVYFRMPLEPSA ->ARGMiner~~~APH(6)-Ic~~~WP_012480135.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Ic~~~WP_012480135.1~~~aminoglycoside~~~unknown MSEPYLSRWRLRRDGPALRTPHARLWPVLTAAGEPAMLKISSETEEQNSHRLLRWWNGDGAARLLAHEGPAILIERARGDSLRQRSIEGDDDACTAILCQVLQRLHRPRSAPPAELVCLRTWFADLLQPRAVLPPLLEQCRSLAEGLLHDEQEIRPLHGDLHHDNVLDFGPRGWLAIDPKRLLGDRAFDYTTMFSNPDLCGPGIHVATRPERFAIRLEQVCALSELERTRLLRWIAASTALSAVWFRDDGDPADIDETVARMALEALAEK ->ARGMiner~~~APH(3')-IIc~~~WP_012479999.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIc~~~WP_012479999.1~~~aminoglycoside~~~unknown MEAPNPFTDGMPLPWAWQEALADARVERQSIGVSRADVARVQRPGQADAFVKSEVIDAFSELGDEIARLRWLQEQGQPAPTVIATAEEAGRRWLLMSALPGRDLASSPELAPQQLVELLADALRGLHALPLAACPFDQRLHLRLQAAQARVEAGLVDADDFDDERLGQSPQQVFAELCSTRPDHEDLVVSHGDACLPNLMVVEGRFSGFIDCGRLGVADRYQDLALAARSLVHNFGDTRWVAPLFQRYGAVADERRLAFYRLLDEFF ->ARGMiner~~~catII~~~WP_012477888.1~~~phenicol unknown +>ARGMiner~~~catII~~~WP_012477888.1~~~phenicol~~~unknown MNFTRIDLNTWNRREHFALYRQQIKCGFSLTTKLDITALRTALAETGYKFYPLMIYLISRAVNQFPEFRMALKDNELIYWDQSDPVFTVFHKETETFSALSCRYFPDLSEFMAGYNAVTAEYQHDTRLFPQGNLPENHLNISSLPWVSFDGFNLNITGNDDYFAPVFTMAKFQQEGDRVLLPVSVQVHHAVCDGFHAARFINTLQLMCDNILK ->ARGMiner~~~APH(3')-Ia~~~WP_012477822.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~WP_012477822.1~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNLDADLYGYKWARDNVGQSGATIYRLYGKPDAPELFLKHGKGSVANDVTDEMVRLNWLTAFMPLPTIKHFIRTPDDAWLLTTALPGKTAFQVLEEYPDSGENIVDALAAFLRRLHSIPVSNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDRAILWNCLGEFSPSLQKRLFQKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~TEM-135~~~WP_012477595.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-135~~~WP_012477595.1~~~monobactam;cephalosporin;penam;penem~~~unknown MKKIRLIIISLLAGMCTPALSTPVNVTDTIQSTEDHIKGRVGFTEIDFLSGKVLSSHRREERFPMMSTFKVLLCGAILVRVDKGLEQLERRITYNKHDLDDYSPLTSQHIADGMTVSELCNAAITTSDNTAANLLLSTIGGPEGLTHFLRSTGDSYTRLDRHEPSLNEAKPGDERDTTTPAAMAQTLQKLLNESVLTEKSRKKLISWMQEDKVGGPLFRSVLPAGWMIADKTGAGDHGSRGIVALLGPGGKPSRIVVLYITNTHSSMNELNEHIAGIGDSVIKNW ->ARGMiner~~~tet(L)~~~WP_012477450.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~WP_012477450.1~~~tetracycline~~~unknown MNTSYSQSNLRHNQILIWLCVLSFFSVLNEMVLNVSLPDIANDFNKSPASTNWVNTAFMLTFSIGTALYGKLSDQLGIKRLLLFGIIINCFGSVIGFVGHSFFPILILARFIQGAGAAAFPALVMVVVARYIPKENRGKAFGLIGSIVAMGEGIGPAIGGMIAHFIHWSYLLLIPMMTIITVPFLIKLLKKEVRIKGHFDIVGIILMSVGIVFFMLFTTSYNISFLIISILSFLIFVKHIRKVTEPFVEPALGKNISFIIGVLCGGLIFGTVAGFISMVPYMMKDVHQLSTAAIGSVIIFPGAMSVIIFGYIGGLLVDRKGPLYVLTIGVTFLSVSFLIAAFFLEVTPWLLTIILVFVFGGLSFTKTVISTIVSSSLKQKEAGAGMSLLNFTSFLSEGIGIAIVGGLLSVRLLNQKLLPMDLDQSTYLYSNMLFLFTGVVVTSWLITLNVYKRRQIQFKS ->ARGMiner~~~APH(3'')-Ia~~~WP_012377648.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3'')-Ia~~~WP_012377648.1~~~aminoglycoside~~~unknown MSDHPGPGAVTPELFGVGGDWLAVTAGESGASVFRAADATRYAKCVPAADAAGLEAERDRIAWLSGQGVPGPRVLDWYAGDAGACLVTRAVPGVPADRVGADDLRTAWGAVADAVRRLHEVPVASCPFRRGLDSVVDAARDVVARGAVHPEFLPVEQRLAPPAELLARLTGELARRRDQEAADTVVCHGDLCLPNIVLHPETLEVSGFIDLGRLGAADRHADLALLLANARETWVDEERARFADAAFAERYGIAPDPERLRFYLHLDPLTWG ->ARGMiner~~~tet(L)~~~WP_012290101.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~WP_012290101.1~~~tetracycline~~~unknown MNTSYSQSNLRHNQILIWLCVLSFFSVLNEMVLNVSLPDIANDFNKSPASTNWVNTAFMLTFSIGTALYGKLSDQLGIKRLLLFGIIINCFGSVIAFVGHSFFPILILARFIQGAGAAAFPALVMVVVARYIPKENRGKAFGLIGSIVAMGEGIGPAIGGMIAHFIHWSYLLLIPMMTIITVPFLIKLLKKEVRIKGHFDIVGIILMSVGIVFFMLFTTSYNISFLIISILSFLIFVKHIRKVTEPFVEPALGKNISFIIGVLCGGLIFGTVAGFISMVPYMMKDVYQLSTAAIGSVIIFPGAMSVIIFGYIGGLLVDRKGPLYVLTIGVTFLSVSFLIAAFFLEVTPWLLTIILVFVFGGLSFTKTVISTIVSSSLKQKEAGAGMSLLNFTSFLSEGMGIAIVGGLLSVRLLNQKLLPMDLDQSTYLYSNMLFLFTGVVVTSWLITLNVYKRRQIQFKS ->ARGMiner~~~BcII~~~WP_012261328.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcII~~~WP_012261328.1~~~cephalosporin;penam~~~unknown MKKNTLLKLGVCVSLLGTIQFVSTISSVKAEQKLEQKVIKNETGTISISQLNKNVWVHTELGYFNGVAVPSSGLVLTTSKGLVLVDSSWDDKLTKELIEMVEKKFKKRVTDVIITHAHADRIGGIKTLKERGIKAHSTALTAELAKKNGYEEPLGDLQTITNMKFGNIKVETFYPGKGHTEDNIVVWLPQYNILAGGCLVKSAEAKDLGNVADAYVNEWSTSIENVLKRYGNMNLVVPGHGEVGDRGLFLHTLDLLK ->ARGMiner~~~SPG-1~~~WP_012145975.1~~~carbapenem unknown +>ARGMiner~~~SPG-1~~~WP_012145975.1~~~carbapenem~~~unknown MKKNLGYLTAMTVALCFGAQAQLNPAQPLSSAPPYSLFEQWAQPVAPFQMFPHVYYVGTRNLSSVLLSTPEGLILIDAALDASAPAIRAHIEALGFNIKDLRYILNSHARLDQAGGIARLQVWSGAKVVASAANAQQLALGGKQDFALGDALSFPPVKVDIIVGEGDSITLGDLKLTALMTPGHLPGATSWLTTLHQGGQSYRLVYADSLATPDYYLIDNKNYPSLVQDIRGSFARLAQQQADIFIVNKGTRFDLDNKMQRLQAGDLDAFVDRQGLQQYVQQSQQTFEAQLKQQQSKM ->ARGMiner~~~mefA~~~WP_012102963.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_012102963.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPIWMVMVVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAMIASITVAIVRIPKLGDQVQSLKPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMEYFNGTPMHISITEIAYASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFIADRIGVNHWFLLSGILIICIAIVCPMITEIRKLDLKQNS ->ARGMiner~~~PDC-7~~~WP_012074328.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_012074328.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHATILNLCGLAASTLFFATTSAFATEAPAERLKALVDAAVQPVMKANDIPGLAVAITLKGEPHYFSYGVASKEDARKVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASRHWPALQGSRFDGISLLDLGTYSAGGLPLQFPDAVQKDPAQIRDYYRQWQPTYAPGSHRQYSNPSIGLFGYLAARSLGQPFERSMERQLFPALGLEHTFIRVPAAQQGLYAQGYGKDDRPLRVGPGPLDAEAYGLKSSAADLLRFVEANLHPERLEKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPIDLKRLQAGNSAPMALQAHKVARLPAPQALDGQRLLNKTGSTNGFGAYLAFIPGRDVGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLVR ->ARGMiner~~~floR~~~WP_011931116.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_011931116.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGVLIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTYFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRPRGAATEKSPVV ->ARGMiner~~~tet(E)~~~WP_011899270.1~~~tetracycline unknown +>ARGMiner~~~tet(E)~~~WP_011899270.1~~~tetracycline~~~unknown MNRTVMMALVIIFLDAIGIGIIMPVLPALLREFVGKANVAENYGVLLALYAMMQVIFAPLLGRWSDRIGRRPVLLLSLLGATLDYALMATASVVWVLYLGRLIAGITGATGAVAASTIADVTPEESRTHWFGMMGACFGGGMIAGPVIGGFAGQLSVQAPFMFAAAINGLAFLVSLFILHETHNANQVSDEIKNETINETTSSIREMISPLSGLLVVFFIIQLIGQIPATLWVLFGEERFAWDGVMVGVSLAVFGLTHALFQGLAAGFIAKHLGEQRAIVVGILADGCGLLLLAVITQSWMVWPVVLLLACGGITLPALQGIISVRVGQVAQGQLQGLLTSLTHLTGVIGPLIFAFLYSATHESWNGWVWIVGCGLYVVALTILRFFYPGRAVHPKNQSNSQPFL ->ARGMiner~~~dfrA3~~~WP_011872849.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA3~~~WP_011872849.1~~~diaminopyrimidine~~~unknown MNQNRDDHNRAADREKTAEKRGENQCIISLILAMADNGTIGDKNALPWHLPNDLQFLKKSTMGKPIVMGRKTYQSIGRPLPGRTNVVISRSLEKEALPGCLIYSDLSVAIAALKKEPEVEEIMIMGGAQIYRAALPMMDRLYLTHVHANIEGDTQMPPFDFSHATLIFEEKHFKDEKNRYDYTFEIWDFKK ->ARGMiner~~~ErmB~~~WP_011861426.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_011861426.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNTRVTLIHQDILQFQFPNKQRYKIVGSIPYHLSTQIIKKVVFESRASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIKQLLKLPAECFHPKPKVNSALIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKYAKVNDLSTVTYEQVLSIFNSYLLFNGRK ->ARGMiner~~~vanG~~~WP_011861276.1~~~glycopeptide unknown +>ARGMiner~~~vanG~~~WP_011861276.1~~~glycopeptide~~~unknown MNNKKIAVIFGGNSTEYDVSLQSAFAVLENLNTEKYDILPIGITREGNWYHYIGDYNNIQNNTWFERDTDIIPVIVSQNRVKNGIIEFLISDAVSQNHIPNSAIESCENDIKYTKLDFVFPVLHGKNGEDGTVQGLFELAGIQVIGCGTLSSALCMDKDKSHKLVHSEGIKVPKSILLKYSDKFNVAELSKDLTYPLFIKPVRAGSSFGISKIYKKEELQVAVDLAFEHDNEVIIEENIDGFEVGCAVLGNNDLLVGRVDEIELSKGFFDYTEKYTLKSSKIHMPARIDLDCEKRIQETAKIIYRTLGCSGFARVDMFLTPSGEIIFNEVNTIPGFTSHSRYPNMMKGIGLSFEEILDKIIEVYIK ->ARGMiner~~~vanSG~~~WP_011861275.1~~~glycopeptide unknown +>ARGMiner~~~vanSG~~~WP_011861275.1~~~glycopeptide~~~unknown MKSKNIKVNPDFTQVRNKIFMRTALMAFIAIIFIWILYSFILYGKFSIWIVSFFENAFRMEYKAALNLYQQIFRNSMELFMILAMVIVFFIIFRVYLNWFTKYFSEINQGIDSLIKEDVGEVALSPELLAIEKKINSIKHILEQRKFETQMAEQRKNELIVYLAHDLKTPLTSVIGYLTLLRDESQISEELRKKYLSISLDKAERLEDLINEFFEITRFNLSNISLEYSTVSLTRMLEQLTYEFKPTLMSKNLKYTLNVTPNMMIRCDVNKMQRVFDNLLRNAVYYSFEDTTIEITAVQEDDYIKLKFINHSNMIPEEKLERIFEQFYRLDTSRNSNSGRAGLGLAIAKEIVELHNGTITAHSENNIITFKVIIPIVGNL ->ARGMiner~~~y56 beta-lactamase~~~WP_011816299.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_011816299.1~~~cephalosporin;penam~~~unknown MKHSSLRRSLLLAGITLPLVNFALPTWAAAIPGSLDKQLAALEHSANGRLGIAMINSGAGTKILYRGAQRFPFCSTFKFMLAAAVLDQSQSQPNLLNKHINYHESDLLSYAPITRKNLACGMTVSELCAATIQYSDNTAANLLIKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASMNKLVLGDALRPAQRSQLAAWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATQIILSQIS ->ARGMiner~~~AAC(3)-Ic~~~WP_011749610.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ic~~~WP_011749610.1~~~aminoglycoside~~~unknown MSVSIRCLGRSDVSVLRQMNALFGDAFDDPDTYLGEPPDDAYLSGLLAGDHLIALAAMDGAVVVGGLIAYELEKFERARSEIYIYDLAVAETHRRQGIATALIGTLQRIAAERGAWVVYVQADYGDEPAIALYTGLGKREDVLHFDIPVDAG ->ARGMiner~~~LRA-10~~~WP_011729443.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-10~~~WP_011729443.1~~~cephalosporin;penam~~~unknown MFTAGRICAALIVLVALISAAPTASADPSAAVARAFAPLLDQYDVPGMAVAVTVDGRQHFYEFGVVSKQTQAPVTRDTLFEIGSVSKTFTATLAGYAATRGVLNLDDHPGRYLPALAGTPIDRAELRNLGTYTAGGLPLQFPESVTDDEQMIAYFQQFQPVTAPGKIRQYSNPSVGLLGHISARALGGQFTDLMQSQILTGLGLRRSFVDVTDEAMDFYAWGYDKKNHPVRVNPGVFDAEAYGVKSTTADMIRFIEHNIDPGALEPTLREAVKSTQVGYYKVGPMVQDLGWEQYPYPVALDQLLAGNSGEMAMSPQAATAIAPPSVGSALFNKTGSTDGFGAYAAFVPERRIGIVMLANKNFPIPARVTAAHTVLDALDA ->ARGMiner~~~blaF~~~WP_011728509.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_011728509.1~~~penam~~~unknown MTNLSRRSVLIGSLAVMAAAGVRMPTASAAPVDDRIADLERRNNASIGIYAVDLDSNRTVAHRADDSFAMCSTFKAYLAARILRGAERGELSLDDRVFVDPAALLSNSPITETHAGGEMTLAELCQAALQRSDNAAANLLLKQIGGPAEITAFARSIGDQRTRLDRWETELNSAVPGDPRDTSTPAALAGGFRAVLTGDVLAPPQRQLLDEWMRANETSSLRAGLPDGWTSADKTGSGDYGSTNDVGIAYGPQGQRILLALMVRTRGDDPNADGFRPLIGELTALVLPELGVH ->ARGMiner~~~MCR-4~~~WP_011638903.1~~~peptide unknown +>ARGMiner~~~MCR-4~~~WP_011638903.1~~~peptide~~~unknown MISRFKTLSVNQFTFITALFYVAIFNLPLFGIVRKGIEKQPEVDPLFIASMPLFLTFALSFLFSIFTVKYLLKPFFIVLTLLSSSVFFAAYQYNVVFDYGMIENTFQTHPAEALMYVNLASITNLLLTGLLPSYLIYKADIHYQPFFKELLHKLAFMLLMFVGIGIVAFFYYQDYAAFGRNNSELRRYIVPTYFVSSASKYLNEHYLQTPMEYQQLGLDAKNASRNPNTKPNLLVFVVGETARSMSYQYYGYNKPTNAHTQNQGLIAFNDTSSCGTATAVSLPCMFSRMGRADYDPRRANAQDTVIDVLSHSGIKVQWFDNDSGCKGVCDQVENLTIDLKSDPKLCSGQYCFDQVLLNKLDKILAVAPSQDTVIFLHIIGSHGPTYYLRYPPEHRKFIPDCPRSDIQNCSQEELINTYDNTILYTDFILSEVVNKLKGKQDMFDTAMLYLSDHGESLGEKGMYLHGAPYSIAPKEQTSVPMLAWVSNDFSQDNQLNMTCVAQRAEQGGFSHDNLFDSLLGLMNVKTTVYQSQLDIFAPCRY ->ARGMiner~~~VIM-33~~~WP_011550565.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-33~~~WP_011550565.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MTLFPLRWFCAGALLITLSTACTRSTPAATVERNIPVKAQSQGPDEYVLADDVSVRKLAPGVWLHITVVTLEPFGRVSTNGLIIEDGETSLLVDTGWDARQGALLLDWARDTLRRPVRAAVVTHFHEDRLGGVPALAPHGIPVHGLEETARIATSLGLPGPTETFAKTSTVDSLELFFPGAGHAKDNIVVWHRDSGVLFGGCFVKDGASTNLGNVADADVAAWPASLSRTRQRFPEARVVVPGHGQPGGPELLGHTEALLR ->ARGMiner~~~AAC(3)-Ib~~~WP_011540937.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ib~~~WP_011540937.1~~~aminoglycoside~~~unknown MIVRRLGPGDIAAVRALNAVYGAAFDDPETYRADRPDDAWLARQLGREGVIVLVAELDGNIVGGLTAYELPKLEAARSEIYLYDLAVDAAHRRCGIATALIGELQHIAAETGAWAVFVQADHGDDPAVALYTGLGAREDVMHFDLPPRPRGA ->ARGMiner~~~tet(W/N/W)~~~WP_011526261.1~~~tetracycline unknown +>ARGMiner~~~tet(W/N/W)~~~WP_011526261.1~~~tetracycline~~~unknown MNIINIGILAHVDAGKTTLTESLLYASGTISEPGSVEKGTTRTDTMFLERQRGITIQTAVTSFQWHSCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVLSAKDGVQAQTRVLFHALRKLNIPTIIFINKIDQVGIDLESVYQSVRDKLSADIIIKQTVSLSSKITLTENTSAEVWDSVIENNDELLAKYIAGESISQKELAQEEQRRVQDASLLPVYHGSAKNGLGIQQLMDAVIGLFQSTKEQGSAALCGRVFKVEYTDCGQRLVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAHKGEIVILPSDSLRLNDILGDKTQLPREMWSDVPFPMLRTTITPKTAEQRDRLLDALTQIADTDPLLHYEVDSTTHEIILSFLGRMQLEVVSALLTEKYKIETAVKEPTVIYLERPLKVASHTIHIEVPPNPFWASIGLSVTPLPLGSGVKYESRVSLGYLNQSFQNAVMDGIRYGLGQGLCGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKKSGTQLLEPYLSFTLYAPQEYLSRAYHDAPKYCATIETAQIKKDEVVFTGEIPARCIQAYRTDLAFYTNGRSVCLTELKGYQATVGEPIIQPRRPNSRLDKVRHMFSKIP ->ARGMiner~~~IMP-11~~~WP_011497575.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-11~~~WP_011497575.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MRFILCLLLMVSSLTYAAQGPSKLKIVQLSDIVYQHTSLSDGDAYGVMAANGLIVIVGKQAYLIDTPWTEADTNTLIQWVTVKGLTIGGVIVTHFHQDSSGGLAVLNKLKINTYATTLTNQLLKANNREPASNEITSETFDLVPGVIQAYYPGAGHTQDNLVVWLAKSNLLFGGCLVQSFNNRKLLNIEDASIKDWPKSLQNVMVKYPNIDMVIPGHGQIGDVSLLELTQQLARKAL ->ARGMiner~~~AAC(3)-Id~~~WP_011468318.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Id~~~WP_011468318.1~~~aminoglycoside~~~unknown MPLEIKQITENELSQMEALSAVFGEVFSDKETYTENKPSADYVRSLLSSDYFIALAALDESKVIGGLAAYELKKFEQERSEIYIYDLAVIAAHRRKGVAIALIERLKIIAAERGAYVIYVQADTGPEDKPAIELYSKLGVQEEVLHFDIEVKGNHGVT ->ARGMiner~~~ErmC~~~WP_011282421.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_011282421.1~~~macrolide;lincosamide;streptogramin~~~unknown MNEKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKIVFDSIADEIYLIVEYGFAKRLLNTKRSLALFLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVDKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~ErmC~~~WP_011276921.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_011276921.1~~~macrolide;lincosamide;streptogramin~~~unknown MNEKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGDFTLELVQRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKIVFDSIADEIYLIVEYGFAKRLLNTKRSLALFLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVNKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~FosB3~~~WP_011276918.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_011276918.1~~~fosfomycin~~~unknown MIKGINHITYSVSNIAKSIEFYRDILGADILVESETSAYFNLGGIWLALNEEKNIPRSEIKYSYTHIAFTISDNDFEDWYNWLKENEVNILEGRDRDIRDKKSIYFTDLDGHKLELHTGSLEDRLSYYKEAKPHMNFYI ->ARGMiner~~~AER-1~~~WP_011270171.1~~~penam unknown +>ARGMiner~~~AER-1~~~WP_011270171.1~~~penam~~~unknown MKFQCHFLSVPVAILGCVGLICTSAYAMDTGILDLAVTQEETTLQARVGVAVIDTDSGLTWQHRGDERFPLNSTHKAFSCAAVLAQADRHKLNLEQAIPIERTALVTYSPVTERVPPGGTLTLRELCRAAVSISDNTAANLALDAIGGARTFTAFMRSIGDDKTRLDRREPELNEATPGDARDTTTPIAAARSLQTLLLDGVLSAPARNELTQWMLGDQVADALLRAGLPRDWQIADKSGAGGHGSRSIIAVVWPPKRSAVIVAIYITQTAASMSASNQAVSRIGSALAKALQ ->ARGMiner~~~AAC(3)-Ia~~~WP_011270148.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~WP_011270148.1~~~aminoglycoside~~~unknown MGIIRTCRLGPDQVQSMRAALDLFGREFGDVASYSQHQPDSDYLAKLLHSRTFIALAAFDQESVVGALAAYVLPKFEQARSEIYIYDLAVSGEHRRQGIATALINLLKQEANALGAYVIYVQADYGDDPAVALYTKLGIREDVMHFDIDPSTAT ->ARGMiner~~~APH(9)-Ia~~~WP_011215574.1~~~aminoglycoside unknown +>ARGMiner~~~APH(9)-Ia~~~WP_011215574.1~~~aminoglycoside~~~unknown MLRNNIPDQHLIALLKVYYGIDIHTVQLIVGGADMNAFGYKADSESNSYFVKLKYGHHDEINLSIIRLLHDSGIKEIIFPIYTRDTKLFQQIDHFKIIVYPFINAPNGFTQNLTEKQWHQLGKVLRQIHETSVPTAIQQRLRKETYSPKWREMVRSFYNKIGFDDSDDQITTDFKTFFNQNIDSIHRLVDSSEELSKKIQPDLDKYVLCHSDVHAGNVLVVNEESIYIIDWDEPMLAPKECDLMFIGGGIGNVWNKHHEINYFYEGYGKTNVDKIILSYYRHERIVEDIAVYGQDLLSRDQNDESRLESFKHFKSMFTPNDVVEIAFSSD ->ARGMiner~~~iri~~~WP_011210071.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_011210071.1~~~rifamycin~~~unknown MIDVIIAGGGPTGLMLAGELRLHGVRTVVLEKEPTPNQHSRSRGLHARSIEVMDQRGLLERFLAHGEQFRVGGFFAGLAAEWPADLDTAHSYVLAIPQVVTERLLTEHATELGAEIRRGCEVAGLDQDADGVTAELADGTRLRARYLVGCDGGRSTVRRLLGVDFPGEPTRVETLLADVRIDVPVETLTAVVAEVRKTQLRFGAVPAGDGFFRLIVPAQGLSADRAAPTLDELKRCLHATAGTDFGVHSPRWLSRFGDATRLAERYRTGRVLLAGDAAHIHPPTGGQGLNLGIQDAFNLGWKLAAAIGGWAPPDLLDSYHDERHPVAAEVLDNTRAQMTLLSLDPGPRAVRRLMAELVEFPDVNRHLIEKITAIAVRYDLGDGHDLVGRRLRDIPLTEGRLYERMRGGRGLLLDRTGRLSVSGWSDRVDHLADPGAALDVPAALLRPDGHVAWVGEDQDDLLAHLPRWFGAAT ->ARGMiner~~~tetO~~~WP_011187228.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_011187228.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMYVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMIQTTIAVKKSEQREILLGALTEISDCDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~ErmX~~~WP_011117480.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmX~~~WP_011117480.1~~~macrolide;lincosamide;streptogramin~~~unknown MSAYGHGRHEHGQNFLTNHKIINSIIDLVKQTSGPIIEIGPGSGALTHPMAHLGRAITAVEVDAKLAAKITQETSSAAVEVVHDDFLNFRLPATPCVIVGNIPFHLTTAILRKLLHAPAWTDAVLLMQWEVARRRAGVGASTMMTAQWSPWFTFHLGSRVPRSAFRPQPNVDGGILVIRRVGDPKIPIEQRKAFQAMVHTVFTARGRGIGEILRRAGLFSSRSETQSWLRSRGIDPATLPPRLHTNDWIDLFQVTGSSLPHHRPISPSGSSQRPPQRKNRSRRR ->ARGMiner~~~ErmT~~~WP_011117197.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmT~~~WP_011117197.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKKNIKDSQNFITSKHHINEILRNVHLNTNDNIIEIGSGKGHFTLELAKRCNYVTAIEIDPKLCRITKNKLIEYENFQVINKDILQFKFPKNKSYKIYGNIPYNISTDIIRKIVFESTATESYLIVEYGFAKRLLNTNRSLALFLMTEVDISILSKIPREYFHPKPRVNSSLIVLKRHPSKISLKDRKQYENFVMKWVNKEYRKLFSKNQFYQALKYARIDDLNNISFEQFLSLFNSYKLFNR ->ARGMiner~~~tetM~~~WP_011117014.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_011117014.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVRPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~novA~~~WP_011116970.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_011116970.1~~~aminocoumarin~~~unknown MAPDYARTDAVAPASVKETFAYLSALPNALDRRWWAGLLLIQALIVAVYTTQSNLFGRSVDPLTGGEVPLLGTGTRAFVWTVGLALACMLVEMFLRALGNYVVGLKVARASIDLRRRCLDAILRAPVPRVMELGTGNVITRMTKDIDDVVQTITAIGSRVLTTVFVFPITFIGLLLIDVRFALILLLICICTYPFARAVVRAIPDASNAVSVAEARRNAVLLDTVRGLPTLRAFDLERWALARMRRTSWGAVEAEMDRVHWFIRLTGIGQVAFAAWVLLTLGVGAWLASAGVVTRAAQRAGRPLCHARGGTTTALVGTSGAGKSTLAALIAGLVEPTAGSIRVGAVETSQVSDTWTAKNVTLLTQDVHLFAGTLREDLSMAAQDATDADLLRALASVGLDPEGTQFARLFPKGLDTAVGAGAEDIPPEVEQQLALARVALSGPKVLILDEATAEAGSDATNALEDAAARITADTTALVVAHRLDQAAAADRILVMDAGRIIEDGTHTELVAADGRYAQLFAAWSGGGH ->ARGMiner~~~cmx~~~WP_011113071.1~~~phenicol unknown +>ARGMiner~~~cmx~~~WP_011113071.1~~~phenicol~~~unknown MPFALYMLALAVFVMGTSEFMLAGLLPAIATELDVSVGTAGLLTSAFAVGMVVGAPVMAAFARRWPPRLTLIVCLLVFAGSHVIGAMTPVFSLLLITRVLSALANTGFLAVALSTATTLVPANQKGRALSILLSGTTIATVVGVPAGALLGTALGWRTTFWAIAILCIPAAVGVIRGVTNNVGRSETSATSPRLRVELSQLATPRLILAMALGALINGGTFAAFTFLAPIVTETAGLAEAWVSVALVMFGIGSFLGVTIAGRLSDQRPGLVLAVGGPLLLTGWIVLAVVASHPVALIVLVLVQGFLSFGVGSTLITRVLYAASGAPTMGGSYATAALNIGAAAGPVLGALGLATGLGLLAPVWVASVLTAIALVIMLLTRRALTKTAAEAN ->ARGMiner~~~BJP-1 beta-lactamase~~~WP_011094382.1~~~carbapenem unknown +>ARGMiner~~~BJP-1 beta-lactamase~~~WP_011094382.1~~~carbapenem~~~unknown MKNQRLTPLAAFLLLISVAATAHTLPSQGTETKGAETNSPAITSLTGCGPSSAINQFFNQFGSSGKMPAELGRWLNDAKAQAVEPYQVFDNVYYVGICWVSAWLIKTSEGPVLIDTLYGEFTDQLMDNIKKIGVEPSDIKMVLLTHGHFDHVGGVSKLKSLTNARFVMSEEGWKEAQADAKKTLGKPSAWAMPDPATTDILVKDGDALTVGDTTFHAYITPGHTWGTTSYVLDVKERNNTYHAITIGGLGLNAIDGPQQVEAYIRSIDRIKVMVEDSKHPITVHLTAHPFSNGQIEMQNQLKVHQPDQPHPMVDAKGLLKQLATLRAGAIERLAVEQARIQK ->ARGMiner~~~BJP-1 beta-lactamase~~~WP_011088970.1~~~carbapenem unknown +>ARGMiner~~~BJP-1 beta-lactamase~~~WP_011088970.1~~~carbapenem~~~unknown MRRLTAALCALTLLSTGAQAQTIKDFLAVAMKKWTAPFEPFQLIDNIYYVGTDGIAVYVIKTSQGLILMDTAMPQSTGMIKDNIAKLGFKVADIKLILNTHAHLDHTGGFAEIKKETGAQLVAGERDKPLLEGGYYPGDEKNEDLAFPAVKVDRAVKEGDRVTLGDTTLTAHATPGHSPGCTSWEMTVKDGKEDREVLFFCSGTVALNRLVGQPTYAGIVDDYRATFAKAKAMKIDVLLGPHPEVYGMQAKRAEMKDGAPNPFIKPGELVTYATSLSEDFDKQLAKQTAALEKK ->ARGMiner~~~APH(3')-Ia~~~WP_011039766.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~WP_011039766.1~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNLDADLYGYRWARDNVGQSGATIYRLYGKPDAPELFLKHGKGSVANDVTDEMVRLNWLTAFMPLPTIKHFIRTPDDAWLLTTAIPGKTAFQVLEEYPDSGENIVDALAAFLRRLHSIPVCNCPFNSDRVFRLTQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRFFYKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~vanXO~~~WP_011029111.1~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~WP_011029111.1~~~glycopeptide~~~unknown MTGDFAFVDELVSGIRWDAKYATWDNFTGKPVDGYLANRIVGTKALCAALGRAQERAEDLGFGLLLWDGYRPQRAVDCFLRWSQQPEDGRTKARHYPNIGRAEMFDRGYVAARSGHSRGATVDLTLYHLTTGELAAMGGGHDLMDPISHHDARDVPRAEAANRRHLRSIMAACGFASYACEWWHYTLKEEPHPDTYFDFPIA ->ARGMiner~~~vanI~~~WP_011029110.1~~~glycopeptide unknown +>ARGMiner~~~vanI~~~WP_011029110.1~~~glycopeptide~~~unknown MARLKVGIVFGGSSEEHAVSVKSAQEVARNLDTEKYQPFFVGITKDGAWRLCDGPGQDWENGDCRPVVLSPDRSVHGLLVLEQGQYRSVRLDVVLPVLHGTLGEDGATQGLLELSGIPYVGCDVQSSALCMDKSLAYVVARSAGIATPDFWTVTGDETIDPGRLTYPVFVKPARSGSSFGVSKVCRPEDLATAVESARRYDTKVLIEAAVVGSEVGCAILGNDPDLIVGEVDRIALSHGFFRIHQEEQPENGSENSTPVVPADIPTEKRSLVQETAKAVYRALGCRGLSRVDMFLKEDGEVVLNEVNTLPGMTSYSRYPRMMAAAGLPLSEVIDRTLSLALTGKLR ->ARGMiner~~~vanHO~~~WP_011029109.1~~~glycopeptide unknown +>ARGMiner~~~vanHO~~~WP_011029109.1~~~glycopeptide~~~unknown MTFSSTSSAPPPSPLLPATRITVYGCGRDEAALFRRTAPRFGVEATLTEAAVSEENAEMAAGNQCISIDHKTPVTPATLRALHRAGVTYISTRSIGYNHIDVTYAAGVGISVENVTYSPAGVADYTLMLMLMAVRNAKSTVRRAELHDYRLNEIRGKELRDLTVGVIGTGRIGAAVVDRLRGFGSRVLAYGKRPTIAADYVSLDELLRSSDIVSLHVPLTPDTHHLLDQSRIRRMKSGAFVINTGRGPLIDTEALVPALESGRLSGAALDVIEGEEGIFYADCRNRTIESTWLPRLQKMPNVLISPHTAYYTDHALMDTVENSIINCLNFGSRKQHG ->ARGMiner~~~vanKI~~~WP_011029108.1~~~glycopeptide unknown +>ARGMiner~~~vanKI~~~WP_011029108.1~~~glycopeptide~~~unknown MAYSEPVRTTAPTRPTVRQISAAEHLAFVRAQRSVSFLQTPAWGRVKTEWRSESLGWFDGGRLVGAGLVLHRPVPRLERFTLAYLPEGPVIDWTGDIDAWLDPLAAYLKARGAFAIRLGPPVCTDVWSADEIKEGIADPGTRRLTGLPTRWTDPVGAHVTDRLRDAGWLPQSPEDGFGVGHPQFKYEVPLAGRTEDDLLKGMNQLWRRNIRKAAKEGVEVTLGDATPGYAAAGEAAVADDVKAFHDLYVHTAERDRFTPRPLRYFATMFAVLGAEDPERIKLYLARHRGDLVAATVMVRVGTHAVYAYGASSTGKREVRGSNACQWAMIRDALAADCDVYDLRGITPTLDADDPHVGLIKFKVGTGGRAVRYVGEWDLPLRPLVYRTFDLYMKRRGR ->ARGMiner~~~ErmB~~~WP_011018369.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_011018369.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNTRVTLIHQDILQFQFPNKQRYKIVGNIPYHLSTQIIKKVVFESRASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPKVNSVLIKLTRHTTDVPDKYWKLYKYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTITYEQVLSIFNSYLLFNGRKQLPIF ->ARGMiner~~~cepA beta-lactamase~~~WP_010992371.1~~~cephalosporin unknown +>ARGMiner~~~cepA beta-lactamase~~~WP_010992371.1~~~cephalosporin~~~unknown MQKRLIHLSIIFFLLCPALVVAQNSPLETQLKKAIEGKKAEIGIAVIIDGQDTITVNNDIHYPMMSVFKFHQALALADYMHHQKQPLKTRLLIKKSDLKPDTYSPLRETYPQGGIEMSIADLLKYTLQQSDNNACDILFNYQGGPDAVNKYLHSLGIRECAVIHTENDMHENLEFCYQNWTTPLAAAKLLEIFRNENLFDKEYKNFIYQTMVECQTGQDRLIAPLLDKKVTMGHKTGTGDRNAKGQQIGCNDIGFILLPDGHVYSIAVFVKDSEADNRENSEIIAEISRIVYEYVTQQID ->ARGMiner~~~FosX~~~WP_010989789.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_010989789.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLREIFNAEEIYSSGDQTFSLSKEKFFLIAGLWICIMEGDSLQEQTYNHIAFRIQSEEVDEYIERIKSLGVEIKPERPRVEGEGRSIYFYDFDNHLFELHAGTLEERLKRYHE ->ARGMiner~~~vgaD~~~WP_010989615.1~~~streptogramin;pleuromutilin unknown +>ARGMiner~~~vgaD~~~WP_010989615.1~~~streptogramin;pleuromutilin~~~unknown MSTIEINQLKIEVADRVLVEIPHLLVSKKARIGIIGQNGLGKTTLMEVIAGAKEATSGTVTTQGKLAYIKQLSTDTSTKSGGEKTRKATQHAMRQNPSVLLADEPTSNLDVESVKHLERQWSDFHGALIIISHDRAFLDALCTEIWEIKNQKIHVYKGNYHAYLEQKQQQENQAELAYKEFKNKKKQLQASQTHHEIEAGRIVKPGKRLNNKEASAFKAGKGTQQKKQHSTIKALEKRIERLGNVEKPHTTKPIKIITPDNRVIKKGNTILSAKETAYEIAGRKLFETKAFSIKAGDKVALIGENASGKTTFLKEIIQENPNLLCNPQAKIAYFDQELNGLNQTKSLLENISEISVQTKQVNREVLGSMHFKESDLHKEVRMLSGGERVKLLLSMLLLSDANFLILDEPTNYLDIYAMEALETLIKQFAGTVLFVSHDRTFVNHVAEQLLVIENNEMNFHRMTFAEYEESKAPSRITEEDKLILEMRMSEIAAKLMQPNLKPTEKAILEQDYQEIITKRQQFS ->ARGMiner~~~ErmD~~~WP_010896559.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmD~~~WP_010896559.1~~~macrolide;lincosamide;streptogramin~~~unknown MTKKKHKYSNKKLSRGEPPNFSGQHLMHNKKLLQEIVDQAKVSKKDTVLELGAGKGALTTFLSERAKRVLAVEYDQTFIQVLNRKMAHAANTTIIHEDIMRIHLPKGEFVVVSNIPYSITTPIMKKLLSNPVSGFQRGVIVMEKGAAKRFTSPFIKNAYVLAWRMWFDLEYVKGISRECFSPPPKVDSAMVFISRKPDPIVPYKDRSAFFGLAEYALREPKAPADSLLRGIFTATQLKHVKRNAGIKHDVSIGALSERQWGVIFETMTQYVRRPLWPRPRKTTL ->ARGMiner~~~tet(C)~~~WP_010891057.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_010891057.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRGWMAFPIMILLASGGIGMPALQAMLSRQVDDDHQGQLQGSLAALTSLTSITGPLIVTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~cmx~~~WP_010890215.1~~~phenicol unknown +>ARGMiner~~~cmx~~~WP_010890215.1~~~phenicol~~~unknown MPFALYMLALAVFVMGTSEFMLAGLLPAIATELDVSVGTAGLLTSAFAVGMVVGAPVIAAFARRWPPRLTLIVCLLVFAGSHVIGAMTPVFSLLLITRVLSALANAGFLAVALSTATTLVPANQKGRALSILLSGTTIATVVGVPAGALLGTALGWRTTFWAIAILCIPAAVGVIRGVTNNVGRSETSATSPRLRVELSQLATPRLILAMALGALINGGTFAAFTFLAPIVTETAGLAEAWVSVALVMFGIGSFLGVTIAGRLSDQRPGLVLAVGGPLLLTGWIVLAVVASHPVALIVLVLVQGFLSFGVGSTLITRVLYAASGAPTMGGSYATAALNIGAAAGPVLGALGLATGLGLLAPVWVASVLTAIALVIMLLTRRALTKTAAEAN ->ARGMiner~~~sul2~~~WP_010890206.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_010890206.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDTAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~aadA7~~~WP_010794258.1~~~aminoglycoside unknown +>ARGMiner~~~aadA7~~~WP_010794258.1~~~aminoglycoside~~~unknown MSEKVPAEISVQLSQALNVIGRHLESTLLAVHLYGSALDGGLKPYSDIDLLVAVAAPLNDAVRQALLVDLLEVSASPGQNKALRALEVTIVVHSDIVPWRYPARRELQFGEWQRKDILAGIFEPATTDSDLAILLTKAKQHSIVLAGSAAKDLFSSVPESDLFKALADTLKLWNSPPDWAGDERNVVLTLSRIWYTAATGKIAPKDVAATWAMARLPAQHQPILLNAKRAYLGQEEDYLPARADQVAALIKFVKYEAVKLLGASQ ->ARGMiner~~~AAC(6')-Iih~~~WP_010768325.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iih~~~WP_010768325.1~~~aminoglycoside~~~unknown MIITEFDRESIALKNQLTDLLALTWPKDYGETASEKVEELLTDNRIAVAALEDDQLIGFVGAIPQYAMTGWEMHPLVVSSTQRGNRIGARLVQYLEKEVASKGGLTIYLGTDDETNQTTLSEDDLFENPFEKIRQIKNKENHPFEFYEKQGYQIVGVLPDVNGWNKPDILMAKRVGKKVSESNTKQG ->ARGMiner~~~AAC(6')-Iid~~~WP_010752789.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iid~~~WP_010752789.1~~~aminoglycoside~~~unknown MIVTEFDREDPALKVQLADLLEQTWPEAYGGQGAKEVDQLLQKDRIAIVALADDELIGFVGAIPQYDKTGWELHPLVVRDSHRRQRIGARLMTFVEDEIASRGGLVVYLGADDDHQQTSLSQLDGFQDPLQALKEIKNLNHHPFEFYEKQGYQVVGMIPDASGWQKPDILMAKRVAEYPDELKQPEK ->ARGMiner~~~AAC(6')-Iid~~~WP_010750427.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iid~~~WP_010750427.1~~~aminoglycoside~~~unknown MIISEFDRDNLVLRDQLADLLRLTWPDEYGKEPMKEVEQLLTPERIAVSAIEGDELLGFVGAIPQYGKTGWELHPLVVASSHRKQQIGTRLVTYLEKEVASRGGIVIYLGTDDTEGQTSLSEVDLFDQTFEKLKEIKNKNHHPYSFYEKLGYQIVGVIPDANGWYQPDIWMAKRIVKKIK ->ARGMiner~~~vanXYC~~~WP_010749757.1~~~glycopeptide unknown +>ARGMiner~~~vanXYC~~~WP_010749757.1~~~glycopeptide~~~unknown MNPYLQLVSKEFPLEKNQEPPHLVLAAFSEDEVYLQPEAAKQWKRLVKALKLEDEICLLDGYRTEKQQRYLWEYSLKENGLAYTKQFVALPGCSEHQLGLAIDVGLKGSQDDLICPRFRDSAAADLFTQEMMNYGFILRYPADKQEITGIGYEPWHFRYVGLPHSQIIASQQWTLEEYHQYLEQTARQFA ->ARGMiner~~~AAC(6')-Iid~~~WP_010737153.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iid~~~WP_010737153.1~~~aminoglycoside~~~unknown MIISEFDRENIVLRDQLADLLRLTWPDEYGTEPMKEVEQLMAPERIAVSAIEGEELVGFVEAIPQYGKTGWELHPLVVASTHRKQQIGTRLVSYLEKEVASYGGLVIYLGTDDVEGQTNLVETDLFEDTFAKLQEIKNINHHPYTFYEKLGYQIIGVIPDANGWNQPDIWLAKRVAKREPTE ->ARGMiner~~~AAC(6')-Iid~~~WP_010736157.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iid~~~WP_010736157.1~~~aminoglycoside~~~unknown MIISEFDRRNIGLKDQLADLLRLTWPKDYGEQPMKEVEQLLATDRIAVSAVEQDRLVGFIGATPQYGMTGWEIHPLVVETSYRKQYIGSRLVAYVEKEIASKGGVMVYLGTDDTDGDTSLSHTDLFDHPLDKLKSIETFNKHPYTFYEKMGYQVVGAIPDANGINQPDIILAKRLGEINQ ->ARGMiner~~~AAC(6')-Ii~~~WP_010728104.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_010728104.1~~~aminoglycoside~~~unknown MIISEFDRNNPVLKDQLSDLLRLTWPEEYGDSSAEEVEEMMNPERIAVAAVDQDELVGFIGAIPQYGITGWELHPLVVESSRRKNQIGTRLVNYLEKEVASRVGITIYLGTDDLDHGTTLSQTDLYEHTFDKVASIQNLREHPYEFYEKLGYKIVGVLPNANGWDKPDIWMAKTIIPRPDSQ ->ARGMiner~~~AAC(6')-Ii~~~WP_010727362.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_010727362.1~~~aminoglycoside~~~unknown MIISEFDRNNPVLKDQLSDLLRLTWPEEYGDSSAEEVEEMMNPERIAVAAVDQDELVGFIGAIPQYGITGWELHPLVVESSRRKNQIGTRLVNYLEKEVASRGGITIYLGTDDLDHGTTLSQTDLYEHTYDKVASIQNLREHPYEFYEKLGYKIVGVLPNANGWDKPDIWMAKTIIPRPDSQ ->ARGMiner~~~AAC(6')-Ii~~~WP_010723742.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_010723742.1~~~aminoglycoside~~~unknown MIISEFDRNNPVLKDQLSDLLRLTWPEEYGDSSAEEVEEMMNPERIAVAAVDQDELVGFIGAIPQYGITGWELHPLIVESSRRKNQIGTRLVNYLEKEVASRGGITIYLGTDDLDHGTTLSQTDLYEHTFDKVASIQNLREHPYEFYEKLGYKIVGVLPNANGWDKPDIWMAKTIIPRPDSQ ->ARGMiner~~~aadK~~~WP_010711054.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_010711054.1~~~aminoglycoside~~~unknown MRTEKEILNLVSEFAYQRSNVKIIALEGSRTNENIKKDKFQDYDFAFFVSDIECFTHEESWLSLFGELLFIQKPEDMELFPPDLDYGYSYIMYFKDGIKMDITLINLKDLNRYFSDSDGLVKILVDKDNLVIQEIVPDDSNYWLKKPTEREFYDCCNEFWSVSTYVAKGVFRREILFALDHFNNILRPELLRMISWYIGFNRGFDFSLGKNYKFINKYLTDKEFNMFLATFEMNGYRKTYQSFKLCCELFKYYSNKVSCLGNYNYPNYEKNIENFIRNNYEN ->ARGMiner~~~FosA6~~~WP_010494170.1~~~fosfomycin unknown +>ARGMiner~~~FosA6~~~WP_010494170.1~~~fosfomycin~~~unknown MKATGFNHVTIRVSDLSRSLLFYESLLGMKLVHRGRLDVYLEWGSAWICLIERSCESSEKPSYGVDHIAFSITEEDFHDAAVKLQGSGVPIVRGPLERGGGYSINFLDPDGTELELFTGSLAERMKGWS ->ARGMiner~~~RSA-1~~~WP_010337133.1~~~carbapenem;cephalosporin unknown +>ARGMiner~~~RSA-1~~~WP_010337133.1~~~carbapenem;cephalosporin~~~unknown MALRKSLSLAALTACLVVALPLSAAPKKKAPAKKVEEVPTLPTLLPPAPRYLVPQSALTSVRNPKEIEEETGGRMGIALVDREGALILGFNRDDRFAMCSTFKAPLAAAVLIGAQGGKFGLEGEIPFTKDDILDYAPVVKKNKKRGRMSMAELAAAAVEVSDNSAANLLLPMLGGPEGLTSFIRAHGDKITRLDRIEPDLNENIAGDPRDTTSPAAMAGLMGRLLFRDMAAADADRLRGWLNASTTGDKRIKAGLPEGWTSGSKTGSCGTAYNDVALVKSPAGEEYILAIYLDRPTVDDKKAEAAIAEAAHSALEFVSKAQKSGLN ->ARGMiner~~~LRA-1~~~WP_010108817.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~WP_010108817.1~~~cephalosporin;penam~~~unknown MNHSPLRRSLLAAAVFAPLIGACAPLIGNSNGVAAAERQLSELESSFGGRLGFVALDTATGARIAHRADERFPFCSTFKTMLSAAILARSAGDATLLQRRIPYAKRDLVSYSPVTEKHVGDGMTVAELCAAALQYSDNTAANLLIALLGGPQAVTAYARSIGDTTFRLDRRETELNTAIPGDERDTTTPAAMAASLRRLLVGDALGAAQRAQLDTWMLGNTTGAARIRAGVPADWRVADKTGTGDYGTGNDIGVAYPPNRAPIVIVIYTTMRDKNAQARNDVLASAARIAARTFG ->ARGMiner~~~vanZA~~~WP_009889012.1~~~glycopeptide unknown +>ARGMiner~~~vanZA~~~WP_009889012.1~~~glycopeptide~~~unknown MKSRKHNITKGLFIVYIIILTWIILFKLQFDISSLETMNLRSINLVPFAGSLIINNRVDISEIILNVAIFVPFGIYVCMLKEEWSFIKKVIPIFITSLAFETLQYIFALGASDITDLIGNTLGGIIGIAVFMLLSKIFKNNTIKIINVLALIVTIIVVLFLGLVIFANL ->ARGMiner~~~RSA-1~~~WP_009821475.1~~~carbapenem;cephalosporin unknown +>ARGMiner~~~RSA-1~~~WP_009821475.1~~~carbapenem;cephalosporin~~~unknown MKIVTALGALALLAAPASAADRQKTPAQEPAPTGNLDNLMPAAPRYLVPQSALEQVRNPKEIEEETGGRLGVALVDRQGALLLGFNRDERFAMCSTFKAPLAAAVLTGADGGKFGLEGTIPFGKEDVLDYAPVVKKNLKRGRMSMEELARAAVEVSDNSAANLLLPMLGGPEGLTAFMRVHGDDVTRLDRTEPALNENAEGDPRDTTTPAAMAGLMARLFFRDLKAESADRLRAWFNASSTGDKRIKAGLPEGWTSGSKTGSCGTAYNDVALVKSPTGEEYILAIYLDRPTIDAKKAEAAIAEAARAALNFVGQARKSGLE ->ARGMiner~~~AAC(6')-Ir~~~WP_009510932.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ir~~~WP_009510932.1~~~aminoglycoside~~~unknown MKIMPVTEPFLADWLQLRRLLWPDHEDAHLLEMRQLLEQTQTLQLLSYNDQQQAIAMLEASIRYEYVNGTQSSPVAFLEGIYVLPEYRRSGVASALVQQVEHWSKQFACTEFASDAALDNTISHAMHRALGFQETERVVYFKKNIS ->ARGMiner~~~FosA6~~~WP_009486251.1~~~fosfomycin unknown +>ARGMiner~~~FosA6~~~WP_009486251.1~~~fosfomycin~~~unknown MLSGLNHLTLAVSQLAPSVAFYQQLLGMTLHARWDSGAYLSCGDLWLCLSLDPQRRVTPPDESDYTHYAFSISEADFASFAVRLEAAGVAMWKLNRSEGASHYFLDPDGHKLELHVGSLAQRLAACREQPYKGMVFFDQ ->ARGMiner~~~PDC-10~~~WP_009313329.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_009313329.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~AAC(6')-Isa~~~WP_009067544.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_009067544.1~~~aminoglycoside~~~unknown MRADRGGARRGAARRDQGQPTVEGDAEILGRIVREPDVAAWWSPPKDYGRMLAVLAGGEVVGAIRFHEETDPEFRHAGIDVFLAARHHGKGLGTDAVRTLARRLVRERGHHRLVIDPAAANEAAIRSYVKVGFRPVGVLRAYWRDHRTGRWEDGLLMDLLARELT ->ARGMiner~~~AAC(6')-Ig~~~WP_008940904.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ig~~~WP_008940904.1~~~aminoglycoside~~~unknown MNIKPASEASLKDWLELRNKLWSDSESSHLQEMHQLLTEKYALQLLAYSDHQAIAMLEASIRFEYVNGTETSPVGFLEGIYVLPEQRRSGVATMLIRQAEVWAKQFSCTEFASDAALDNVISHAMHRSLGFQETEKVVYFSKKID ->ARGMiner~~~ACC-5~~~WP_008815550.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~ACC-5~~~WP_008815550.1~~~monobactam;cephalosporin;penam~~~unknown MRKKMQNTLKLLSVITCLAATAQGALAANIDESKIKDTVDDLIQPLMQKNNIPGMSVAVTVNGKNYIYNYGLAAKQPQQSVTENTLFEVGSLSKTFAATLASYAQVSGKLSLDQSVSHYVPELRGSSFDHVSVLNVGTHTSGLQLFMPEDIKNTTQLMAYLKAWKPADAAGTHRVYSNIGTGLLGMIAAKSLGVSYEDAIEKTLLPQLGMHHSYLKVPADQMENYAWGYNKKDEPVHVNMEILGNEAYGIKTTSSDLLRYVQANMGQLKLDANAKMQQALTATHTGYFKSGEITQDLMWEQLPYPVSLPNLLTGNDMAMTKSVATPIVPPLPPQENVWINKTGSTNGFGAYIAFVPAKKMGIVMLANKNYSIDQRVTVAYKILSSLEGNK ->ARGMiner~~~cepA beta-lactamase~~~WP_008659027.1~~~cephalosporin unknown +>ARGMiner~~~cepA beta-lactamase~~~WP_008659027.1~~~cephalosporin~~~unknown MQKRLIHLSIIFFLLCPALVVAQNSPLETQLKKAIEGKKAEIGIAVIIDGQDTITVNNDIHYPMMSVFKFHQALALADYMHHQKQPLETRLLIKKSDLKPDTYSPLRETYPQGGIEMSIADLLKYTLQQSDNNACDILFNYQGGPDAVNKYLHSLGIRECAVIHTENDMHKNLEFCYQNWTTPLAAAKLLEIFRNENLFDKEYKNFIYQTMVECQTGQDRLIAPLLDKKVTMGHKTGTGDRNAKGQQIGCNDIGFILLPDGHVYSIAVFVKDSEADNRENSEIIAEISHIVYEYVTQQID ->ARGMiner~~~vanXYC~~~WP_008377360.1~~~glycopeptide unknown +>ARGMiner~~~vanXYC~~~WP_008377360.1~~~glycopeptide~~~unknown MNPYLQLVSKEFPLEKNQEPPHLVLAAFSEEEVYLQPEAAKQWERLVKALKLENEICLLDGYRTEKQQRHLWEYSLKENGLAYTKQFVALPGCSEHQLGLAIDVGLKGQQGDLICPRFRDSAAADLFTQEMMNYGFILRYPADKQEITGIGYEPWHFRYVGLPHSQIIASQQWTLEEYYQYLEQTARQFA ->ARGMiner~~~sul1~~~WP_007929940.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_007929940.1~~~sulfonamide;sulfone~~~unknown MLRSRVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRNARDRGLDHA ->ARGMiner~~~RSA-1~~~WP_007708339.1~~~carbapenem;cephalosporin unknown +>ARGMiner~~~RSA-1~~~WP_007708339.1~~~carbapenem;cephalosporin~~~unknown MAVRKSLSFAALTACLLVAMPLSAAPKKKAPAKKAEDVPVLPTQLPPAPRYLVPQSALTSVRNPKEIEEETGGRMGIALVDRDGALILGFNRDDRFAMCSTFKAPLAAAVLIGAEGGKFGLDGEIPFTKDDILDYAPVVKKNRKRGRMSMAELAQAAVEVSDNSAANLLLPMLGGPEGLTAFMRAHGDKITRLDRNEPALNENIEGDGRDTTSPAAMAGLMSRLLFRDMQAADADRLRGWLNASTTGDKRIKAGLPEGWTSGSKTGSCGTAYNDVALVKAPSGEEYILAIYLDRPTVDQKAAEAAIAEAAHSALEFVSKAKKSGLD ->ARGMiner~~~BEL-1~~~WP_007688792.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~BEL-1~~~WP_007688792.1~~~monobactam;cephalosporin;penam~~~unknown MPRRLIFAALLLAAPAAAQQEAPRPSLLPPPPRYMVSESAMRSVRNPKEIETESGGRLGVALVDGKGALILGFNRDERFAMCSTFKAPLAAAVLAGAEGGKFGLEGQISFAKADLLDYAPVVRKNVKRGRMSMEELAAAAVEVSDNSAANLLLPMVGGPEGLTRFIRAHDDMVTRLDRNEPSLNENAEGDERDTTSPAAMAGLMGRLIFRDLAPESAGKLRGWLNASTTGANRIKAGLPKGWTSGSKTGSCGTAYNDVALVKAPSGEEYILAIYLDRPTVDAKAAEAAIAETARAALDFVDKAQKTGLE ->ARGMiner~~~QnrB12~~~WP_006684339.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB12~~~WP_006684339.1~~~fluoroquinolone~~~unknown MTLALVGEKIDRNRFTGVKVENSTFFNCDFSGTDLSGTEFIGCQFYDRESQKGCNFSRAILKDAIFKSCDLSMADFRNASALGIEIRHCRAQGSDFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSSFDWRAANFTHCDLTNSELGDLDVRGVDLQGVKLDSYQASLILERLGIAVIG ->ARGMiner~~~OXA-114a~~~WP_006384924.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-114a~~~WP_006384924.1~~~cephalosporin;penam~~~unknown MTVRRLSCALGAALSLSALGGGPVQAAVLCTVVADAADGRILFQQGTQQACAERYTPASTFKLAIALMGADAGILQGPHEPVWNYQPAYPDWGGDAWRQPTDPARWIKYSVVWYSQLTAKALGQDRFQRYTSAFGYGNADVSGEPGKHNGTDGAWIISSLRISPLEQLAFLRKLVNRQLPVKAAAYELAENLFEAGQADGWRLYGKTGTGSPGSNGVYTAANAYGWFVGWARKDGRQLVYARLLQDERATQPNAGLRARDELVRDWPAMAGAWRP ->ARGMiner~~~LRA-1~~~WP_006027381.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~WP_006027381.1~~~cephalosporin;penam~~~unknown MNHSPLRRSLLVAAVSAPLAGACAPLRGNSKNVAAERQLRELESTFDGRLGFVALDTATGARIAHRADERFPFCSTFKMMLSAAVLARSADDAALLQRRIPYAKRDLVRYSPITERHVGAGMTVAELCAATLQYSDNTAANLLIDLLGGPQAVTAYARSIGDATFRLDRRETELNTAIPGDERDTTTPAAMAASVRRLLAGDALGAAQRAQLNAWMLGNKTGDARLRAGVPAGWRVADKTGTGDYGTGNDIGVAYPPDRAPIVFVVYTTMRSQNAPVRDDVIAAAARIAARAFA ->ARGMiner~~~CcrA beta-lactamase~~~WP_005821510.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_005821510.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGMIVINNHQAALLDTPINDAQTETLVNWVTDSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVQSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQTTSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGNYGGTELIEHTKQIVNQYIESTSKP ->ARGMiner~~~cepA beta-lactamase~~~WP_005816369.1~~~cephalosporin unknown +>ARGMiner~~~cepA beta-lactamase~~~WP_005816369.1~~~cephalosporin~~~unknown MQKRLIHLSIIFFLLCPALVVAQNSPLETQLKKAIEGKKAEIGIAVIIDGQDTITVNNDIHYPMMSVFKFHQALALADYMHHQKQPLETRLLIKKSDLKPDTYSPLRETYPQGGIEMSIADLLKYTLQQSDNNACDILFNYQGGPDAVNKYLHSLGIRECAVIHTENDMHENLEFCYQNWTTPLAAAKLLEIFRNENLFDKEYKNFIYQTMVECQTGQDRLIAPLLDKKVTMGHKTGTGDRNAKGQQIGCNDIGFILLPDGHAYSIAVFVKDSEADNRENSEIIAEISRIVYEYVTQQID ->ARGMiner~~~CcrA beta-lactamase~~~WP_005780969.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_005780969.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGMIVINNHQAALLDTPINDAQTETLVNWVTDSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVQSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQATSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGDYGGTELIEHTKQIVNQYIESTSKP ->ARGMiner~~~CARB-21~~~WP_005498292.1~~~penam unknown +>ARGMiner~~~CARB-21~~~WP_005498292.1~~~penam~~~unknown MKKLFLLVGLMVCSTVSYASKLNEDISLIEKQTSGRIGVSVWDTQMDERWDYRGDERFPLMSTFKTLACATMLSDMDSGKLNKNATAKIDERNIVVWSPVMDKLAGQSTRIEHACEAAMLMSDNSAANLVLNEIGGPKAVTLFLRSIGDKATRLDRLEPRLNEAKPGDKRDTTTPNAMVNTLHTLMEDNALSYESRTQLKIWMQDNKVSDSLMRSVLPKGWSIADRSGAGNYGSRGISAMIWKDNYKPVYISIYVTDTDLSLQARDQLIAQISQLILEHYKES ->ARGMiner~~~FosC2~~~WP_005475717.1~~~fosfomycin unknown +>ARGMiner~~~FosC2~~~WP_005475717.1~~~fosfomycin~~~unknown MILGLNHITIAVSDLERSLKFYRETLGFTAHAKWDNGAYLSVGELWFCLSHDEPCPKTDYTHVAFDIEPKEFEAFAKRVVSLGVEVWKQNKSEGQSLYILDPDGHKLEIHSGSLKSRLESLRTKLYSGLVWL ->ARGMiner~~~AAC(6')-Iak~~~WP_005418370.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iak~~~WP_005418370.1~~~aminoglycoside~~~unknown MTGSAATTRPATAADAVAWAQLRLGLWPDADDPLETLVAALAEDAGAVFLACAAGGQAIGFAEVRLRHDYVNGTDSSPVGFLEGWYVQPQWQGRGVGRALLAAVRAWTRDAGCRELASDSRVEDVQAHAAHRACGFEETERVVYFRMPLEPSA ->ARGMiner~~~AAC(6')-Iz~~~WP_005414154.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~WP_005414154.1~~~aminoglycoside~~~unknown MIASAPTIRQATPADAAAWAQLRLVLWPDADDPLEELTQSLADAEGAVFLACAADGETVGFAEVRLRHDYVNGTESSPVGFLEGWYVQPQWQGRGVGRALLAAVQAWTRDAGCRELASDSRVEDVQAHPAHRACGFEETERVVYFRMPLEPSA ->ARGMiner~~~CARB-19~~~WP_005375420.1~~~penam unknown +>ARGMiner~~~CARB-19~~~WP_005375420.1~~~penam~~~unknown MKKLFLLAGLMVCSTLSYASQLNEDISLLEQQTSSRIGVSVWDTQADERWDYRGDERFPLMSTFKTLACAKMLSDMDSGKLSKNATAKVDERSIVVWSPVMDKLAGQNTRIEHACEAAMLMSDNTAANLVLNEIGGPKAVTMFLRTIGDKATRLDRIEPRLNEATPGDSRDTTTPNAILNTLRTLVEGETLSYESRVQLKIWMQDNKVSDSLMRSVLPTGWSIADRSGAGGHGSRGINAIIWKENHRPVYISIYVTETELSLQARDQLVAQISQLILQKYKDN ->ARGMiner~~~OXA-214~~~WP_005317413.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_005317413.1~~~cephalosporin;penam~~~unknown MMMSKKLKCLAFFTAIFFAIPMTACQSFSQQKQQLSTQKNEQQQISSLFQSAQTNGVLVIYDGKKIQKFGNDLDRAEQRYIPASTFKMLNALIGIQHHKTTPDEVFKWDGKKRAFSSWEKDLTLAEAMQASAVPVYQELARRIGLELMTREVKRVGYGNKNIGTQVDNFWLVGPLKITPIEEVRFAYALAKQKLPFDQPTQQQVKAMLLVDQIQGTKIYAKSGWGMDVSPQVGWWTGWIEQPNGKITAFSLNMQMSQPEHADARKVIVYQALQELGLLAH ->ARGMiner~~~OXA-352~~~WP_005304617.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-352~~~WP_005304617.1~~~cephalosporin;penam~~~unknown MYKKVLVVAIATLFLSACSSNTVKQHQIHSISANKNSEEIKSLFDQAQTTGVLVIKRGQTEEIYGNDIKRASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDNFWLVGPLKITPEQETQFAYELANKTLPFSKNVQEQVQSMVFIEEKNGRKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGIPSSIRKEIAYKGLEQLGVL ->ARGMiner~~~OXA-214~~~WP_005297518.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_005297518.1~~~cephalosporin;penam~~~unknown MSKRLKTLALSASFTFALPLVACQSFGDQTQHIMAQKSEQQNIATLFQQAQTSGVLVIYDGKKIQKYGNDTSRAEQRYIPASTFKMLNALIGIQHHKTTPNEVFKWDGQKRAFSSWEKDLTLAEAMQASAVPVYQELARRIGLELMTREVKRVGYGNKNIGTQVDNFWLVGPLKITPVEEVRFAYALAKQKLPFDQSTQQQVKGMLLIDEVQGTKIYAKSGWGMDVNPQVGWWTGWIEQANGKVTAFSLNMEMNRPEHADARKAIVYQALQQLDLLAN ->ARGMiner~~~AAC(6')-Ir~~~WP_005288246.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ir~~~WP_005288246.1~~~aminoglycoside~~~unknown MKIMPVSEPFLADWLQLRRLLWPDHEDAHLLEMRQLLEQPHTLQLLSYNDQQQAIAMLEASIRYEYVNGTQTSPVAFLEGIYVLPEYRRSGVASALVQQVEHWAKQFACSEFASDAALDNTISHAMHRALGFQETERVVYFKKNIS ->ARGMiner~~~OXA-214~~~WP_005282462.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_005282462.1~~~cephalosporin;penam~~~unknown MLFNFIVMPKKLKLLALSVVVMPSIILLGCQNIQPHVQALVTQKQTEDQIATAFENIQTSGVLVTYDGKAIQKYGNALNRADQRYIPASTFKMLNALIGIQHHKTSPNEVFKWDGQKRAFTSWEKDLTLAEAMQASAVPVYQELARRIGLELMASEVKRVGYGNQSIGTQVDNFWLVGPLEITPVEEVKFAYALAKKQLAFDSSTQQQVKDMLLIEDIQGTKIYAKSGWGMDVKPQVGWWTGWVEQPNGQVTAFSLNMEMKKAAHAEARKAIVYQALQQLGLLPQ ->ARGMiner~~~AAC(6')-Ij~~~WP_005269797.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ij~~~WP_005269797.1~~~aminoglycoside~~~unknown MNIMPVSESLMADWLGLRKLLWPDHDEAHLQEMQRLLQQTQSLQLLAYSDTQQAIAMLEASIRYEYVNGTQTSPVAFLEGIYVLPDYRRSGIATRLVQQVEAWAKPFGCTEFASDAALDNRISHAMHQALGFHETERVVYFKKHIG ->ARGMiner~~~OXA-362~~~WP_005268230.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-362~~~WP_005268230.1~~~cephalosporin;penam~~~unknown MKILILLPLLSCLGLTACTSPVSSFPSQITSTQSTQAIAQLFDQAQSSGVLVIQRGQKVQVYGNDLSRAGTEYVPASTFKMLNALIGLQHGKATTNEIFKWDGKKRSFAAWEKDMTLGEAMQASAVPVYQELARHIGLELMQQEVQRIQFGNQQIGQQVDNFWLVGPLKITPKQEVEFVSALAREQLAFDPQVQQQVKAMLLLQERQAYRLYAKSGWGMDVQPQVGWLTGWVETPQAEIVSFSLNMQMQNGMDPAIRLEILQQALAELGLYPKAEG ->ARGMiner~~~OXA-214~~~WP_005260134.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_005260134.1~~~cephalosporin;penam~~~unknown MSKKLKLLALCATVISAATLVGCQNIQSQAQPLVLKKQTQDQIATAFENIQTTGVLVTYDGKNFQKYGNDLSRADQRYIPASTFKMLNALIGIQHHKTSPNEVFKWDGQKRAFRSWEKDLTLAEGMQASAVPVYQELARRIGLELMASEVKRVGYGNQNIGAQVDNFWLVGPLEITPVEEVKFAYALAKQQLPFDPSTQQQVRDMLLIENVQGTRIYAKSGWGMDVNPQVGWWTGWIEQTNGQITAFSLNMEMKKAEHADARKAIVYQALQQLGLLPQ ->ARGMiner~~~AAC(6')-Ir~~~WP_005259042.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ir~~~WP_005259042.1~~~aminoglycoside~~~unknown MKIMPVTEPLLADWLQLRILLWPDDEDAHLLEMRQLLEQPHTLQLLSYNDQQQAIAMLEASIRYEYVNGTQTSPVAFLEGIYVLPEYRRSGVATTLVQQVEDWAKQFSCTEFASDAAIDNTISHAMHRALGFQETERVVYFKKKIS ->ARGMiner~~~OXA-363~~~WP_005245537.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-363~~~WP_005245537.1~~~cephalosporin;penam~~~unknown MKTLIFLPLLNCLSLTACTLPVSSSPSHITSTQSTQAIAQLFDQAQSSGVLVIQRGQQIQVYGNDLSRADTEYVPASTFKMLNALIGLQHGKATTNEIFKWDGKKRSFSAWEKDMTLGEAMQASAVPVYQELARRIGLELMQQEVQRIQFGNQQIGQQVDNFWLVGPLKITPKQEVEFVSALAREQLAFDPQVQQQVKAMLFLQERKAYRLYVKSGWGMDVEPQVGWLTGWVETPQAEIVAFSLNMQMQNGIDPAIRLEILQQALAELGLYPKAEG ->ARGMiner~~~AAC(6')-Ij~~~WP_005243483.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ij~~~WP_005243483.1~~~aminoglycoside~~~unknown MNIMPVSESLMADWLGLRKLLWPDHDEAHLQQMQQLLQQTQSLQLLAYSDTQQAIAMLEASIRYEYVNGTQTSPVAFLEGIYVLPDYRRSGIATHLIQQVEAWAKPFGCTEFASDAALDNRISHAMHQALGFHETERVVYFKKHIG ->ARGMiner~~~OXA-214~~~WP_005238189.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_005238189.1~~~cephalosporin;penam~~~unknown MMMSKKLKCLALLTPCILILQLTACQSVSQQKQQLSTQTNEQQQISSLFQSAQTKGVLVIYDGKKIQSYGNDLNRAEQRYIPASTFKILNALIGIQYHKTTPNEVFKWDGKKRTFSSWEKDLSLAEAMQASAVPVYQELARRIGLELMTREVKRVGYGNKNIGTQVDNFWLVGPLQITPVEEVRFVYALAKQKLPFDQSTQQQVKGMLLADERQGTKIYAKSGWGMDVSPQVGWWTGWIEQPNGKTIAFSLNMQMSQPEHANARKVIVYQALQELGLLAN ->ARGMiner~~~vanC~~~WP_005228844.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_005228844.1~~~glycopeptide~~~unknown MKKIAIIFGGNSPEYTVSLASATSAIEALQSSPYDYDLSLIGITPDAMDWYLYTGELENIRQDTWLLDTKHKQKIQPLFEGNGFWLSEEQQTLVPDVLFPIMHGKYGEDGSIQGLFELMKLPYVGCGVAGSALCMNKWLLHQAAAAIGVQSAPTILLTNQANQQEQIEAFIQTHGFPVFFKPNEAGSSKGITKVTCVEEIASALKEAFTYCSAVLLQKNIVGVEIGCGILGNDSLTVGACDTISLVDGFFDFEEKYQLISAKITVPAPLPETIETKVKEQAQLLYRSLGLKGLARIDFFVTDQGELYLNEINTMPGFTSHSRYPAMMAAVGLSYQELLQKLLVLAKEEVK ->ARGMiner~~~vanXYC~~~WP_005228842.1~~~glycopeptide unknown +>ARGMiner~~~vanXYC~~~WP_005228842.1~~~glycopeptide~~~unknown MNPYLQLVSKEFPLEKNQEPPHLVLAAFSEDEVYLQPEAAKQWKRLVKALKLENEICLLDGYRTEKQQRYLWEYSLKENGLAYTKQFVALPGCSEHQLGLAIDVGLKGSQDDLICPRFRDSAAADLFTQEMMNYGFILRYPADKQEITGIGYEPWHFRYVGLPHSQIIASQQWTLEEYHQYLEQTARQFA ->ARGMiner~~~OXA-214~~~WP_005227858.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_005227858.1~~~cephalosporin;penam~~~unknown MLFNFIVMPKKLKLLVLSVVVMPSIILLGCQNIQPHVQTLVTQKQTEDQIATAFENIQTSGVLVTYDGKAIQKYGNALNRANQRYIPASTFKMLNALIGIQHHKTSPNEVFKWDGQKRVFTSWEKDLTLAEAMQASAVPVYQELARRIGLELMASEVKRVGYGNQSIGTQVDNFWLVGPLEITPVEEVKFAYALAKKQLAFDSSTQQQVKDMLLIEDIQGTKIYAKSGWGMDVKPQVGWWTGWVEQPNGQVTAFSLNMEMKKAAHAEARKAIVYQALQQLGLLPQ ->ARGMiner~~~OXA-214~~~WP_005209448.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_005209448.1~~~cephalosporin;penam~~~unknown MSKKLKMLTLSISMMLGLPLMACQSFSQQKQQIMTQESEQQQIASLFQNAQTSGVLVIYDGKKIQKFGNDVHRADQRYIPASTFKMLNALIGIQHHKTTPKEVFKWDGQKRAFSSWEKDLTLAEAMQASAVPVYQELARRIGLELMTREVKRVGYGNKNIGTQVDNFWLVGPLKITPVEEVRFAYALAKQKLPFDQSTQQQVKGMLLIDEVQGTKIYAKSGWGMDVSPQVGWWTGWIEQANGKVTAFSLNMEMSRPEHAEARKAIVYQALQQLDLLAN ->ARGMiner~~~AAC(6')-Ix~~~WP_005202238.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ix~~~WP_005202238.1~~~aminoglycoside~~~unknown MNIMPISESQLSDWLALRSLLWPDHDDAHLLEMRQLLKQTDTLQLLAYTDTQQAIGMLEASIRYEYVNGTQTSPVAFLEGIYVLPEYRRSGIATQLVQFVEEWAKQFACTEFASDAALDNHISHAMHRALGFHETERVVYFKKNIG ->ARGMiner~~~OXA-214~~~WP_005201559.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_005201559.1~~~cephalosporin;penam~~~unknown MMMSKKLKCLAFFTAIFFAIPMTACQSFSQQKQQLSTQKNEQQQISSLFQSAQTSGVLVIYDGKKIQSYGNDLDRAEQRYIPASTFKMLNALIGIQHHKTTPDEMFKWDGKKRAFSSWEKDLTLAEAMQASAVPVYQELARRIGLELMTREVKRVGYGNKNIGTQVDNFWLVGPLKITPVEEVRFAYALAKQKLPFDQSTQQQVKGMLLIDEVQGTKIYAKSGWGMDVSPQVGWWTGWIEQANGKITAFSLNMEMSRPEHAEARKAIVYQALQQLDLLAN ->ARGMiner~~~AAC(6')-Ik~~~WP_005197871.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ik~~~WP_005197871.1~~~aminoglycoside~~~unknown MNIKPASEASFKDWLKLRIKLWNDLEESHLQEMHQLLAEKHALQLLAYSDDHAVAMLEASIRYEYVNGTETSPVAFLEGIYVLPEYRRLGVATLLVRQVEAWAKQFSCTEFASDAALDNVISHAMHRALGFQETESVVYFSKKID ->ARGMiner~~~OXA-214~~~WP_005185807.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_005185807.1~~~cephalosporin;penam~~~unknown MSKKLKMLTLSISMMLGLPLMACQSFSQQKQQIMTQEREQQQITSLFQNAQTSGVLVIYDGKKIQKFGNDVHRADQRYIPASTFKMLNALIGIQHHKTTPKEVFKWDGQKRAFSSWEKDLTLAEAMQASAVPVYQELARRIGLELMTREVKRVGYGNKNIGTQVDNFWLVGPLKITPVEEVRFAYALAKQKLPFDQSTQQQVKGMLLVDEVHGTKIYAKSGWGMDVSPQVGWWTGWIEQANGKITAFSLNMEMSRPEHTEARKAIVYQALQQLDLLAN ->ARGMiner~~~AAC(6')-Ix~~~WP_005184197.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ix~~~WP_005184197.1~~~aminoglycoside~~~unknown MNIMPISESQLSDWLALRSLLWPDHDDAHLLEMHQLLKQTDTLQLLAYTDSQQAIAMLEASIRHEYVNGTQTSPVAFLEGIYVLPEYRRSGIATQLVQYVEEWAKQFACTEFASDAAIDNTISHAMHRALGFHETERVVYFKKNIG ->ARGMiner~~~y56 beta-lactamase~~~WP_005165780.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_005165780.1~~~cephalosporin;penam~~~unknown MKHSSLRRSLLLAGITLPLVSFALPAWANALPASVDKQLAELERNANGRLGVAMINTGNGTKILYRAAQRFPFCSTFKFMLAAAVLDQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLIKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTARPNDPRDTTTPAAMAASMNKLVLGDALRPAQRSQLAVWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASVTKIILSQIS ->ARGMiner~~~AAC(6')-Iw~~~WP_005145021.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iw~~~WP_005145021.1~~~aminoglycoside~~~unknown MNIMPISESQLSDWLQLRSLLWPDHEDAHLVEMRQLLTRTDTLQLLAYSEMQQPIAMLEASIRHEYVNGTQTSPVAFLEGIYVLPEHRRSGIATQLIQQVEQWAKQYACTEFASDAAIDNTISHAMHQALGFHETERVVYFKKNIS ->ARGMiner~~~ADC-5~~~WP_005138362.1~~~cephalosporin unknown +>ARGMiner~~~ADC-5~~~WP_005138362.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFNTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKVLKNTPIDQVNLLQLATYTSSNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGFYQLGTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKE ->ARGMiner~~~OXA-51~~~WP_005132156.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXA-51~~~WP_005132156.1~~~monobactam;cephalosporin;penam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAISVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGSLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~ADC-3~~~WP_005128228.1~~~cephalosporin unknown +>ARGMiner~~~ADC-3~~~WP_005128228.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTGTAGGYAKNKGKISFDDKPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYSADIQRAINETHQGRYQINTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLSAIKK ->ARGMiner~~~OXA-208~~~WP_005111033.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-208~~~WP_005111033.1~~~cephalosporin;penam~~~unknown MNIKALLFITSAIFISACSPYIVTANPNHNASKSDDKAEKIKNLFNEAHTTGVLVIHQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~AAC(6')-Ig~~~WP_005091170.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ig~~~WP_005091170.1~~~aminoglycoside~~~unknown MNIKPASEASLKDWLELRNKLWSDSESSHLQEMHQLLTEKYALQLLAYSEHQAIAMLEASIRFEYVNGTETSPVGFLEGIYVLPEQRRSGVATMLIRQAEVWAKQFSCTEFASDAALDNVISHAMHRSLGFQETEKIVYFSKKID ->ARGMiner~~~OXA-214~~~WP_005088661.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_005088661.1~~~cephalosporin;penam~~~unknown MKLSKLYTLTVLIGFGLSGVACQHIHTPVSFNQIENDQTKQIASLFENVQTTGVLITFDGQAYKAYGNDLNRAKAAYIPASTFKILNALIGIEHDKTSPNEVFKWDGQKRAFESWEKDLTLAEAMQASAVPVYQALAQRIGLDLMAKEVKRVGFGNTRIGTQVDNFWLIGPLKITPIEEAQFAYRLAKQELPFTPKTQQQVIDMLLVDEIRGTKVYAKSGWGMDITPQVGWWTGWIEDPNGKVIAFSLNMEMNQPAHAAARKEIVYQALTQLKLL ->ARGMiner~~~OXA-214~~~WP_005087154.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_005087154.1~~~cephalosporin;penam~~~unknown MKLSKLYTLTVLIGFGLSGVACQHIHTPVLFNQIENDQTKQIASLFENVQTTGVLITFDGQAYKAYGNDLNRAKTAYIPASTFKILNALIGIEHDKTSPNEVFKWDGQKRAFESWEKDLTLAEAMQASAVPVYQALAQRIGLDLMAKEVKRVGFGNTRIGTQVDNFWLIGPLKITPIEEAQFAYRLAKQELPFTPKTQQQVIDMLLVDEIRGTKVYAKSGWGMDITPQVGWWTGWIEDPNGKVIAFSLNMEMNQPAHAAARKEIVYQALTQLKLL ->ARGMiner~~~OXA-421~~~WP_005076320.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_005076320.1~~~cephalosporin;penam~~~unknown MTKKALFFAIGTMFLSACSFNTVQQHQIQSISTNKNSEKIKSLFDQAQTEGVLVIKRGQTEEIYGNDLKRSSTEYVPASTFKMLNALIGLEHHKATPTEVFKWYGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDDFWLVGPLKITPQQEVRFAYKLANKTLPFSKNVQEQVQSMVFIEEKNGRKIYAKSGWGWDVDPQVGWFTGWVVQPQGEIIAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OXA-421~~~WP_005069609.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_005069609.1~~~cephalosporin;penam~~~unknown MTKKALFFAIGTMFLSACSFNTVEQHQIQSISTNKNSEKIKSLFDQAQTTGVLVIKHGRTEEVYGNDLKRASTEYVPASTFKMVNALIGLEHHKATPTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDNFWLVGPLKITPQQEVQFAYKLANKTLPFSKNVQEQVQSMVFIEEKNGRKIYAKSGWGWDVDPEVGWFTGWVVQPQGEIIAFSLNLEMEKGIPSSIRKEITYKGLEQLGIL ->ARGMiner~~~AAC(6')-Iw~~~WP_005062866.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iw~~~WP_005062866.1~~~aminoglycoside~~~unknown MKILSVSEPLLADWLRLRILLWPNTEDAHLIEMRQLLAEKQTLQLMAYSNNQAVAMLEASIRHEYVNGTETSPVAYLEGIYVCSEFRRLGVATNLIRQAEQWATQFACTEFASDTAVDNLVGQAMHKALGFQETERVIYFSKKIK ->ARGMiner~~~OXA-332~~~WP_005041180.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-332~~~WP_005041180.1~~~cephalosporin;penam~~~unknown MYKKALIAATSILFLSACSSNTVKQHQIHSISANKNSEEIKSLFDQAQTMGVLVIKRGQTEEIYGNDLKRASTAYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDDFWLVGPLKITPQQETLFAYQLAHKTLPFSQDVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQSQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~OXA-228~~~WP_005034514.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-228~~~WP_005034514.1~~~cephalosporin;penam~~~unknown MKFKMKGLFCVILSSLAFSGCVYDSKLQRPVISERETEIPLLFNQAQTQAVFVTYDGIHLKSYGNDLSRAKTEYIPASTFKMLNALIGLQNAKATNTEVFHWNGEKRAFSAWEKDMTLAEAMQASAVPVYQELARRIGLELMREEVKRVGFGNAEIGQQVDNFWLVGPLKISPEQEVQFAYQLAMKQLPFDSNVQQQVKDMLYIERRGDSKLYAKSGWGMDVEPQVGWYTGWVEQPNGKVTAFALNMNMQAGNDPAERKQLTLSILDKLGLFFYLR ->ARGMiner~~~OXA-23~~~WP_005025422.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-23~~~WP_005025422.1~~~cephalosporin;penam~~~unknown MNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVERIDFGNAEIGQQVDNFWLIGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~OXA-213~~~WP_005011312.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-213~~~WP_005011312.1~~~cephalosporin;penam~~~unknown MNPFTKYCAILCPIIFLGACTISPFSHDQAHSAHASQLTDAATIRNLFNQANVQGVILIKSGNDLQAYGNAIQRADQPFIPASTFKMLNALIGIEHNKTSPDEVFKWNGEKRSFPAWEKDLTLAQAMTASAVPVYQELAHRIGLELMQNEVKRVQFGNGDIGAQVDNFWLMGPLKITPRQEVQFADQLSHLQLPFRKSTQQQVIQMLFIEQIGSKALYAKSGWGMDVEPQVGWYTGWVEDAQGKTTAFSLNLEMDQSTPASLRKELVISSLKQLKIL ->ARGMiner~~~ANT(3'')-IIc~~~WP_005001597.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-IIc~~~WP_005001597.1~~~aminoglycoside~~~unknown MSETLQLEQLTASLQQLLGEALFGIYLYGSAVDGGLGPESDLDLLVVITQALTLQQRKQLAETLLQISHPIGAVQRALEVTIVHKDHILSGSYPLSYELQFGEWLRDELNQGVILSEHADPDLSILLKKAQMHHLTLLGPSFSQWSVEIPVQQLWQAMADTYPSIVAHWDEDADERNQILALCRIYFSLVTNEIAPKDQAAQWVIAQLQPQHQPVLQRMSQEYKGQIAKQNWQDEYQALQPIVDFLSSRIDEQFKKKSSLNK ->ARGMiner~~~OXA-211~~~WP_004977701.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-211~~~WP_004977701.1~~~cephalosporin;penam~~~unknown MKTLQLGLIVLITTFGSACTIIRSPSIETAKNHEQQSAQQQIQQAFDQLQTTGVIVIKDKHGLHSYGNDLSRAQTPYVPASTFKMLNALIGLEHGKATSTEVFKWDGQKNSFPAWEKDMTLGQAMQASAVPVYQELARRIGLDLMQKEVQRIGYGNQQIGTVVDNFWLVGPLQITPVQEVLFVEKLANTQLAFKPDVQHTVQDMLLIEQKPNYKLYAKSGWGMDLEPQVGWWTGWVETATGEKVYFALNMHMKTGISASVREQLVKQSLTALGII ->ARGMiner~~~AAC(6')-Ic~~~WP_004937175.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ic~~~WP_004937175.1~~~aminoglycoside~~~unknown MIVNCDHDNLDAWLALRSALWPTCPLEEHRAEMHEILASPHHTAFMARGLDGVFVGFAEVALRHDYVNGCESSPVAFLEGIYTVERARRQGWAARLIAQVQEWAKQQGCSELASDTDIANLDSQRLHAALGFAETERVVFYRKTLG ->ARGMiner~~~oqxB~~~WP_004899982.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_004899982.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFAVYLLLLCAAGVMFKAVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTELFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPFRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~OXA-360~~~WP_004897444.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-360~~~WP_004897444.1~~~cephalosporin;penam~~~unknown MKILILWPLLSCLSLTACSFAVSNSPSQITSTQSIQATAKLFDQAQSSGVLVIQRGPHLQVYGNDLSRAHTEYVPASTFKMFNALIGLQHGKATTNEIFKWDGKKRSFAAWEKDMTLGQAMQASAVPVYQELARRIGLELMQQEVQRIQFGNQQIGHQVDNFWLVGPLKITPKQEVEFASALAQEQLAFDPRVQQQVKTMLLLQERQAYRLYAKSGWGMDVEPQVGWLTGWIETPQDEIVVFSLNMQMQSNMDPAIRLKILQQALAELGLYPKAEA ->ARGMiner~~~oqxA~~~WP_004890321.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxA~~~WP_004890321.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MSLQKTWGNIHLTALGAMMLSFLLVGCDDSVAQNAAPPAPTVSAAKVLVKSISQWDSFNGRIEAVESVQLRPRVSGYIDKVNYTDGQEVKKGQVLFTIDNRTYRAALEQAQAALARAKTQASLAQSEANRTDKLVHTNLVSREEWEQRRSAAVQAQADIRAAQAAVDAAQLNLDFTKVTAPIDGRASRALITSGNLVTAGDTASVLTTLVSQKTVYVYFDVDESTYLHYQNLARRGQGASSDNQALPVEIGLVGEEGYPHQGKVDFLDNQLTPSTGTIRMRALLDNSQRLFTPGLFARVRLPGSAEFKATLIDDKAVLTDQDRKYVYIVDKDGKAQRRDITPGRLADGLRIVQKGLNPGDSVIVDGLQKVFMPGMPVNAKTVAMTSSATLN ->ARGMiner~~~OXA-214~~~WP_004878009.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_004878009.1~~~cephalosporin;penam~~~unknown MRKKFKVALLCSSLCLSLGLVACHSLNSELQIAEQQKQQQKISKLFVNAKTEGVFVTYDGQKIHEYGNALNRAQTSYIPASTFKMLNALIGIQHHKTTPNEVFKWNGEKRRFKSWEKDLTLTEAIQASAVPIYQELARRIGLDLMASEVKRIGFGNSDIGNQVDNFWLVGPLKITPIQEVRFTYALANEQLAFDIPVQQQVKQMLLVDQMNGTKVYAKSGWGMDVEPQVGWWTGWVEQPNGKVTAFSLNMEMNKTEHVEARKTIVYEALQQLGLI ->ARGMiner~~~AAC(6')-Iaa~~~WP_004874306.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iaa~~~WP_004874306.1~~~aminoglycoside~~~unknown MEIKKLSSANINLWIALREQLWPHHPENKTDGENIILSDGLASFIAIDNLGQGIGFADASIRNDYVNGCIHSPVAFLEGIFIVPSSRRGGVAKQLVDAVQAWGLEKGCQELASDTALDNVLSQQVHEALGFKETERVVYYRKASLS ->ARGMiner~~~OXA-229~~~WP_004867933.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-229~~~WP_004867933.1~~~cephalosporin;penam~~~unknown MNKKLNLALLCFLSILCAACQSNQQLSANSHTENHNTRAAEISLLFDEMHTQAVFVTYDGQHFQSYGNALQRADTAYVPASTFKMLNALIGLQNHKATNTEVFKWDGQKRAMSIWEKDMTLSDAMKVSAVPVYQELARRIGLDLMQKEVTRVRYGNTDIGTVVDRFWLDGPLKITPKQEAQFAYQLATQQLPFDQNVQSQVKDMLYVESRGQSKLFAKSGLSMKNGQPDIGWYTGWVEQADGKIVAFSINMQMVQGLDVNSRQQATLDILDKLGIFFYL ->ARGMiner~~~OKP-B-5~~~WP_004862397.1~~~cephalosporin;penam unknown +>ARGMiner~~~OKP-B-5~~~WP_004862397.1~~~cephalosporin;penam~~~unknown MRQYRFALLPLLAALALPGWAHEATVTTVKQAESQLQGRVGYAELDLASGQLLSGYRAGERFPMMSTFKVLLCGAVLSRVDTGEEQLDRRIHYRQQDLVEYSPVTEKHLADGLTVGELCAAAITLSDNTAANLLLTTLGGPQGLTTFLRHSGDQTSRLDRWETELNEARPGDVRDTTTPEAMARTLRNLLTGRVLSPASQQQLQRWMVEDKVAGPLLRSALPAGWFIADKTGAGNRGSRGIIAALGPDGKAARIVVIYLTATPASMDERNKQIAAIGETLVRHWSKDDKRP ->ARGMiner~~~FosA6~~~WP_004857515.1~~~fosfomycin unknown +>ARGMiner~~~FosA6~~~WP_004857515.1~~~fosfomycin~~~unknown MLSGLNHLTLAVSSLAPSVAFYHQLLGMTLHARWDGGAYLSCGDLWLCLSLDPQRRVTPPEESDYTHYAFSVAEADFAGFSARLETAGVAVWKVNRSEGASYYFLDPDGHKLELHVGSLALRLAACREQPYKGMVFYDE ->ARGMiner~~~OXA-230~~~WP_004825629.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-230~~~WP_004825629.1~~~cephalosporin;penam~~~unknown MKFKMKGLFCVILSSLAFSGCVYDSKLQRPVISERETEIPLLFNQAQTQAVFVTYDGIHLKSYGNDLSRAKTEYIPASTFKMLNALIGLQNGKATNTEVFQWNGEKRAFSAWEKDMTLAEAMQASAVPVYQELARRIGLKLMREEVKRVGFGNAEIGQQVDNFWLVGPLKISPEQEVQFAYQLAMKQLPFDRNVQQQVKDMLYIESRGDSKLYAKSGWGMDVEPQVGWYTGWVEQPNGKVTAFALNMNMQAGDDPAERKQLTLSILDKLGLFFYLR ->ARGMiner~~~OXA-355~~~WP_004816501.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-355~~~WP_004816501.1~~~cephalosporin;penam~~~unknown MSNYRFKSKIKSSVLIILSSVAFSGCVSNANLHDPASSQRTSEIPLLFNYAQTQAVFVTYDGTQFKRYGNDLNRAKTAYIPASTFKMLNALIGLQHAKATNTEVFKWNGEKRSFPAWEKDMTLAQAMQASAVPVYQELARRIGLDLMSKEVKRVGFGNTQIGQQVDNFWLVGPLKITPEQEAKFAFQLANKTLPFDDAVQQQVKDMLYVERRGDSKLYAKSGWGMDVEPQVGWYTGWVEQPNGQITAFALNMHMQTGDDPAERKQLTLSILDKLGLFFYLR ->ARGMiner~~~OXA-278~~~WP_004814035.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-278~~~WP_004814035.1~~~cephalosporin;penam~~~unknown MKILILWPLLSYLSLTACSFPVSNSPSQITSTQSIQAIAKLFDQAQSSGVLVIQRGPHLQVYGNDLSRAHTEYVPASTFKIFNALIGLQHGKATTNEIFKWDGKKRSFAAWEKDMTLGQAMQASAVPVYQELARRIGLELMQQEVQRIQFGNQQIGHQVDNFWLVGPLKVTPKQEVEFASALAQEQLAFDPRVQQQVKTMLLLQERQAYRLYAKSGWGMDVEPQVGWLTGWIETPQDEIVAFSLNMQMQSNMDPAIRLKILQQALAELGLYPKAEG ->ARGMiner~~~AAC(6')-Ix~~~WP_004801433.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ix~~~WP_004801433.1~~~aminoglycoside~~~unknown MNIMPISESQLSDWLALRSLLWPDHDDAHLQEMHQLLKQTDTLQLLAYSETQHAIAMLEASIRYEYVNGTETSPVAFLEGIYVLPEYRRSGIATQLVQFVEEWAKQFACTEFASDAALDNHISHAMHRALGFHETERVVYFKKNIG ->ARGMiner~~~OXA-357~~~WP_004792810.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-357~~~WP_004792810.1~~~cephalosporin;penam~~~unknown MYKKVLVVATATLFLSACSSNTVKQHQIHSISANKNSEEIKSLFDQAQTTGVLVVKRGQTEEIYGNDLKRASTEYVPASTFKMLNALIGLEHHKATTTEIFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIRLDLMTKEVKRIGFGNADIGSKVDNFWLVGPLKITPEQETQFAYKLANKTLPFSKNVQEQVQSMVFIEEKNGRKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGIPSSIRKEIAYKGLEQLGVL ->ARGMiner~~~OXA-214~~~WP_004776204.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_004776204.1~~~cephalosporin;penam~~~unknown MSKKLKLLALCATVISAATLVGCQNIQSQAQPLVLKKQAQDQIATAFENIQTTGVLVTYDGKNFQRYGNDLSRADQRYIPASTFKMLNALIGIQHHKTSPNEVFKWDGQKRAFRSWEQDLTLAEAMQASAVPVYQELARRIGLELMASEVKRVGYGNQNIGTQFDNFWLVGPLEITPVEEVKFAYALAKQQLPFAPSTQQQVRDMLLIENVQGTRIYAKSGWGMDVNPQVGWWTGWVEQPNGQITAFSLNMEMKKAEHADARKAIVYQALQQLGLLPQ ->ARGMiner~~~AAC(6')-Ir~~~WP_004770322.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ir~~~WP_004770322.1~~~aminoglycoside~~~unknown MKIMPVTEPLLADWLQLRILLCPDHEDAHLLEMRQLLEQPHTLQLLSYNDQQQAIAMLEASIRYEYVNGTQTSPVAFLEGIYVLPEYRRSGVASTLVQQVEDWAKQFSCTEFASDAAIDNTISHAMHRALGFQETERVVYFKKKIS ->ARGMiner~~~ANT(3'')-IIc~~~WP_004758588.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-IIc~~~WP_004758588.1~~~aminoglycoside~~~unknown MSETLQLEQLTGSLQQLLGESLFAIYLYGSAVDGGLGPESDLDVLVVVSQALTLQQRQQLAETLLKISYPIGAAQRALEVTIVLKAQILSGSYPLSYELQFGEWLREELNQGALLRTHTDPDLSILLKKAQVHHRSLLGPSLTQWSTAIPEQHLWQAMADTYPSIVEHWDEDADERNQILALCRIYFSLVTSEIVPKDQAAHWVIAQLPSQHQPILQRMIQEYKGEIGKQSWQQQHQALGAVVDFLSSKIDEQFKKKSSLIK ->ARGMiner~~~ADC-80~~~WP_004739487.1~~~cephalosporin unknown +>ARGMiner~~~ADC-80~~~WP_004739487.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYADNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPINQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKFVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLSAIKK ->ARGMiner~~~OXA-362~~~WP_004728961.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-362~~~WP_004728961.1~~~cephalosporin;penam~~~unknown MKILILLPLLSCLGLTACSLPVSSLLSQSTSTQSTQAIAQLFDQAQSAGVLVIQRGQQIQVYGNDLSRADTEYVPASTFKMLNALIGLQHGKATTNEIFKWDGKKRSFAAWEKDMTLGEAMQASAVPVYQELARRIGLELMQQEVQRIQFGNQQIGQQVDNFWLVGPLKVTPKQEVQFVSALAREQLAFDPQVQQQVKAMLFLQERKAYRLYVKSGWGMDVEPQVGWLTGWVETPQAEIVAFSLNMQMQNGIDPAIRLEILQQALAELGLYPKAEG ->ARGMiner~~~OXA-355~~~WP_004725235.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-355~~~WP_004725235.1~~~cephalosporin;penam~~~unknown MSNNLFKFKIKSSVLIILSSVAFSGCVSNANLHDPASSQRTSEIPLLFNYAQTQAVFVTYDGTQFKRYGNDLNRAKTAYIPASTFKMLNALIGLQHAKATNTEVFKWNGEKRSFPAWEKDMTLAQAMQASAVPVYQELARRIGLDLMSQEVKRVGFGNTQIGQQVDNFWLVGPLKITPEQEAKFAYQLAKKTLPFDDAVQQQVKDMLYVERRGDSKLYAKSGWGMDVEPQVGWYTGWIEQPNGQITAFALNMHMQTGDDPAERKQLTLSILDKLGLFFYLR ->ARGMiner~~~OXA-66~~~WP_004715243.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-66~~~WP_004715243.1~~~cephalosporin;penam~~~unknown MNIKAHLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-309~~~WP_004697403.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-309~~~WP_004697403.1~~~cephalosporin;penam~~~unknown MKTLQFGLIALITTFGSACTTISPSVETAKNHQQQSAQQQIQQAFDQLQTTGVIVIKDKHGLHSYGNDLSRAQTPYVPASTFKMLNALIGLEHGKATRTEVFKWDGQKRSFPAWEKDMTLGQAMQASAVPVYQELARRIGLDLMQKEVQRIGYGNQQIGTVVDNFWLVGPLQITPVQEVLFVEKLANTQLAFEPDVQHTVQDMLLIEQKPNYKLYAKSGWGMDLEPQVGWWTGWVETATGEKVYFALNMQMKTGISASVREQLVKQSLITLGII ->ARGMiner~~~OXA-214~~~WP_004683508.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_004683508.1~~~cephalosporin;penam~~~unknown MPKILKHLGLCASVMIGLTLLGCQNLQAPTQSAVSKKHDQTEIASLFQHAQTVGVFVTYDGQTLQEYGNALSRSNTAYIPASTFKMLNALIGIQHHKSSPNEVFKWDGKKRAFASWEKDLTLAEAMQASAVPVYQELARRIGLELMANEVKRVGFGNAEIGTQVDDFWLVGPLKITPVEEVKFAYALAHKQLTFDQSVQEQVKQMVLVDEVKGTKIYAKSGWGMDVTPQVGWWTGWIEQPNGQVIAFSLNMQINNSKQGDARKAIVYQALQQLKLLETQ ->ARGMiner~~~AAC(6')-Ik~~~WP_004670361.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ik~~~WP_004670361.1~~~aminoglycoside~~~unknown MNIKPASEASLKDWLKLRIKLWNDLEESHLQEMHQLLAEKHALQLLVYSDDHAVAMLEASIRYEYVNGTETSPVAFLEGIYVLPEYRRLGVATLLVRQVEAWAKQFSCTEFASDAALDNVISHAMHRALGFQETERVVYFSKKID ->ARGMiner~~~OXA-214~~~WP_004657207.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-214~~~WP_004657207.1~~~cephalosporin;penam~~~unknown MIMSKKLTCLALFTAIFFAIPMAACQSFSQQKQQLSTQKNEQQQISSLFQSAQTSGVLVIYDGKKIQSYGNDLDRAEQRYIPASTFKMLNALIGIQHHKTTPDEVFKWDGKKRAFSSWEKDLTLAEAMQASAVPVYQELARRIGLELMTREVKRVGYGNKNIGTQVDNFWLVGPLKITPVEEVRFAYALAKQKLPFDQPTQQQVKAMLLVDQIQGTKIYAKSGWGMDVSPQVGWWTGWIEQPNGKITAFSLNMQMSQPEHADARKAIVYQALQQLGLLAH ->ARGMiner~~~OXA-257~~~WP_004650739.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-257~~~WP_004650739.1~~~cephalosporin;penam~~~unknown MQQRLFYFGCLLLLTQLSACHMNRAQTSNQSVQKAVLQAPSERPEEIKQLFNSAHTSAVFITYDGRQFNRYGNALARAQNAYIPASTFKILNALIGLQHHKVSTSEVFKWKGEKRSFPAWEKDMNLAQAMQLSAVPVYQQLARRIGLELMQKEISRLGFGNQKIGQQVDNFWLVGPLKITPEQEAQFVYQLATEQLPFDVKVQKQVKEMLYIERRGDTKLYAKSGWGMDVKPQVGWYTGWVEQANGQITAFVLNLEMHDGDDVGERKQLTLDALDKLGLFFYLH ->ARGMiner~~~OXA-362~~~WP_004647119.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-362~~~WP_004647119.1~~~cephalosporin;penam~~~unknown MKILILLPLLSCLGLTACSLPVSSLLSQSTSTQSTQAIAQLFDQAQSAGVLVIQRGQQIQVYGNDLSRADTEYVPASTFKMLNALIGLQHGKATTNEIFKWDGKKRSFAAWEKDMTLGEAMQASAVPVYQELARRIGLELMQQEVQRIQFGNQQIGQQVDNFWLVGPLKVTPKQEVQFVSALAREQLAFDPQVQQQVKAMLFLQERKAYRLYVKSGWGMDVEPQVGWLTGWVETPQAEIVAFSLNMQMRNGMDPAIRLEILQQALAELGLYPKAEG ->ARGMiner~~~tetM~~~WP_004632336.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_004632336.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDRGTTKTDNTLLERQRGITIQTAITSFQWKNTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKIGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELHPNMRVMNFTESEQWDMVIEGNDYLLEKYTSGKLLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDPVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~PDC-3~~~WP_004365741.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-3~~~WP_004365741.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPEILFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~aadA4~~~WP_004356282.1~~~aminoglycoside unknown +>ARGMiner~~~aadA4~~~WP_004356282.1~~~aminoglycoside~~~unknown MGEFFPAQISEQLSHARGVIERHLAATLDTIHLFGSALDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGPWRPLEVTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAVTFFEPVPNEHFSKALFDTIAQWNSESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPIICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~IMI-2~~~WP_004287923.1~~~carbapenem unknown +>ARGMiner~~~IMI-2~~~WP_004287923.1~~~carbapenem~~~unknown MKRLLFTSLYLIPTFLLANTTLDDSFKNLEDEYHGKLGIYTLNTDDKTNISYNENYHFPICSVFKFLLVGAVLEYDMQHKGFLDKKILITQDDIGTLGYAPVTGKNIGKTLTISQLNYAAILSDNPAANILVREIGGLEKLNKLVAKLGDKDTIIKNDEPKINHTKPDSNINKTTPKAITQDIYNLAFGNILDKKHREIFIGYLQKNNTGANRIAYSMPKNWTIGDKTGTCGEYAATNDVAIIWPQNKPPFALSILYTNPSDVKAPSNEKIIQQASKLVSESIAKKDS ->ARGMiner~~~tet(J)~~~WP_004245621.1~~~tetracycline unknown +>ARGMiner~~~tet(J)~~~WP_004245621.1~~~tetracycline~~~unknown MNKSIIIILLVTVLDAIGIGLIMPVLPTLLNEFVSENRLANHYGILLALYATMQVIFAPILGKLSDKYGRKPILLFSLLGAALDYLLMACSTSLWMLYIGRIIAGITGATGAVCASAMTDVTHPHERTRYFGFLGGAFGVGLIIGPMLGGLLGEISAHTPFIFAAISHSLLFIFSLLCFQETQTTKISTEISALNQDTAPHSATGFIKKSLCFWLIAYFIIQLIGQIPATIWVLFTQVRFAWHTTEVGLSLAFLGVLHIFFQAVLAGKLAQKWGERNTVIISMSIDAFGCLLLAWISHVWVMLPALICLAAGGMGQPALQGYLSKSVDHHVQGQLQGTLVSLTNITGIVGPLLFSFIYSYSVEYWDGLLWFIGAMLYSGLLVASYFKQKSPILKKFPS ->ARGMiner~~~tetW~~~WP_004217777.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_004217777.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMLLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDKLLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTSIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYKSRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETVQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGQSVCLTELKGYQAAVGKPVIQPRRPNSRLDKVRHMFSKIT ->ARGMiner~~~FosA5~~~WP_004214174.1~~~fosfomycin unknown +>ARGMiner~~~FosA5~~~WP_004214174.1~~~fosfomycin~~~unknown MLSGLNHLTLAVSQLAPSVAFYQQLLGMTLHARWDSGAYLSCGDLWLCLSLDPQRRVTPPEESDYTHYAFSISEADFASFAARLEAAGVAIWKLNRSEGASHYFLDPDGHKLELHVGSLAQRLAACREQPYKGMVFFAE ->ARGMiner~~~oqxA~~~WP_004212918.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxA~~~WP_004212918.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MSLQKTWGNIHLTALGAMMLSFLLVGCDDSVAQNAAPPAPTVSAAKVLVKSISQWDSFNGRIEAVESVQLRPRVSGYIDKVNYTDGQEVKKGQVLFTIDDRTYRAALEQAQAALARAKTQASLAQSEANRTDKLVHTNLVSREEWEQRRSAAVQAQADIRAAQAAVDAAQLNLDFTKVTAPIDGRASRALITSGNLVTAGDTASVLTTLVSQKTVYVYFDVDESTYLHYQNLARRGQGASSDNQALPVEIGLVGEEGYPHQGKVDFLDNQLTPSTGTIRMRALLDNSQRLFTPGLFARVRLPGSAEFKATLIDDKAVLTDQDRKYVYIVDKDGKAQRRDITPGRLTDGLRIVQKGLNPGDSVIVDGLQKVFMPGMPVNAKTVAMTSSATLN ->ARGMiner~~~RSA-1~~~WP_004207704.1~~~carbapenem;cephalosporin unknown +>ARGMiner~~~RSA-1~~~WP_004207704.1~~~carbapenem;cephalosporin~~~unknown MALRKSLSLAALTACLVVTLPLSAAPKKKAPAKKAEEVPTLPTLMPPAPRYLVPQSALTSVRNPKEIEEETGGRMGIALVDREGALILGFNRDDRFAMCSTFKAPLAAAVLIGAQGGKFGLEGEIPFTKDDILDYAPVVKKNKKRGRMSMAELAEAAVEVSDNSAANLLLPMLGGPEGLTSFIRAHGDKITRLDRIEPELNENVAGDPRDTTSPAAMAGLMGRLLFRDMQAADADRLRGWLNASTTGDKRIKAGLPEGWTSGSKTGSCGTAYNDVALVKSPAGEEYILAIYLDRPTVDDKKAEAAIAEAAHSALEFVSKAQKSGLD ->ARGMiner~~~APH(3')-IIIa~~~WP_004206941.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIIa~~~WP_004206941.1~~~aminoglycoside~~~unknown MDKLPNFIFENYSDLKIERDTEGWSPAEVYSVTTKKKRWFLKRSHTRYNKTTYNVRREKEIIEWAYPKFRVPQIIHYEEAKEYNSLLMNHIGGSSLEMLGPSITLEKYIDYYVQSLKLMQSINIENCPYNNCIKNRIIELEYLLENDLADINSNNWEEDTREHFRNGKDLFNYIVNNKPNEDLVFSHGDMTNSNIFIENEEVGFIDLGRCGLADKWVDIAFCVRDIREISNENKWIKMLFDKLEIVPNWDKMRYYILLDELF ->ARGMiner~~~dfrA14~~~WP_004201280.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA14~~~WP_004201280.1~~~diaminopyrimidine~~~unknown MKVSLMAAKAKNGVIGCGPDIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGALPNRKYAVVTRSGWTSNDDNVVVFQSIEEAMDRLAEFTGHVIVSGGGEIYRETLPMASTLHLSTIDIEPEGDVFFPSIPNTFEVVFEQHFTSNINYCYQIWKKG ->ARGMiner~~~CARB-16~~~WP_004197546.1~~~penam unknown +>ARGMiner~~~CARB-16~~~WP_004197546.1~~~penam~~~unknown MTRSALLIPLTTAAIALNAISPVYASDTHSIDDTVKQVETTLGAKVGIAVLDTGSQRAWFHRADDRFPMASTSKALTCAALLDKGQSFMNKEALIKKADLDEYAPVTSGIVGKKVSAADLCSITMRTSDNTAVNKVLEILGGPQAVTAYLRKTGDNITRLDRNEPDLNEGTPGDVRDTTTPRAILETLNKLVLGPTLGSDERKQLTTWLESNEVGDPLLRAGVPSDWRVADRTGAGGNGTRGVIAVMWPPKHAPIIAAIYITQTKATMEERNAAIASIGKAIAAEVLE ->ARGMiner~~~oqxB~~~WP_004174783.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_004174783.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVGKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTDLFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxB~~~WP_004149399.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxB~~~WP_004149399.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MDFSRFFIDRPIFAAVLSILIFITGLIAIPLLPVSEYPDVVPPSVQVRAEYPGANPKVIAETVATPLEEAINGVENMMYMKSVAGSDGVLVTTVTFRPGTDPDQAQVQVQNRVAQAEARLPEDVRRLGITTQKQSPTLTLVVHLFSPNGKYDSLYMRNYATLKVKDELARLPGVGQIQIFGSGEYAMRVWLDPNKVAARGLTASDVVTAMQEQNVQVSAGQLGAEPLPQESDFLISINAQGRLHTEEEFGNIILKTAQDGSLVRLRDVARIEMGSGSYALRSQLNNKDAVGIGIFQSPGANAIDLSNAVRAKMAELATRFPEDMQWAAPYDPTVFVRDSIRAVVQTLLEAVVLVVLVVILFLQTWRASIIPLIAVPVSVVGTFSILYLLGFSLNTLSLFGLVLAIGIVVDDAIVVVENVERNIEEGLAPLAAAHQAMREVSGPIIAIALVLCAVFVPMAFLSGVTGQFYKQFAVTIAISTVISAINSLTLSPALAALLLKPHGAKKDLPTRLIDRLFGWIFRPFNRFFLRSSNGYQGLVSKTLGRRGAVFAVYLLLLCAAGVMFKVVPGGFIPTQDKLYLIGGVKMPEGSSLARTDAVIRKMSEIGMNTEGVDYAVAFPGLNALQFTNTPNTGTVFFGLKPFDQRKHTAAEINAEINAKIAQIQQGFGFSILPPPILGLGQGSGYSLYIQDRGGLGYGALQSAVNAMSGAIMQTPGMHFPISTYQANVPQLDVQVDRDKAKAQGVSLTELFGTLQTYLGSSYVNDFNQFGRTWRVMAQADGPYRESVEDIANLRTRNNQGEMVPIGSMVNISTTYGPDPVIRYNGYPAADLIGDADPRVLSSSQAMTHLEELSKQILPNGMNIEWTDLSFQQATQGNTALIVFPVAVLLAFLVLAALYESWTLPLAVILIVPMTMLSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEIQGKGIMEAALEACRLRLRPIVMTSIAFIAGTIPLILGHGAGAEVRGVTGITVFSGMLGVTLFGLFLTPVFYVTLRKLVTRRKPVQEDLPA ->ARGMiner~~~oqxA~~~WP_004149398.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran unknown +>ARGMiner~~~oqxA~~~WP_004149398.1~~~fluoroquinolone;glycylcycline;tetracycline;diaminopyrimidine;nitrofuran~~~unknown MSLQKTWGNIHLTALGAMMLSFLLVGCDDSVAQNAAPPAPTVSAAKVLVKSISQWDSFNGRIEAVESVQLRPRVSGYIDKVNYTDGQEVKKGQVLFTIDDRTYRAALEQAQAALARAKTQASLAQSEANRTDKLVHTNLVSREEWEQRRSAAVQAQADIRAAQAAVDAAQLNLDFTKVTAPIDGRASRALITSGNLVTAGDTASVLTTLVSQKTVYVYFDVDESTYLHYQNLARRGQGASSDNQALPVEIGLVGEEGYPHQGKVDFLDNQLTPSTGTIRMRALLDNSQRLFTPGLFARVRLPGSAEFKATLIDDKAVLTDQDRKYVYIVDKDGKAQRRDIIPGRLADGLRIVQKGLNPGDSVIVDGLQKVFMPGMPVNAKTVAMTSSATLN ->ARGMiner~~~FosA6~~~WP_004146118.1~~~fosfomycin unknown +>ARGMiner~~~FosA6~~~WP_004146118.1~~~fosfomycin~~~unknown MLSGLNHLTLAVSQLAPSVAFYQQLLGMTLHARWDSGAYLSCGDLWLCLSLDPQRRVTPPEESDYTHYAFSISEADFASFAARLEAAGVAIWKLNRSEGASHYFLDPDGHKLELHVGSLAQRLAACREQPYKGMVFFDQ ->ARGMiner~~~OXY-2-7~~~WP_004111091.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXY-2-7~~~WP_004111091.1~~~monobactam;cephalosporin;penam~~~unknown MIKSSWRKIAMLAAAVPLLLASGALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGAGDYGTTNDIAVIWPEDHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~ErmO~~~WP_003972831.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmO~~~WP_003972831.1~~~macrolide;lincosamide;streptogramin~~~unknown MARPTQRARTLSQNFLADRATAERVARLAVPDRGRPPLLLEVGAGNGALTEPLARRSRELHAYEIDPRLVPGLRARFARSPHVHVVAGDFLTARPPRTPFAVAGNVPFSRTADIVDWCLTAPGLTDATLLTQLEYARKRTGDYGRWTLLTVLTWPRHEWRLVGRVGRSRFCPAPRVDAGILRIERRPTALLTGAAARRDWADLVELGFSGVGGSLHASLRRAHSRRRVDAAFRAARLDPGVLVGEVAPDRWLRLHEELTA ->ARGMiner~~~AAC(6')-Isa~~~WP_003950682.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~WP_003950682.1~~~aminoglycoside~~~unknown MSDLELRGERVLLRSTTPADTAALDRIVREPEVALWWPPPEGYERMLAVVVDGEVIGAIQFSEETDPEFRHAGIDLFLSARWHGQGLGPDAVRTLARWLIAERGHHRLVIDPAAANTYAVRSYRKVGFAPVGIMRAYWRNHRTGEWEDGLLMDLLAAELT ->ARGMiner~~~qacH~~~WP_003830719.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_003830719.1~~~fluoroquinolone~~~unknown MKNWLFLATAIIFEVIATSALKSSEGFTRLVPSFIVVAGYAAAFYFLSLTLKSIPVGIAYAVWSGLGIVLVTAIAWVLHGQKLDMWGFVGVGFIISGVAVLNLLSKASVH ->ARGMiner~~~tetW~~~WP_003672618.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_003672618.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVKKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDKLLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTSIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETVQVKKDEVVFTGEIPARCIQAYRTDLASYTNGQSVCLTELKGYQAAVGKPVIQPRRPNSRLDKVRYMFQKIM ->ARGMiner~~~OXA-324~~~WP_003651390.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-324~~~WP_003651390.1~~~cephalosporin;penam~~~unknown MYKKALIVTTSILFLSACSSNSVKQHQIHSMSANKNSEEIKSLFDQAQTTGVLVIKRGKTEEIYGNDLKRASTAYIPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPDWEKDMTLGNAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNANIGSKVDNFWLVGPLKITPQQETQFAYQLAHKTLPFSKDVQEQVQSMVFIEEKNGSKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIVAFSLNLEMKKGTPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~tet32~~~WP_003505402.1~~~tetracycline unknown +>ARGMiner~~~tet32~~~WP_003505402.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYTSGAIAEQGNVDKGTTRTDTMILERQRGITIQTAVTSFYWNDYKINIVDTPGHMDFLTEAYRSLSVLDGAVLVISAKDGVQAQTRILFHALQKMDIPTIIFINKIDQNGIDLQCVYQSIKDKLTSDMIVMQEVSLSPKITMTDISDLDKWDMIISGSDELLERYVAEDSLDIQELQYEKCKRTRCCSLFPVYHGSAKDNLGTEKLIEAIIETFITETDDIQSELCGYVFKVEYTERKKRLSYLRLYHGTLHLRDTLLLSKKEKIKITEMCIPSNGEIVPADHACPGEIVILADDTLKLNDILGNEKLLPHKTRIDNPMPLLRTTVEPQKPEQREALLNALAEIADTDPLLHFDIDTVTHEIMLSFLGKVQLEVICSLLEEKYHVGVAMKEPSVIYLERPQKKASCTIHIEVPPNPFWASIGLTVTPLPVGSGTQYKSEVSLGYLNQSFQNAVMEGVRYGMEQGLYGWGVTDCQICFDYGVYYSPVSTPADFRFLAPVVLEQALKKAGTQLLEPYLSFTLFAPQEYLSRAYNDAPKYCAIIESTRLEKDEVIFKGEIPARCIGEYRNDLNFYTNGRSVCITELKGYQETSGEPVFQPRRPNSRLDKIRHMFQKIM ->ARGMiner~~~tetA(P)~~~WP_003479690.1~~~tetracycline unknown +>ARGMiner~~~tetA(P)~~~WP_003479690.1~~~tetracycline~~~unknown MVNKLSAYKTYLLFSAIAAMCFSLVATVMVVYHIEIVHLNPLQLILVGTTLELACFIFEIPTGIVADVYSRKLSIVIGGVLTGVGFILEGSISSFVFVLVAQIVWGLGSTFISGSLEAWIAEEEKNKDLDEIYIKGAQAGQIGAFIGIVLSTVIANFSVRLPIIVSGVLFIILALFLWLYMPENNFKPSAPGDLNTFKKMVYTFKSGLKIVKSKSIIMILLAVTLFYGLSSEGYDRLSNAHFLQDTTLPKLGNLSSVTWFGIFGILGMILSFIVMHFMAKNLKNEDNRKNGKLLLCINILYISSMLIFALTKNFSLMLIAYLATNTFRIINEPIFSAWLNGHIDDNSRATVLSINGQMNSLGQILGGPIIGIIATNISVSIGIACTSLLVTPVLVLYIVAMIIDKKVDDRVGGIDYEENN ->ARGMiner~~~tet44~~~WP_003454408.1~~~tetracycline unknown +>ARGMiner~~~tet44~~~WP_003454408.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYTSGAILELGSVDKGTTRTDTMFLERQRGITIQAAVTSFNWNDYKINIVDTPGHTDFITEVYRSLSVLDGAILVISAKDGVQAQTRILFHALQKMNIPTIIFINKIDQDGINLNNIYQNIKEKLSNDIIVMQNVTLTPEISIKNIIDLDDWDPVISRNDKLLKKYIAGEKLTIQELTYEEYRCVKKGSLFPIYHGSARNNIGTQQLIEAISNLFCSEMNENGSELCGRVFKIEYTDHKQRLVYLRLYSGTLHLRDTIILPEKKKVKLTEIYIPSNGEMIQTKIVCSGDIFIIPNNTLRLNDIIGNEKILPCNVWNDNTAPILRTRIEPIKIEEREKLLDALTEIADTDPLLRYYVDTITHEIIISFLGTVQLEVICSLLIEKYHINIRIEDPTVIYLEKPLQKADYTIHIEVPPNPFWASIGLSITPLPIGSGIQYESKVSLGYLNQSFQNAVREGINYGLEQGLYGWKVTDCKICFEYGVYYSPVSTPSDFRFLAPIVLEQTLKKAGTQLLEPYLSFILFTPQEYFSRAYNDAQKHCAIIETSQSKNDEVIFTGHIPARCINEYRNTLTLYTNGQAVFLTELKDYQIATCEPVIQSRRPNNRIDKVRHMFNKKEN ->ARGMiner~~~vanRG~~~WP_003436401.1~~~glycopeptide unknown +>ARGMiner~~~vanRG~~~WP_003436401.1~~~glycopeptide~~~unknown MNENILIVDDEKEIADLIEVYLKNEGFTVYKFYTGKEALECIESKNLDMAILDIMLPDIDGFHICQKIREKYYYPIIMLTAKIEDIDKIMGLTIGADDYITKPFNPLEVVARVKTQLRRYMRYNNSYEQQSIIVNEYDIKGLIINKETHKCSLFGKEVALTPIEFSILWYLCEHQGKVVPSEELFEAVWGEKYLDNNNTVMAHIGRLREKIHEPSKNPKFIKTVWGVGYTIEK ->ARGMiner~~~BLA1~~~WP_003410677.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_003410677.1~~~penam~~~unknown MRNRGFGRRELLVAMAMLVSVTGCARHASGARPASTTLPAGADLADRFAELERRYDARLGVYVPATGTTAAIEYRADERFAFCSTFKAPLVAAVLHQNPLTHLDKLITYTSDDIRSISPVAQQHVQTGMTIGQLCDAAIRYSDGTAANLLLADLGGPGGGTAAFTGYLRSLGDTVSRLDAEEPELNRDPPGDERDTTTPHAIALVLQQLVLGNALPPDKRALLTDWMARNTTGAKRIRAGFPADWKVIDKTGTGDYGRANDIAVVWSPTGVPYVVAVMSDRAGGGYDAEPREALLAEAATCVAGVLA ->ARGMiner~~~OXA-247~~~WP_003328240.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-247~~~WP_003328240.1~~~cephalosporin;penam~~~unknown MKKRKMPAVFLALIGAAGLLFTTSVQPISEASAAEKNLNIKKLDVDEFFADHNGTFILRDMNKGKTFIYNHERANQRFAPQSTFKVPNALIGLQVGAVEDEYSIKYWDGVKREIDIWNQDHTLGSGMRHSVVWYYQAMARDIGESRMKEWIEKISYGNQDISGGIDQFWLSSTLKISPIEQADFMERLYKENLPFDKDVMKTVKRMMIQEEEDHSTLYGKTGSGTGIGWFFGFVKIDNRAYSFVTNIDGTGAEAKNITMDILKKYKLY ->ARGMiner~~~tet(L)~~~WP_003242953.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~WP_003242953.1~~~tetracycline~~~unknown MNTSYSQSTLRHNQVLIWLCVLSFFSVLNEMVLNVSLPDIANEFNKLPASANWVNTAFMLTFSIGTALYGKLSDQLGIKNLLLFGIMVNGLGSIIGFVGHSFFPILILARFIQGIGAAAFPALVMVVVARYIPKENRGKAFGLIGSLVAMGEGVGPAIGGMVAHYIHWSYLLLIPTATIITVPFLIKLLKKEERIRGHIDMAGIILMSAGIVFFMLFTTSYRFSFLIISILAFFIFVQHIRKAQDPFVDPELGKNVFFVIGTLCGGLIFGTVAGFVSMVPYMMKDVHHLSTAAIGSGIIFPGTMSVIIFGYIGGLLVDRKGSLYVLTIGSALLSSGFLIAAFFIDAAPWIMTIIVIFVFGGLSFTKTVISTVVSSSLKEKEAGAGMSLLNFTSFLSEGTGIAIVGGLLSIGFLDHRLLPIDVDHSTYLYSNMLILFAGIIVICWLVILNVYKRSRRHG ->ARGMiner~~~OXA-247~~~WP_003235647.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-247~~~WP_003235647.1~~~cephalosporin;penam~~~unknown MSKGKVQALFLSLIGAALLMTSSLQTPTEAEAAEKNINSKKLKVDEFFTDLDGTFILRDLKNEKTFVYNDQRAKQRFAPQSTFKVPNALIGLETGAVKDEYDIKYWDGVKRELDVWNQDHTLGSAMRYSVVWYYQAMARDIGEERMKEWIEKTGYGNQDISGGIDQFWLSSTLKISPLEQTDFMESLYKEKLPFDKSVMKTVKRMMIQEDEDHYTLYGKTGSGSGIGWYAGFVKTEHGAYSFVTNIDGTGTEAKSITMDILKKYVLH ->ARGMiner~~~BcI~~~WP_003178584.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_003178584.1~~~cephalosporin;penam~~~unknown MKLWFSTLKLKKVAAVLLFSCVALAGCGSNHSNASHSAEKDEKTEMKDDFAKLEEQFDAKLGIFALDTGTNRTVTYRPDERFAFASTIKALTVGVLLQQKSIEDLNQRITYTRDDLVNYNPITEKHVDTGMTLKELADASLRYSDNTAQNLILKQIGGPESLKKELRKIGDEVTNPERFEPELNEVNPGETQDTSTARALATSLQAFALEDKLPSEKRELLIDWMKRNTTGDALIRAGVPEGWEVADKTGAGSYGTRNDIAIIWPPKGDPVVLAVLSSRDKKDAKYDDKLIAEATKVVVKALNMESK ->ARGMiner~~~OXA-50~~~WP_003161965.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-50~~~WP_003161965.1~~~cephalosporin;penam~~~unknown MRPLLFSALLLLSGHTQASEWNDSQAVDKLFGAAGVKGTFVLYDVQRQCYVGHDRERAETRFVPASTYKVANSLIGLSTGAVRSADEVLPYGGKPQRFKAWEHDMSLREAIKASNVPVYQELARRIGLERMRANVSRLGYGNAEIGQVVDNFWLVGPLKISAMEQTRFLLRLAQGELPFPAPVQSTVRAMTLLESGPGWELHGKTGWCFDCTPELGWWVGWVKRNERLYGFALNIDMPGGEADIGKRVELGKASLKALGILP ->ARGMiner~~~AAC(3)-Id~~~WP_003155734.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Id~~~WP_003155734.1~~~aminoglycoside~~~unknown MSVEIIHLTGNDVALLQSINAMFGEAFNDQDSYARNKPPSSYLQKLLSTSSFIALAAVDEQKVIGAIAAYELQKFEQQRSEIYIYDLAVAATRRREGIATALIKKLKAIGAARGAYVIYVQADKGVEDQPAIELYKKLGTIEDVFHFDIAVEQSKNHA ->ARGMiner~~~PDC-9~~~WP_003137570.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-9~~~WP_003137570.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLTVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDRAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~OXA-50~~~WP_003118452.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-50~~~WP_003118452.1~~~cephalosporin;penam~~~unknown MRPLLFSALLLLSGHTQASEWNDSQAVDKLFGAAGVKGTFVLYDVQRQRYVGHDRERAETRFVPASTYKVANSLIGLSTGAVRSADEVLPYGGKPQRFKAWEHDMSLREAIKASNVPVYQELARRIGLERMRANVSRLGYGNAEIGQVVDNFWLVGPLKISAMEQTHFLLRLAQGELPFPAPVQSTVRAMTLLESGPGWELHGKTGWCFDCTPELGWWVGWVKRNERLYGFALNIDMPGGEADIGKRVELGKASLKALGILP ->ARGMiner~~~APH(3')-IIb~~~WP_003113011.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIb~~~WP_003113011.1~~~aminoglycoside~~~unknown MHDAATSMPPQAPSTWADYLAGYRWRGQGEGCSAATVHRLEAARRPTLFVKQEVLSAHAELPAEIARLRWLHGAGIDCPQVLNETQSDGRQWLLMSAVPGDTLSALAQRGELEPERLVRLVAAALRRLHDLDPAACPFDHRLERRLDTVRQRVEAGLVDEADFDDDHRGRSATELYRLLLDRRPAVEDLVVAHGDACLPNLLAEGRRFSGFIDCGRLGVADRHQDLALAARDIEAELGAAWAEAFLVEYGGDIDGERLAYFRLLDEFF ->ARGMiner~~~PDC-10~~~WP_003110141.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-10~~~WP_003110141.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFAATPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQPKVPLKR ->ARGMiner~~~OXA-50~~~WP_003097028.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-50~~~WP_003097028.1~~~cephalosporin;penam~~~unknown MRPLLFSALLLLSGHAQASEWNDSRAVDKLFGAAGVKGTFVLYDVQRQRYVGHDRERAETRFVPASTYKVANSLIGLSTGAVRSADEVLPYGGKPQRFKAWEHDMSLRDAIKASNVPVYQELARRIGLERMRANVSRLGYGNAEIGQVVDNFWLVGPLKISAMEQTRFLLRLAQGELPFPAPVQSTVRAMTLLESGPGWELHGKTGWCFDCTPELGWWVGWVKRNERLYGFALNIDMPGGEADIGKRVELGKASLKALGILP ->ARGMiner~~~IMI-2~~~WP_003023375.1~~~carbapenem unknown +>ARGMiner~~~IMI-2~~~WP_003023375.1~~~carbapenem~~~unknown MRLLVTTLSLIPSIILAAPQLDDSFKNLENKYDGKIGIYTLNTDDKTNIKYNESYHFPICSVFKFLLVGAILDYDMHNQGFLDKKIPINQDDIGKLGYAPITAKNVGKTLTISQLNYAAILSDSPASNILVRELGGLQNLNKFIKKLGDNDTIITADEPEINYTQPHSNINKTTPKAITKDIYKLAFGNILDKKHKDIFIKYLQDNNTGANRIAFSMPKDWIIGDKTGTCGQYAATNDVAIIWPKNQQPIALGILYTNPNDKNAPSNEEIIQQAAKLIANDLTNTYK ->ARGMiner~~~OXA-184~~~WP_002936877.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_002936877.1~~~cephalosporin;penam~~~unknown MKKIILFLWILNFAFGQDKILEDFFKDYNTSGTFIVFDGKNYASNDFQRAKQTFSPASTFKIFNALIALDNGVIKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSNKSQEEVKKLLLFKENKIQKIYAKTGFNDNINLAWIVGFVKTKNKILSFALNVDIKDIKNIKIREKLLEKYIYSLN ->ARGMiner~~~OXA-184~~~WP_002934081.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_002934081.1~~~cephalosporin;penam~~~unknown MRNFIVFILFLNIAIGEDKILGNFFKDCNTSGTFIVFDGKNYASNDFKRAKQAFSPASTFKIFNALIALDNGVVRDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKIQEEVKEIILFKEDKIQKIYAKTGFNDNINLAWIVGFVKTENKILSFALNVDIKDIKNIKIREELLNKYLANFFNNNRIKSFY ->ARGMiner~~~tetO~~~WP_002909882.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_002909882.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSALDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMYVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMIQTTIAVKKSEQREILLGALTEISDCDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~SHV-134~~~WP_002904004.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-134~~~WP_002904004.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-184~~~WP_002893358.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-184~~~WP_002893358.1~~~cephalosporin;penam~~~unknown MKKIILFLWILNFAFGQDKILEDFFKDYNTSGIFIIFDGKNYASNDFQRAKQTFSPASTFKIFNALIALDNGVVKDTKEIFYHYKGEKVFLPSWKQDASLSSAIKRSQVPAFKELARKIGLKTMQESLNKLSYGNAKISKIDTFWLDNSLQISAKNQADLLFKLSQNSLPFSKKSQEEVKKLLLFKENKIQKIYAKTGFNDNINLAWIVGFVKTKNKILSFALNVDIKHIKNIKIREELLEKYLAIITN ->ARGMiner~~~OXA-61~~~WP_002889704.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_002889704.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMICLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_002857546.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_002857546.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKVLNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_002837769.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_002837769.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSCVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_002824679.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_002824679.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISVKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_002812372.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_002812372.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWVQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_002791310.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_002791310.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLDKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~OXA-61~~~WP_002788004.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_002788004.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVTRRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKS ->ARGMiner~~~aadK~~~WP_002785795.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_002785795.1~~~aminoglycoside~~~unknown MQNQDKFLKQFKKLALLDKNIRLVTLEGSRVNKKAKKDKYQDYDISFFVPLDKMKDFLGLNEKQNFNECKNLPKCILELEKSSYFKKILMLQMPECMEFYPPDLPQNWISFLVLFESGVRLDLTIIPLEDLKNYYEFEPLSQALLDKNGLFTHTIPKAPFSITHLSQRSFDDVCNEFYFLYSCLKKALLRKQFILSNHLLNSLRKALFDLLSFKIGLNFGFEIWLGKEYTNILEFLEEKEVKIILKSFNTATLEHIKKARKKLEILFHKNAKFVAKKSDFKLFPYRKNVKRYCKILGKL ->ARGMiner~~~OXA-61~~~WP_002783228.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-61~~~WP_002783228.1~~~cephalosporin;penam~~~unknown MKKITLFLLFLNLVFGQDKILNNWFKEYNTSGTFVFYDGKTWASNDFSRAMETFSPASTFKIFNALIALDSGVIKTKKEIFYHYRGEKVFLSSWAQDMNLSSAIKYSNVLAFKEVARRIGIKTMQEYLNKLHYGNAKISKIDTFWLDNSLKISAKEQAILLFRLSQNSLPFSQEAMNSVKEMIYLKNMENLELFGKTGFNDEQKIAWIVGFVYLKDENKYKAFALNLDIDKFEDLYKREKILEKYLDELVKKVKNDG ->ARGMiner~~~tet32~~~WP_002602099.1~~~tetracycline unknown +>ARGMiner~~~tet32~~~WP_002602099.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYTSGAIAEQGNVDKGTTRTDTMILERQRGITIQTAVTSFCWNDYKINIVDTPGHMDFLTEAYRSLSVLDGAVLVISAKDGVQAQTRILFHALQKMDIPTIIFINKIDQNGIDLRRVYQSIKDKLTSDMIVMQEVSLSPKITMTDISDLDKWDMIISGSDELLERYVAEDSLDIQELQYEKCKRTRCCSLFPVYHGSAKDNLGTEKLIEAITETFITETDDIQSELCGYVFKVEYTERKKRLSYLRLYHGTLHLRDTLLLSKKEKIKITEMCIPSNGEIVPVDHACPGEIVILADDTLKLNDILGNEKLLPHKTRIDNPMPLLRTTVEPQKPEQREALLNALTEIADTDPLLHFDIDTVTHEIILSFLGKVQLEVICSLLEEKYHVGVAMKEPSVIYLERPQKKASYTIHIEVPPNPFWASIGLTVTPLPVGSGTQYKSEVSLGYLNQSFQNAVMEGVRYGMEQGLYGWGVTDCQICFDYGVYYSPVSTPADFRFLAPVVLEQALKKAGTQLLEPYLSFTLFAPQEYLSRAYNDAPKYCAIIESTRLEKDEVIFKGEIPARCIGEYRNDLNFYTNGRSVCITELKGYQETSGEPVFQPRRPNSRLDKIRHMFQKIM ->ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~WP_002592614.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~WP_002592614.1~~~aminoglycoside~~~unknown MLEEKRVSFRPMSEDDLVLMLKWLTDDRVLEFYDGRDKRHTQKTIREHYTEQWADEIYRVIIEYDTIPIGYAQIYRIQGELFDEYDYHETEEKIYAMDQFIGEPEYWNMGIGAEYCRVVCQYLRTEMGADAVILDPRKNNPRAVRAYQKAGFEIIKELPEHELHEGKKEDCVLMEWRA ->ARGMiner~~~tetW~~~WP_002586627.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_002586627.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISREKLAREEQQRVQDASLFPVYHGSAKNGLGIQPLMDAVTGLFQPIGEQGGAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYQGEIVILPSDSVRLNDVLGDQTRLPRKRWREDPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPSVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLSLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFILYAPQEYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGRSVCLTELKGYQAAVGQPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~ANT(9)-Ia~~~WP_002578722.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(9)-Ia~~~WP_002578722.1~~~aminoglycoside~~~unknown MNINEFPQQVNQVISIAETILQGQILGIYLYGSATMNGLRPDSDIDILIITKQELSNSIRADLTKQLLKISGSVGCIEKRPLEVTIINQSDIVPLQFPPKCQYMYGEWLRGEMEAGEYPQACNDPDIMILLWQARKNSITLKGAESKELIPAIPFHEIKKAIRFSLPGLISSFKGDERNVLLTLSRMWFTLVTEEITTKDVAAKWVILKLPERFPPLLTTAKEAYLGNLSDEWETVEKEAMALVEYMKKQIEELLRTE ->ARGMiner~~~FosB3~~~WP_002467687.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_002467687.1~~~fosfomycin~~~unknown MEITNVNHICFSVSDLNTSIQFYKDILHGDLLVSGRTTAYLTIGHTWIALNQEKNIPRNEISHSYTHVAFSIDEEDFQQWIQWLKENQVNILKGRPRDIKDKKSIYFTDLDGHKIELHTGTLKDRMEYYKCEKTHMQFYDEF ->ARGMiner~~~FosB3~~~WP_002437790.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_002437790.1~~~fosfomycin~~~unknown MEITSVNHICFSVSDLNTSIQFYKDILHGDLLVSGRTTAYLTIGHTWIALNQEKNIPRNEISHSYTHIAFSIDEEDFQQWIQWLKENQVNILKGRPRDIKDKKSIYFTDPDGHKIELHTGTLKDRMEYYKCENTHMQFYDEF ->ARGMiner~~~vanYB~~~WP_002416070.1~~~glycopeptide unknown +>ARGMiner~~~vanYB~~~WP_002416070.1~~~glycopeptide~~~unknown MEKSNYHSNADHHKRHMKQSVEKRAFLCAFIISFTVCTLFLGWRLASVLEATQIPPIPATHTGSSTDVVENLEENALATAKEQGDEQEWSLILVNRQNPIPAQYDVELEQLSNGERIDIRISPYLQDLFDAARTDGVYPIVASGYRTTEKQQEIMDEKIAEYKAKGYTSAQAKAEAETWVAVPGTSEHQLGLAVDINADGIHSTGNEVYRWLDENSYRFGFIRRYPPDKTEITGVSNEPWHYRYVGIEAATEMYNQGVCLEEYLKPEK ->ARGMiner~~~lsaA~~~WP_002411322.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002411322.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEEKAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARATRVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~Enterococcus faecium chloramphenicol acetyltransferase~~~WP_002403315.1~~~phenicol unknown +>ARGMiner~~~Enterococcus faecium chloramphenicol acetyltransferase~~~WP_002403315.1~~~phenicol~~~unknown MTFNIINLETWDRKEYFNHYFNQQTTYSVTKEFDITLLKSMIKNKGYELYPALIYTIVNIINQNKVFRTGINSEGNLGYWEKLNPLYTVFNKETEKFSNIWTESNVSFNSFYNSYKSDLLEYKDKNEMFPKKPIPENTVPISMIPWIDFSSFNLNIGNNSRFLLPIITIGKFYSKNNKIYLPVSLQVHHAVCDGYHVSLFMSEFQNIVDSVNEWI ->ARGMiner~~~lsaA~~~WP_002387187.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002387187.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~vanHB~~~WP_002382874.1~~~glycopeptide unknown +>ARGMiner~~~vanHB~~~WP_002382874.1~~~glycopeptide~~~unknown MRKSVGITVFGCEQDEANAFRALSPDFHIIPTLISDAISADNAKLAAGNQCVSVGHKSEVSEATILALRKVGVKYISTRSIGCDHIDTTAAERMGISVGTVAYSPDSVADYALMLMLMAIRGAKPTMHAVAQQDFRLDRIRGKELGDMTVGVIGTGHIGQAVVKRLRGFGCHVLAYDNSRKMDADYVQLDELLKNSDIVTLHVPLCADTRHLIGQKQIGEMKQGAFLINTGRGALVDTGALVEALESGKLGGAALDVLEGEDQFVYTDCSQKVLDHPFLSQLLRMPNVIITPHTAYYTERVLQDTTEKTIRNCLNFERSLQHE ->ARGMiner~~~vanSB~~~WP_002382867.1~~~glycopeptide unknown +>ARGMiner~~~vanSB~~~WP_002382867.1~~~glycopeptide~~~unknown MERKGIFIKVFSYTIIVLLLLVGVTATLFAQQFVSYFRVMELQQTVKSYQPLVELIQNSDRLDIQEVAGLFHYNNQSFEFYIEDKEGSVLYATPNANTSNSFRPDFLYVVHRDDNISIVAQSKAGVGLLYQGLTIRGIVMIAIMVVFSLLCAYIFARQMTTPIKALADSANKMANLKDVPPPLERKDELGALAHDMHSMYVRLKETIARLEDEIAREHELEETQRYFFAAASHELKTPIAATSVLLEGMLENIGDYKDHSKYLRECIKMMDRQGKIISEILELVSLNDGRIVPIAEPLDIGRTVAELLPDFQTLAEANNQRFVTDIPAGQIVLSDPRLLQKALSNVILNAVQNTPQGGEVRIWSEPGAEKCRLFVLNMGVHIDDTALPRLFTPFYRIDQARSRKSGRSGLGLAIVQKTLDAMSLQYALENTSDGVLFWLDLPLTSTL ->ARGMiner~~~AAC(6')-Ii~~~WP_002373947.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_002373947.1~~~aminoglycoside~~~unknown MIISEFDRNNLVLKDQLSDLLRLTWPEEYGDSSAEEVEEMMNPERIAVAAVDQDELVGFIGAIPQYGITGWELHPLVVESSRRKNQIGTRLVNYLEKEVASRGGITIYLGTDDLDHGTTLSQTDLYEHTFDKVASIQNLREHPYEFYEKLGYKIVGVLPNANGWDKPDIWMAKTIIPRPDSQ ->ARGMiner~~~lsaA~~~WP_002365053.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002365053.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFTPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVRPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~tetM~~~WP_002364936.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_002364936.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLYPVYHGSAKSNIGIEQLIEVITNKFYSSTYRKKSELCGNVFKIEYSEERQRLAYVRLYGGILHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLQYYVDSTTHEIILSFLGKVQMEVTCALLQEKYHVEVKIKKPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVNTQLKNNEVILSGEIPARCIQEYRNDLTFFTNGRSVCLTELKGYYVTTGESVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~Enterococcus faecium chloramphenicol acetyltransferase~~~WP_002352254.1~~~phenicol unknown +>ARGMiner~~~Enterococcus faecium chloramphenicol acetyltransferase~~~WP_002352254.1~~~phenicol~~~unknown MTFNIINLETWDRKEYFNHYFNQQTTYSVTKEFDITLLKSMIKNKGYELYPALIYTIVNIINQNKVFRTGINSEGNLGYWDKLNPLYTVFNKETEKFSNIWTESNVSFNSFYNSYKSDLLEYKDKNEMFPKKPIPENTVPISMIPWIDFSSFNLNIGNNSRFLLPIITIGKFYSKNNKIYLPVSLQVHHAVCDGYHVSLFMSEFQNIVDSVNEWI ->ARGMiner~~~clbA~~~WP_002349981.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin unknown +>ARGMiner~~~clbA~~~WP_002349981.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin~~~unknown MQQKNKYIRIQEFLKQNKFPNYRMKQITNAIFPGRINNFNEITVLPKSLRDMLIEEFGESILNIVPLKAQQSTQVSKVLFGISGDEKIETVNMKYKAGWESFCISSQCGCNFGCKFCATGDIGLKRNLTSDEITDQILYFHLQGHSIDSISFMGMGEALANVQVFDALNVLTDPALFALSPRRLSISTIGIIPNIKKLTQNYPQVNLTFSLHSPFNEQRSELMPINERYPLSDVMDTLDEHIRVTSRKVYIAYIMLHGVNDSIEHAKEVVNLLRGRYRSGNLYHVNIIRYNPTVSSRMRFEEANEKCLVNFYKKLKSAGIKVTIRSQFGIDIDAACGQLYGNYQKTNSQ ->ARGMiner~~~ErmT~~~WP_002345002.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmT~~~WP_002345002.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKKNIKDSQNFITSKHHINEILRNVHLNTNDNIIEIGSGKGHFTFELAKRCNYVTAIEIDPKLCRITKNKLIEYENFQVINKDILQFKFPKNKSYKIYGNIPYNISTDIIRKIVFESTATESYLIVEYGFAKRLLNTNRSLALFLMTEVDISILSKIPREYFHPKPRVNSSLIVLKRHPSKISLKDRKQYENFVMKWVNKEYIKLFSKNQFYQALKYARIDDLNNISFEQFLSLFNSYKLFNR ->ARGMiner~~~AAC(6')-Ii~~~WP_002339746.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_002339746.1~~~aminoglycoside~~~unknown MIISELDRNNPVLKDQLSDLLRLTWPEEYGDSSAEEVEEMMNPERIAVAAVDQDELVGFIGAIPQYGITGWELHPLVVESSRRKNQIGTRLVNYLEKEVASRGGITIYLGTDDLDHGTTLSQTDLYEHTFDKVASIQNLREHPYEFYEKLGYKIVGVLPNANGWDKPDIWMAKTIIPRPDSQ ->ARGMiner~~~AAC(6')-Ii~~~WP_002328174.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_002328174.1~~~aminoglycoside~~~unknown MIISEFDRNNPVLKDQLSDLLRLTWPEEYGDSSAEEVEEMMNPERIAVAAVDQDELVGFIGAIPQYGITGWKLHPLVVESSRRKNQIGTRLVNYLEKEVASRGGITIYLGTDDLDHGTTLSQTDLYEHTFDKVASIQNLREHPYEFYEKLGYKIVGVLPNANGWDKPDIWMAKTIIPRPDSQ ->ARGMiner~~~ErmB~~~WP_002321849.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_002321849.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNTRVTLIHQDILQFQFPNKQRYKIVGNIPYHLSTQIIKKVVFESHASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPKVNSVLIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTVTYEQVLSIFNSYLLFNGRK ->ARGMiner~~~vanXB~~~WP_002317266.1~~~glycopeptide unknown +>ARGMiner~~~vanXB~~~WP_002317266.1~~~glycopeptide~~~unknown MENGFLFLDEMLHGVRWDAKYATWDNFTGKPVDGYEVNRIIGTKAVAFALREAQIHAAALGYGLLLWDGYRPRTAVDCFLRWAAQPEDNLTKEKFYPNIERAELITKGYVASQSSHSRGSAIDLTLYHLDTGELVSMGSNFDFMDERSHHTAKGIGDAEAQNRRCLRKIMESSGFQSYRFEWWHYKLIDEPYPDTYFNFAVS ->ARGMiner~~~lsaA~~~WP_002296175.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002296175.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIEIKNLTFGYDSQGTLLFEQANLNFDTQWKLGLIGRNGRGKTTLLNILQNKLPYQGQVIHQQEFAYFPQQTKDKERLTYYVLNDITDFEIWEIERELQLMQTDPEILWREFSTLSGGEKTKVLLALLFVDDTHFPLIDEPTNHLDISGRKQVAAYLKKKKQGFIVVSHDRGFIDEVVDHVLAIEKSQLELYQGNFSIYEEQKKLRDEFEMAQNEKLKKEVSRLKKTAAEKAEWSRSREGDKTKKQVGFIDTESRRVNKGAVGADAARTMKRSKAIVNRMETQISEKEKLLKDIEYIDSLTMNSQASHHKRLLSVEDLQLGYENLLFEPIHFTIEPHQRVAISGPNGAGKSSIIHYLLGAFNGKVIGEKYQPKHLSISYASQNYEDNRGTLAEFAEKNQVDYQAFLNNLRKLGMERDVFHNKIEQMSMGQRKKVELAKSLSQPAELYTWDEPLNYLDVFNQEQLEQLILNVKPAMLLVEHDQTFLDKVSTEIISLERI ->ARGMiner~~~vatD~~~WP_002295712.1~~~streptogramin unknown +>ARGMiner~~~vatD~~~WP_002295712.1~~~streptogramin~~~unknown MGPNPMKMYPIEGNKSVQFIKPILEKLENVEVGEYSYYDSKNGETFDKQILYHYPILNDKLKIGKFCSIGPGVTIIMNGANHRMDGSTYPFNLFGNGWEKHMPKLDQLPIKGDTIIGNDVWIGKDVVIMPGVKIGDGAIVAANSVVVKDIAPYMLAGGNPANEIKQRFDQDTINQLLDIKWWNWPIDIINENIDKILDNSIIREVIWKK ->ARGMiner~~~ANT(9)-Ia~~~WP_002294509.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(9)-Ia~~~WP_002294509.1~~~aminoglycoside~~~unknown MSIDLSNKKIPKEAIQALKTIAELLDNMLIGVYLYGSAVMGGLRMNSDVDILVITNQSLSEKTRRNLTNRLMLISGKIGNIKDMRPLEVTVINQKDIVPWHFPPKYEFMYGEWLREQFEKGEIPESTYDPDLAILLAQLRKNSINLLGPKATEVIEPVPMTDIRKAIKESLPGLIASINGDERNVILTLARMWLTASTGEIRSKDLAAEWAIPQLPDEHATLLNKAREAYLGECVDKWEGMESEVAELVNHMKKSIESSLNIQLPFRIV ->ARGMiner~~~AAC(6')-Ii~~~WP_002293989.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_002293989.1~~~aminoglycoside~~~unknown MIISEFDRNNPVLKDQLSDLLRLTWPEEYGDSSAEEVEEMMNPERIAVAAVDQDELVGFIGAIPQYGITGWELHPLVVESSRRKNQIGTRLVNYLEKEVASRGGITIYLGTDDLDHGTTLSQTDLYEHTFDKVASIQNLREHPYEFYEKLGYKIVGVLPNANGWDKPDIWMAKTIIPRPDSQ ->ARGMiner~~~AAC(6')-Ii~~~WP_002293569.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_002293569.1~~~aminoglycoside~~~unknown MIISEFDRNNPVLKDQLSDLLRLTWPEEYGDSSAEEVEEMMNPERIAVAAVDQDELVGFIGAIPQYGITGWELHPLVVESSRRKNQIGTRLVNYLEKEVASRGGITIYLGTDDLDHGTTLSQTDLYEHTFDKVASIQNLREHPYEFYEKLGYKIVGVLPNASGWDKPDIWMAKTIIPRPDSQ ->ARGMiner~~~ErmB~~~WP_002292226.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_002292226.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNTRVTLIHQDILQFQFPNKQRYKIVGSIPYHLSTQIIKKVVFESHASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPKVNSVLIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTVTYEQVLSIFNSYLLFNGRK ->ARGMiner~~~AAC(6')-Ii~~~WP_002289795.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ii~~~WP_002289795.1~~~aminoglycoside~~~unknown MIISEFDRNNPVLKDQLSDLLRLTWPEEYGDSSAEEVEEMMNPERIAVAAVDQDELVGFIGAIPQYGITGWELHPLVVESSRRKNQIGTRLVNYLEKEVASRGGITIYLGTDDLDHGTTLSQTDLYEHTFDKVASIQNLREHPYEFYEKLGYKIVGVLPNANGWDKPDIWMAKTIIPRPNSQ ->ARGMiner~~~OXA-378~~~WP_002133528.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-378~~~WP_002133528.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNYSASKSDEKAEKIKNLFNEAQTRGVLVIQQGQIQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKTTTTEVFKWNGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-421~~~WP_002121386.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-421~~~WP_002121386.1~~~cephalosporin;penam~~~unknown MTKKALFFAIGTMFLSACSFNTVEQHQIQSISTNKNSEKIKSLFDQAQTTGVLVIKRGQTEEVYGNDLKRASTEYVPASTFKMLNALIGLEHHKATPTEVFKWDGQKRLFPDWEKDMTLGDAMKASAIPVYQELARRIGLDLMSKEVKRIGFGNADIGSKVDDFWLVGPLKITPQQEAQFAYELAHKTLPFSKNMQEQVQSMLFIEEKNGRKIYAKSGWGWDVEPQVGWLTGWVVQPQGEIIAFSLNLEMKKGIPSSIRKEIAYKGLEQLGIL ->ARGMiner~~~AAC(3)-IIc~~~WP_002063884.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIc~~~WP_002063884.1~~~aminoglycoside~~~unknown MHTRKAITEAIRKLGVQTGDLLMVHASLKAIGPVEGGAETVVAALRSAVGPTGTVMGYASWDRSPYEETLNGARLDDKARRTWPPFDPATAGTYRGFGLLNQFLVQAPGARRSAHPDASMVAVGPLAETLTEPHELGHALGKGSPVERFVRLGGKALLLGAPLNSVTALHYAEAVADIPNKRWVTYEMPMLGRNGEVAWKTASEYDSNGILDCFAIEGKPDAVETIANAYVKLGRHREGVVGFAQCYLFDAQDIVTFGVTYLEKHFGATPIVPAHEAAQRSCEPSG ->ARGMiner~~~OXA-98~~~WP_002057397.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-98~~~WP_002057397.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVSANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKNMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTEWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-130~~~WP_002047441.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-130~~~WP_002047441.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTTNPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNTDIGTQVDNFWLMGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~ADC-75~~~WP_002046804.1~~~cephalosporin unknown +>ARGMiner~~~ADC-75~~~WP_002046804.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLERTIFPELGLKYSYVNVPKTQIQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFINANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~dfrA15~~~WP_001830196.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_001830196.1~~~diaminopyrimidine~~~unknown MKLSLMAAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSSDENVLVFPSIDEALNHLKTITDHVIVSGGGEIYKSLIDKADTLHISTIDIEPEGDVYFPEIPGSFRPVFSQDFVSNINYSYQIWQKG ->ARGMiner~~~ANT(4')-Ib~~~WP_001795128.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(4')-Ib~~~WP_001795128.1~~~aminoglycoside~~~unknown MRIVNGPIIMTREERMKIVHEIKERILDKYGDDVKAIGVYGSLGRQTDGPYSDIEMMCVMSTEEAEFSHEWTTGEWKVEVNFDSEEILLDYASQVESDWPLTHGQFFSILPIYDSGGYLEKVYQTAKSVEAQTFHDAICALIVEELFEYAGKWRNIRVQGPTTFLPSLTVQVAMAGAMLIGLHHRICYTTSASVLTEAVKQSDLPSGYDHLCQFVMSGQLSDSEKLLESLENFWNGIQEWTERHGYIVDVSKRIPF ->ARGMiner~~~floR~~~WP_001747811.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_001747811.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCIQSLIVSIVGTLAVTLLNGDTAWPVICYATAMAVLVSLGLALLRSRDAATEKSPVV ->ARGMiner~~~aadA~~~WP_001682441.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_001682441.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVIGK ->ARGMiner~~~aadA7~~~WP_001436322.1~~~aminoglycoside unknown +>ARGMiner~~~aadA7~~~WP_001436322.1~~~aminoglycoside~~~unknown MSEKVPAEISVQLSQALNVIGRHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAAPLNDAVRQALLVDLLEVSASPGQNKALRALEVTIVVHSDIVPWRYPARRELQFGEWQRKDILAGIFEPATTDSDLAILLTKAKQHSVVLAGSAAKDLFSSVPESDLFKALADTLKLWNSPPDWAGDERNVVLTLSRIWYTAATGKIAPKDVAATWAMARLPAQHQPILLNAKRAYLGQEEDYLPARADQVAALIKFVKYEAVKLLGASQ ->ARGMiner~~~ANT(3'')-IIa~~~WP_001279073.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-IIa~~~WP_001279073.1~~~aminoglycoside~~~unknown MSDFIQLEYLQEKLQQLLAKSLFAIYLYGSAVDGGLGPESDLDVMVVVTQPLTSALREQLAQELLKISQPVGELQRPLEVTILLKDEIQSGNYPLSYEMQFGEWLREELKEGGTLNSQKDPDISILLRKARFHHTVLFGPALDQWAPEISDQELWQAMSDTYPEIVAHWDEDADERNQILALCRIYFSLVMKDIASKDNAARWVMSQLPPEQKFVLQRLIQEYRGEISKQNWQEEHYALQPIVNFLSSKIEEQFEQKRNLIT ->ARGMiner~~~ANT(3'')-IIa~~~WP_001279068.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-IIa~~~WP_001279068.1~~~aminoglycoside~~~unknown MSDFIQLEYLQEKLQQLLAESLFAIYLYGSAVDGGLGPESDLDVLVVVTQPLTSALREQLARELLKISQPVGELQRPLEVTILLKDEIQSGNYPLSYEMQFGEWLREELKEGGTLSSQKDPDISILLRKARSHHTVLFGPALNQWAPEISDQELWQAMSDTYPEIVAHWDEDADERNQILALCRIYFSLVMKDIASKGNAARWVMPQLPPEQKFVLQRLIQEYRGEIGKQNWQEEHYALQPIVNFLSSKIEEQFEQKRNLIT ->ARGMiner~~~ANT(3'')-IIa~~~WP_001279062.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-IIa~~~WP_001279062.1~~~aminoglycoside~~~unknown MSDFIQLEYLQEKLQQLLAESLFAIYLYGSAVDGGLGPESDLDVLVVVTQPLTSALREQLAQELLKISQPVGELQRPLEVTILLKDEIQSGNYPLSYEMQFGEWLREELKEGGTLSSQKDPDISILLRKARFHHAVLFGPALDQWAPEISDQELWQAMSDTYPEIVAHWDEDADERNQILALCRIYFSLVMKDIASKGNAARWVMPQLPPEQKFVLQRLIQEYRGEIGKQNWQEEHYALQPIVNFLSSKIEEQFEQKRNLIT ->ARGMiner~~~aadK~~~WP_001258486.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_001258486.1~~~aminoglycoside~~~unknown MRTEKEILNLVSEFAYQRSNVKIIALEGSRTNENIKKDKFQDYDFAFFVSDIEYFTHEESWLSLFGELLFIQKPEDMELFPPDLDYGYSYIMYFKDGIKMDITLINLKDLNRYFSDSDGLVKILVDKDNLVTQEIVPDDSNYWLKKPTEREFYDCCNEFWSVSTYVAKGVFRREILFALDHFNNILRPELLRMISWYIGFNRGFDFSLGKNYKFINKYLTDKEFNMLLATFEMNGYRKTYQSFKLCCELFKYYSNKVSCLGNYNYPNYEKNIENFIRNNYEN ->ARGMiner~~~tet(G)~~~WP_001257840.1~~~tetracycline unknown +>ARGMiner~~~tet(G)~~~WP_001257840.1~~~tetracycline~~~unknown MRSSAIIALLIVGLDAMGLGLIMPVLPTLLRELVPAEQVAGHYGALLSLYALMQVVFAPMLGQLSDSYGRRPVLLASLAGAAVDYTIMASAPVLWVLYIGRLVSGVTGATGAVAASTIADSTGEGSRARWFGYMGACYGAGMIAGPALGGMLGGISAHAPFIAAALLNGFAFLLACIFLKETHHSHGGTGKPVRIKPFVLLRLDDALRGLGALFAVFFIIQLIGQVPAALWVIYGEDRFQWNTATVGLSLAAFGATHAIFQAFVTGPLSSRLGERRTLLFGMAADATGFVLLAFATQGWMVFPILLLLAAGGVGMPALQAMLSNNVSSNKQGALQGTLTSLTNLSSIAGPLGFTALYSATAGAWNGWVWIVGAILYLICLPILRRPFATSL ->ARGMiner~~~ANT(6)-Ib~~~WP_001255868.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(6)-Ib~~~WP_001255868.1~~~aminoglycoside~~~unknown MRSEKEVYDIVLNFAKTDKRIRMVTLEGSRTNTNIPPDDFQDFDITFFVTDMDSFTSDDKWLDIFGERLILQKPEDMELFPAVEKGFSYLMLFTDDVKIDLTLLPLELIDEYFTWDKLVKLLLDKDNRIVKPPIPTDIDYHLQKPTQRMFDDCCNEFWNTTTYVVKGLCRKEILFAIDHMNDIVRKELLRMISWLIGIKQGFHFSLGKNYKFMKQYVPEELWERLMSTYNMDSYPHMWESFEQCMALFREVSSEVACQLDYQYPLYDEKISNYVIRQKKKYGIEDDNK ->ARGMiner~~~aad(6)~~~WP_001255864.1~~~aminoglycoside unknown +>ARGMiner~~~aad(6)~~~WP_001255864.1~~~aminoglycoside~~~unknown MRSEKEMMDLVLSLAEQDERIRIVTLEGSRANINIPKDEFQDYDITYFVSDIEPFISNDDWLNQFGNIIMMQKPEDMELFPAEEKGYSYIILFDDYNKIDLTLLPLEELGNYLNDDKLIKIILDKDGRIQQAVVPTDMDYHIRKPSAREYDDCCNEFWNTTTYVVKGLCRKEILFAIDHFNQIVRHELLRMISWKVGIETGFKLSVGKNYKFIERYISEDLWEKLLSTYRMDSYENIWEALFLCHQLFRAVSGEVAERLHYAYPEYDRNITKYTRDMYKKYTGKTGCLDSTYAADIEERREQ ->ARGMiner~~~ADC-80~~~WP_001211239.1~~~cephalosporin unknown +>ARGMiner~~~ADC-80~~~WP_001211239.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKVLKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~WP_001211238.1~~~cephalosporin;penam unknown +>ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~WP_001211238.1~~~cephalosporin;penam~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKVLKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-77~~~WP_001211233.1~~~cephalosporin unknown +>ARGMiner~~~ADC-77~~~WP_001211233.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTSIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVAKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTSGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKE ->ARGMiner~~~ADC-2~~~WP_001211232.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_001211232.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTSIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVAKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKE ->ARGMiner~~~ADC-2~~~WP_001211227.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_001211227.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPTDIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-2~~~WP_001211226.1~~~cephalosporin unknown +>ARGMiner~~~ADC-2~~~WP_001211226.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANFNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-75~~~WP_001211221.1~~~cephalosporin unknown +>ARGMiner~~~ADC-75~~~WP_001211221.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPELGLKYSYVNVPKTQIQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLKFINANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-25~~~WP_001211220.1~~~cephalosporin unknown +>ARGMiner~~~ADC-25~~~WP_001211220.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNRGPLDAAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-56~~~WP_001211219.1~~~cephalosporin unknown +>ARGMiner~~~ADC-56~~~WP_001211219.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVKTDQQVLTFFKDWKPKNSIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYSVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTTGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-3~~~WP_001211212.1~~~cephalosporin unknown +>ARGMiner~~~ADC-3~~~WP_001211212.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDRPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGRYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLSAIKK ->ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~WP_001211208.1~~~cephalosporin;penam unknown +>ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~WP_001211208.1~~~cephalosporin;penam~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNRSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFRKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSSSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLDAIKK ->ARGMiner~~~ADC-81~~~WP_001159761.1~~~cephalosporin unknown +>ARGMiner~~~ADC-81~~~WP_001159761.1~~~cephalosporin~~~unknown MQFKKISCLLLSPLFIFSTSIYADNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~AAC(6')-Ip~~~WP_001109644.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ip~~~WP_001109644.1~~~aminoglycoside~~~unknown MNYSICDIAESNELILEAAKMLKKSFLDAGNESWGDIKNAIEEVEECIEHPNICLGICLDDKLIGWTGLRPMYDKTWELHPMVIKTEYQGKGFGKVLLRELETRAKSRGIIGIALGTDDEYQKTSLSMIDINERNIFDEIGNIKNVNNHPYEFYKKCGYMIVGIIPNANGKRKPDIWMWKDIS ->ARGMiner~~~PER-1~~~WP_001100752.1~~~monobactam;carbapenem;cephalosporin;penam;penem unknown +>ARGMiner~~~PER-1~~~WP_001100752.1~~~monobactam;carbapenem;cephalosporin;penam;penem~~~unknown MNVIIKAVVTASTLLMVSFSSFETSAQSPLLKEQIESIVIGKKATVGVAVWGPDDLEPLLINPFEKFPMQSVFKLHLAMLVLHQVDQGKLDLNQTVIVNRAKVLQNTWAPIMKAYQGDEFSVPVQQLLQYSVSDSDNVACDLLFELVGGPAALHDYIQSMGIKETAVVANEAQMHADDQVQYQNWTSMKGAAEILKKFEQKTQLSETSQALLWKWMVETTTGPERLKGLLPAGTVVAHKTGTSGIKAGKTAATNDLGIILLPDGRPLLVAVFVKDSAESSRTNEAIIAQVAQTAYQFELKKLSALSPN ->ARGMiner~~~tet(38)~~~WP_001100300.1~~~tetracycline unknown +>ARGMiner~~~tet(38)~~~WP_001100300.1~~~tetracycline~~~unknown MNVEYSKIKKAVPILLFLFVFSLVIDNSFKLISVAIADDLNISVTTVSWQATLAGLVIGIGAVVYASLSDAISIRTLFIYGVILIIIGSIIGYIFQHQFPLLLVGRIIQTAGLAAAETLYVIYVAKYLSKEDQKTYLGLSTSSYSLSLVIGTLSGGFISTYLHWTNMFLIALIVVFTLPFLFKLLPKENNTNKAHLDFVGLILVATIATTVMLFITNFNWLYMIGALIAIIVFALYIKNAQRPLVNKSFFQNKRYASFLFIVFVMYAIQLGYIFTFPFIMEQIYHLQLDTTSLLLVPGYIVAVIVGALSGKIGEYLNSKQAIITAIILIALSLILPAFAVGNHISIFVISMIFFAGSFALMYAPLLNEAIKTIDLNMTGVAIGFYNLIINVAVSVGIAIAAALIDFKALNFPGNDALSSHFGIILIILGLMSIVGLVLFVSLNRWTQSEK ->ARGMiner~~~tet(38)~~~WP_001100296.1~~~tetracycline unknown +>ARGMiner~~~tet(38)~~~WP_001100296.1~~~tetracycline~~~unknown MNVEYSKIKKAVPILLFLFVFSLVIDNSFKLISVAIADDLNISVTTVSWQATLAGLVIGIGAVVYASLSDAISIRTLFIYGVILIIIGSIIGYIFQHQFALLLVGRIIQTAGLAAAETLYVIYVAKYLSKEDQKTYLGLSTSSYSLSLVIGTLSGGFISTYLHWTNMFLIALIVVFTLPFLFKLLPKENNTNKAHLDFVGLILVATIATTVMLFITNFNWLYMIGALIAIIVFALYIKNAQRPLVNKSFFQNKRYASFLFIVFVMYAIQLGYIFTFPFIMEQTYHLQLDTTSLLLVPGYIVAVIVGALSGKIGEYLNSKQAIITAIILIALSLILPAFAVGNHISIFVISMIFFAGSFALMYAPLLNEAIKTIDLNMTGVAIGFYNLIINVAVSVGIAIAAALIDFKALNFPGNDALSSHFGIILIILGLMSIVGLVLFVILNRWTQSEK ->ARGMiner~~~tet(38)~~~WP_001100294.1~~~tetracycline unknown +>ARGMiner~~~tet(38)~~~WP_001100294.1~~~tetracycline~~~unknown MNVEYSKIKKAVPILLFLFVFSLVIDNSFKLISVAIADDLNISVTTVSWQATLAGLVIGIGAVVYASLSDAISIRTLFIYGVILIIIGSIIGYIFQHQFALLLVGRIIQTAGLAAAETLYVIYVAKYLSKEDQKTYLGLSTSSYSLSLVIGTLSGGFISTYLHWTNMFLIALIVVFTLPFLFKLLPKENNTNKAHLDFVGLILVATIATTVMLFITNFNWLYMIGALIAIIVFALYIKNAQRPLVNKSFFQNKRYASFLFIVFVMYAIQLGYIFTFPFIMEQIYHLQLDTTSLLLVPGYIVAVIVGALSGKIGEYLNSKQAIITAIILIALSLILPAFAVGNHISIFVISMIFFAGSFALMYAPLLNEAIKTIDLNMTGVAIGFYNLIINVAVSVGIAIAAALIDFKALNFPGNDALSSHFGIILIILGLMSIVGLVLFVSLNRWTQSEK ->ARGMiner~~~tet(38)~~~WP_001100289.1~~~tetracycline unknown +>ARGMiner~~~tet(38)~~~WP_001100289.1~~~tetracycline~~~unknown MNVEYSKIKKAVPILLFLFVFSLVIDNSFKLISVAIADDLNISVTTVSWQATLAGLVIGIGAVVYASLSDAISIRTLFIYGVILIIIGSIIGYIFQHQFALLLVGRIIQTAGLAAAETLYVIYVAKYLSKEDQKTYLGLSTSSYSLSLVIGTLSGGFISTYLHWTNMFLIALIVVFTLPFLFKLLPKENNTNKAHLDFVGLILVATIATTVMLFITNFNWIYMIGALIAIIVFALYIKNAKRPLVNKSFFQNKRYASFLFIVFVMYAIQLGYIFTFPFIMEQIYHLQLDTTSLLLVPGYIVAVIVGALSGKIGEYLNSKQAIITAIILIALSLILPAFAVGNHISIFVISMIFFAGSFALMYAPLLNEAIKTIDLNMTGVAIGFYNLIINVAVSVGIAIAAALIDFKALNFPGNDALSSHFGIILIILGLMSIVGLVLFVILNRWTQSEK ->ARGMiner~~~mecR1~~~WP_001096392.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_001096392.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MAKLLITSVVSFCFIFLLLVFFKYILKRYFNYSLNYKVWYLTVLAGLIPFIPIKFSFIKFNNVNNQAPTVESKSHDLNHNINTTKPIQEFTTDIHKFNWDSIDNICTVVWIVLVIILSFKFLKSLLYLKYLKKQSLYLNENEKNKVDTILFNHQYKKNIVIRKAETIQSPITFWYGKYIILIPSSYFKSVIDKRLKYIILHEYAHAKNRDTLHLIIFNIFSIVMSYNPLIHIVKRKIIHDNEVEADRFVLNNINKNEFKTYAESIMDSVLNIPFFNKNILSHSFNGKKSLLKRRLINIKEANLKKQSKLIPIFICIFTFLLIVIQSQFLMGQSITDYNYKKPLQNDHQILDESKNFGSNSGSFVMYSMKKDKYYIYNEKESRKRYSPDSTYKIYLAMFGLDRHIISDKNSRMSWNHKHYPFESWNKEQDLNTAMQNSVNWYFERISNQIPKNYTAAQLKQLNYGNENLGSYKNYWMEDSLKISNLEQVIVFKNMMEQNNHFSKKAKNQLSSSLLIKKNEKYELYGKTGTGIVNGKYNNGWFVGYVITNHDKYYFATHLSDGNPSGKNAELISEKILKEMGVLNGQ ->ARGMiner~~~mecR1~~~WP_001096386.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_001096386.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MAKLLIMSVVSFCFIFLLLVFFRYILKRYFNYSLNYKVWYLTVLAGLIPFIPIKFSFIKFNNVNNQSPTVESRSHDLNHNINTTKPVQEFTTDIHKFNWDSIDNICTVIWIVLVIILSFKFLKSLLYLKYLKRQSLYLNENEKNKIDTILFNHQYKKNIVIRKAETIQSPITFWYGKYIILIPSSYFKSVIDKRLKYIILHEYAHAKNRDTLHLIIFNIFSIVMSYNPLIHIVKRKIIHDNEVEADRFVLNNINKNEFKTYAESIMDSVLNIPFFNKNILSHSFNGKKSLLKRRLINIKEANLKKQSKLIPIFICIFTFLLMVIQSQFLMGQSITDYNYKKPLQNDHQILDESKNFGSNSGSFVMYSMKKDKYYIYNEKESRKRYSPDSTYKIYLAMFGLDHHIISDKNSRMSWNHKHYPFESWNKEQDLNTAMQNSVNWYFERISNQIPKNYTAAQLKQLNYGNENLGSYKSYWMEDSLKISNLEQVIVFKNMMEQNNHFSKKAKNQLSSSLLIKKNEKYELYGKTGTGIVNGKYNNGWFVGYVITNHDKYYFATHLSDGKPSGKNAELISEKILKEMGVLNGQ ->ARGMiner~~~mecR1~~~WP_001096376.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_001096376.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MAKLLIMSIVSFCFIFLLLLFFRYILKRYFNYMLNYKVWYLTLLAGLIPFIPIKFSLFKFNNVNNQAPTVESKSHDLNHNINTTKPIQEFTTDIHKFNWDSIDNICTVIWIVLVIILSFKFLKALLYLKYLKKQSLYLNENEKNKIDTILFNHQYKKNIVIRKAETIQSPITFWYGKYIILIPSSYFKSVIDKRLKYIILHEYAHAKNRDTLHLIIFNIFSIIMSYNPLVHIVKRKIIHDNEVEADRFVLNNINKNEFKTYAESIMDSVLNVPFFNKNILSHSFNGKKSLLKRRLINIKEANLKKQSKLILIFICIFTFLLMVIQSQFLMGQSITDYNYKKPLHNDYQILDKSKIFGSNSGSFVMYSMKKDKYYIYNEKESRKRYSPNSTYKIYLAMFGLDRHIINDENSRMSWNHKHYPFDAWNKEQDLNTAMQNSVNWYFERISDQIPKNYTATQLKQLNYGNKNLGSYKSYWMEDSLKISNLEQVIVFKNMMEQNNHFSKKAKNQLSSSLLIKKNEKYELYGKTGTGIVNGKYNNGWFVGYVITNHDKYYFATHLSDGKPSGKNAELISEKILKEMGVLNGQ ->ARGMiner~~~tet(A)~~~WP_001089064.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tet(A)~~~WP_001089064.1~~~glycylcycline;tetracycline~~~unknown MNSSTKIALVITLFDAMGIGLIMPVLPTLLREFIASEDIANHFGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLTNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~APH(3'')-Ib~~~WP_001082319.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3'')-Ib~~~WP_001082319.1~~~aminoglycoside~~~unknown MNRTNIFFGESHSDWLPVRGGESGDFVFRRGDGHAFAKIAPASRRGELAGERDRLIWLKGRGVACPEVINWQEEQEGACLVITAIPGVPAADLSGADLLKAWPSMGQQLGAVHSLSVDQCPFERRLSRMFGRAVDVVSRNAVNPDFLPDEDKSTPQLDLLARVERELPVRLDQERTDMVVCHGDPCMPNFMVDPKTLQCTGLIDLGRLGTADRYADLALMIANAEENWAAPDEAERAFAVLFNVLGIEAPDRERLAFYLRLDPLTWG ->ARGMiner~~~ErmA~~~WP_001072197.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmA~~~WP_001072197.1~~~macrolide;lincosamide;streptogramin~~~unknown MNQKNPKDTQNFITSKKHVKEILNHTNISKQDNVIEIGSGKGHFTKELVKMSRSVIAIEIDGGLCQVTKEAVNPSENIKVIQTDILKFSFPKHINYKIYGNIPYNISTDIVKRITFESQAKYSYLIVEKGFAKRLQNLQRALGLLLMVEMDIKMLKKVPPLYFHPKPSVDSVLIVLERHQPLISKKDYKKYRSFVYKWVNREYRVLFTKNQFRQALKHANVTNINKLSKEQFLSIFNSYKLFH ->ARGMiner~~~sul2~~~WP_001043265.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_001043265.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIERIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~tet(59)~~~WP_001039466.1~~~tetracycline unknown +>ARGMiner~~~tet(59)~~~WP_001039466.1~~~tetracycline~~~unknown MNKPAVIALVITLLDAMGIGLIMPVLPSLLREYLPEADVANHYGILLALYAVMQVCFAPLLGRWSDKLGRRPVLLLSLAGAAFDYTLLALSNVLWMLYLGRIISGITGATGAVAASVVADSTAVSERTAWFGRLGAAFGAGLIAGPAIGGLAGDISPHLPFVIAAILNACTFLMVFFIFKPAVQTEEKPAEQKQESAGISFITLLKPLALLLFVFFTAQLIGQIPATVWVLFTESRFAWDSAAVGFSLAGLGAMHALFQAVVAGALAKRLSEKTIIFAGFIADATAFLLMSAITSGWMVYPVLILLAGGGIALPALQGIISAGASAANQGKLQGVLVSLTNLTGVAGPLLFAFIFSQTQQSADGTVWLIGTALYGLLLAICLLIRKPAPVAATC ->ARGMiner~~~ErmB~~~WP_001038792.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_001038792.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNIRVTLIHQDILQFQFPNKQRYKIVGSIPYHLSTQIIKKVVFESHASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPKVNSVLIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTVTYEQVLSIFNSYLLFNGRK ->ARGMiner~~~tet(59)~~~WP_001031679.1~~~tetracycline unknown +>ARGMiner~~~tet(59)~~~WP_001031679.1~~~tetracycline~~~unknown MNKFAITALTITALDAMGIGLIMPVLPTLLREYVSAENLANHYGILLALYAIMQVFFAPLLGKWSDKFGRRPILLLSLAGAAVDYTLLALSSSLWMLYVGRLISGVTGATGAVAASVIADNTASQERTKWFGRLGAAFGVGLISGPAIGGFTGQFSAHLPFIIAAILNALSFLVIMLIFKDNKIKNTEKNTTETAENSRPFLQVIKPVILLLFIFFMTQMIGQIPATTWVLFTEHRFQWGSMEIGLSLAGLGIMHALFQAFVAGAIAKKFNEKVTIIVGFVVDGAAFIILSLLTKGWMIYPTLILLAGGSIALPALQGLMSAQVNQTNQGKLQGVLVSLTNTTGVIGPLLFSFIFGQTLASWDGWIWMIGAIMYVLLIVFILSFYRSTKKIVKIAKLPAS ->ARGMiner~~~dfrA8~~~WP_001031075.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA8~~~WP_001031075.1~~~diaminopyrimidine~~~unknown MNKEIQFSMIVARGVNGEIGQDGDLPWHVEGVRLKEDLKRFKAITMGKTLVMGRKTFESIPNGLPGRNVIVLTREPYDKADITERGDGTFVAWGNSCHLFEVAEHLGVTEIIVAGGAEIYNLHKDVITKVFETKVLRAYPAADTHVDVFWESPGYDTEGRQWRVTSRGHIIENGSFTIATTYER ->ARGMiner~~~OXA-180~~~WP_001021784.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-180~~~WP_001021784.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~catII~~~WP_001011939.1~~~phenicol unknown +>ARGMiner~~~catII~~~WP_001011939.1~~~phenicol~~~unknown MNFTRIDLNTWNRREHFALYRQQIKCGFSLTTKLDITALRTALAKTGYKFYPLMIYLISRAVNQFPEFRMAMKDNELIYWEQSDPVFTVFHKETETFSALSCRYFPDLSEFMAGYNAVTAEYQHDTRLFPQGNLPENHLNISSLPWVSFDGFNLNITGNDDYFSPVFTMAKFQQEGDRVLLPVSVQVHHAVCDGFHAARFINTLQLMCDNILK ->ARGMiner~~~ErmC~~~WP_001003264.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_001003264.1~~~macrolide;lincosamide;streptogramin~~~unknown MNEKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKIVFDSIADEIYLIVEYGFAKRLLNTKRSLALLLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVNKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~ErmC~~~WP_001003260.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_001003260.1~~~macrolide;lincosamide;streptogramin~~~unknown MNEKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKIVFDSIADEIYLIVEYEFAKRLLNTKRSLALFLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVNKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~AAC(6')-34~~~WP_000960976.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-34~~~WP_000960976.1~~~aminoglycoside~~~unknown MLVQQGRLAIRALQKSDAPVMLRWLQDERVLEFYEGRDKHFDLQTVIEVFIEDQGETTPCLVLLDDKPLGYVQFYPLDSEDKQALELPVEDVIYGLDQFIGEPDFWGLGLGTELVSLVRDYLITDKAAQRLVLDPQSRNSRAIACYEKCGFEKLRLLPAHEMHEGQLQDCWLMQYYPARSSLMASSRPKI ->ARGMiner~~~AAC(6')-34~~~WP_000959805.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-34~~~WP_000959805.1~~~aminoglycoside~~~unknown MLVEQGRLAIRALQKSDAPVMLHWLQDERVLEFYEGRDKRFDLQTVIEVFIEDQGETTPCLVLLDDKPLGYVQFYPLDSEDKQALELPVEDVIYGLDQFIGEPDFWGLGLGTELVSLVRDYLITDKAAQRLVLDPQSRNLRAIACYEKCGFEKLCLLPAHEMHEGQLQDCWLMQYYPARSSLIASSRPKI ->ARGMiner~~~sul1~~~WP_000946487.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_000946487.1~~~sulfonamide;sulfone~~~unknown MLRSRVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~FosB~~~WP_000943763.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000943763.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEKEDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~tet(C)~~~WP_000841446.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_000841446.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRGWMAFPIMILLASGGIGMPALQAMLSRQVDDDHQGQLQGSLAALTSLTSIIGPLIFTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~ErmA~~~WP_000810833.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmA~~~WP_000810833.1~~~macrolide;lincosamide;streptogramin~~~unknown MKQKNPKNTQNFITSKKHVKEILKYTNINKQDKIIEIGSGKGHFTKELVEMSQRVNAIEIDEGLCHATKKAVEPFQNIKVIHEDILKFSFPKNTDYKIFGNIPYNISTDIVKKIAFDSQAKYSYLIVERGFAKRLQNTQRALGLLLMVEMDIKILKKVPRAYFHPKPNVDSVLIVLERHKPFILKKDYKKYRFFVYKWVNREYHVLFTKNQLRQVLKHANVTDLDKLSNEQFLSVFNSYKLFQ ->ARGMiner~~~catB2~~~WP_000800689.1~~~phenicol unknown +>ARGMiner~~~catB2~~~WP_000800689.1~~~phenicol~~~unknown MKNYFESPFKGKPLVEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLLPDRDDVDKLIIGSFCSIGTGASFIMAGNQGHRYDWVTSFPFFYMNEEPAFSESVDAFQAAGNTVIGSDVWIGSEAMIMPGVKVGHGAVIGSRALVTKDIEPYTIVGGNPAKEIKKRFSEQEISMLLDMKWWDWPLEQIKEAMPLLCSSDIAGLYHFWQRSSA ->ARGMiner~~~qacH~~~WP_000800531.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_000800531.1~~~fluoroquinolone~~~unknown MKNWLFLAIAIFGEVVATSALKSSHGFTKLVPSVVVVAGYGLAFYFLSLALKSIPVGIAYAVWAGLGIVLVAAIAWIFHGQKLDLWAFVGMGLIVSGVAVLNLLSKVSAH ->ARGMiner~~~Bla2~~~WP_000799227.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~Bla2~~~WP_000799227.1~~~carbapenem;cephalosporin;penam~~~unknown MKNTLLKLGVCVSLLGITPFVSTISSVQAERTVEHKVIKNETGTISISQLNKNVWVHTELGYFNGEAVPSNGLILNTSKGLVLVDSSWDDKLTKELIDMAEKKFKNRVTDVIITHAHADRIGGIKTLKERGIKTHSTTLTAELAKKNGYEEPLGDLQAITKLKFGNMKVETFYPGKGHTEDNIVVWLPQYNMLVGGCLVKSASAKDLGNITDAYVNEWSTSIENVLKRYENINFVVPGHGEVGDKGLLLHTLDLLK ->ARGMiner~~~dfrA15~~~WP_000777555.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_000777555.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVVIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733402.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733402.1~~~penam~~~unknown MKKLIILVVLALILSACNSKNSTNNDIEKIEKKYGANVGMYALNTQNGKELSFNENKRFAYASTLKTISSAMLLEQTPYNKLDKKIHINKDDIVPYSPVLEKYIGKEITLKKLIEATMLFSDNTANNKIIDELGGYGQVKTKLIDLGDTTTHPSRKEPDLNFYSPKDKRDTSTPLAYGKTLKKLIADGDLSKANKDFLLNLMFKNKSGDTLIKDGAPSNFKVMDKSGQALTYGSRNDVAFVYPDGQDKPIILVIFTNKDRKDGKPNDKIVSEVAEIVLKNINE ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733287.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733287.1~~~penam~~~unknown MKKLIFLIVIALVLSACNSNSSHAKELNDLEKKYNAHIGVYALNTKSGKEVKFNSDKRFAYASTSKAINSAILLEQVPYNKLNKKVHINKDDIVAYSPILEKYVGKDITLKELIEASMTYSDNTANNKIIKEIGGIKKVKQRLKELGDKVTNPVRYEIELNYYSPKSKKDTSTPAAFGKTLNKLIANGKLSKKNKNFLLDLMFNNKNGDTLIKDGVPKDYKVADKSGQAITYASRNDVAFVYPKGQSEPIVLVIFTNKDNKSDKPNDKLISETAKSVMKEF ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733285.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733285.1~~~penam~~~unknown MKKLIFLIVIALVLSACNSNSSHAKELNDLEKKYNAHIGVYALDTKSGKEVKFNSDKRFAYASTSKAINSAILLEQVPYNKLNKKVHINKDDIVAYSPILEKYVGKDITLKELIEASMTYSDNTANNKIIKEIGGIKKVKQRLKELGDKVTNPVRYEIELNYYSPKSKKDTSTPAAFGKTLNKLIANGKLSKKNKNFLLDLMFNNKNGDTLIKDGVPKDYKVADKSGQAITYASRNDVAFVYPKGQSEPIVLVIFTNKDNKSDKPNDKLISETAKSVMKEF ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733275.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733275.1~~~penam~~~unknown MKKLIFLIAIALVLSACNSNSSHAKELNDLEKKYNAHIGVYALNTKSGKEVKFNSDKRFAYASTSKAINSAILLEQVPYNKLNKKVHINKDDIVAYSPILEKYVGKDITLKELIEASMTYSDNTANNKIIKEIGGIKKVKQRLKELGDKVTNPVRYEIELNYYSPKSKKDTSTPAAFGKTLNKLIANGKLSKKNKNFLLDLMFNNKNGDTLIKDGVPKDYKVADKSGQAITYASRNDVAFVYPKGQSEPIVLVIFTNKDNKSDKPNDKLISETAKSVMKEF ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733274.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733274.1~~~penam~~~unknown MKKLIFLIAIALVLSACNSNSSHAKELNDLEKKYNAHIGVYALDTKSGKEVKFNSDKRFAYASTSKAINSAILLEQVPYNKLNKKIHINKDDIVAYSPILEKYVGKDITLKELIEASMAYSDNTANNKIIKEIGGIKKVKQRLKELGDKVTNPVRYEIELNYYSPKSKKDTSTPAAFGKTLNKLIANGKLSKENKKFLLDLMLNNKSGDTLIKDGVSKDCKVADKSGQAITYASRNDVAFVYPKGQSEPIVLVIFTNKDNKSDKPNDKLISETAKSVMKEF ->ARGMiner~~~dfrA17~~~WP_000703246.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~WP_000703246.1~~~diaminopyrimidine~~~unknown MKISIMAAVSENGVIGSGLDIPWHVQGEQLLFKAMTYNHWLLVGRKTFDSMGKLPNRKYAVVTRSEMVSNDPDVIYFTSIESALSYLDNTTTHVFVSGGGEIYKALIEQADVIHLSVIHKHISGDVFFPSVPQSFKQTFEQSFSSNIDYTYQIWAKG ->ARGMiner~~~tetO~~~WP_000691759.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_000691759.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIKISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQVKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~tetM~~~WP_000691741.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_000691741.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAQLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_000691737.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_000691737.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLTPIVLEQAFRKAGTELLEPYLSFKVYAPQEYLSRAYNDAPKYCANIVNTQLKNNEVIIIGEIPARCIQDYRNDLTFFTNGLSVCLAELKGYQVTTGEPVCQTRRLNSRIDKVRYMFNKIT ->ARGMiner~~~tetW~~~WP_000691721.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_000691721.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDKLLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTSIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETVQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGQSVCLTELKGYQAAVGKPVIQPRRPNSRLDKVRYMFQKIM ->ARGMiner~~~qacB~~~WP_000622778.1~~~fluoroquinolone unknown +>ARGMiner~~~qacB~~~WP_000622778.1~~~fluoroquinolone~~~unknown MISFFTKTTDMMTSKKRWTALVVLAVSLFVVTMDMTILIMALPELVRELEPSGTQQLWIVDIYSLVLAGFIIPLSAFADKWGRKKALLTGFALFGLVSLAIFFAESAEFVIAIRFLLGIAGALIMPTTLSMIRVIFENPKERATALAVWSIVSSIGAVFGPIIGGALLEQFSWHSAFLINVPFVIIAVVAGLFLLPESKLSKEKSHSWDIPSTILSIAGMIGLVWSIKEFSKEGLADIIPWVVIVLAITMIVIFVKRNLSSSDPMLDVRLFKKRSFSAGTIAAFMTMFAMTSVLLLASQWLQVVEELSPFKAGLYLLPMEIGAMVFAPIAPGLAARFGPKIVLPSGIGIAAIGMFIMYFFGHPLSYSTMALALILVGAGTASLAVASALIMLETPTSKAGNAAAVEESMYDLGNVFGVAVLGSLSSMLYRVFLDISSFSSKGIVGDLAHVAEESVVGAVEVAKATGIKQLANEAVTSFNDAFVATALVGGIIMIIISIVVYLLIPKSLDITKQK ->ARGMiner~~~qacA~~~WP_000622776.1~~~fluoroquinolone unknown +>ARGMiner~~~qacA~~~WP_000622776.1~~~fluoroquinolone~~~unknown MISFFTKTTDMMTSKKRWTALVVLAVSLFVVTMDMTILIMALPELVRELEPSGTQQLWIVDIYSLVLAGFIIPLSAFADKWGRKKALLTGFALFGLVSLAIFFAESAEFVIAIRFLLGIAGALIMPTTLSMIRVIFENPKERATALAVWSIASSIGAVFGPIIGGALLEQFSWHSAFLINVPFAIIAVVAGLFLLPESKLSKEKSHSWDIPSTILSIAGMIGLVWSIKEFSKEGLADIIPWVVIVLAITMIVIFVKRNLSSSDPMLDVRLFKKRSFSAGTIAAFMTMFAMASVLLLASQWLQVVEELSPFKAGLYLLPMAIGDMVFAPIAPGLAARFGPKIVLPSGIGIAAIGMFIMYFFGHPLSYSTMALALILVGAGMASLAVASALIMLETPTSKAGNAAAVEESMYDLGNVFGVAVLGSLSSMLYRVFLDISSFSSKGIVGDLAHVAEESVVGAVEVAKATGIKQLANEAVTSFNDAFVATALVGGIIMIIISIVVYLLIPKSLDITKQK ->ARGMiner~~~aadA5~~~WP_000503574.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_000503574.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVVERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~tet(K)~~~WP_000492284.1~~~tetracycline unknown +>ARGMiner~~~tet(K)~~~WP_000492284.1~~~tetracycline~~~unknown MFSLYKKFKGLFYSVLFWLCILSFFSVLNEMVLNVSLPDIANHFNTTPGITNWVNTAYMLTFSIGTAVYGKLSDYINIKKLLIIGISLSCLGSLIAFIGHNHFFILIFGRLVQGVGSAAFPSLIMVVVARNITRKKQGKAFGFIGSIVALGEGLGPSIGGIIAHYIHWSYLLILPMITIVTIPFLIKVMVPGKSTKNTLDIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFMLGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVATIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVASGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGVYSNILVAMAILIILCCLLTIIVFKRSKKQFE ->ARGMiner~~~mel~~~WP_000420317.1~~~macrolide;streptogramin unknown +>ARGMiner~~~mel~~~WP_000420317.1~~~macrolide;streptogramin~~~unknown MELILKAKDISVEFKGHDVLDINELEVYDYDRIGLVGANGAGKSTLFKVLLGELIPPGCKMNHLGELAYIPQLDEVTLQEEKDFALVGKLGVEQLNIQTMSGGEETRLKIAQALSAQVHGILADEPTSHLDREGIDFLIGQLKYFTGALLVISHDRYFLDEIVDKIWELKDGKITEYWGNYSDYLRQKEEERKRQAAEYEQFIAERARLERAAEEKRKQARKIEQKAKGSSKKKSTEGGGRLAHQKSIGSKEKKMHNAAKSLENRIAALGKVEAPEGIRRIRFRQSKALELHNPYPIVGAEINKVFGDKALFENASFQIPLGAKVALTGGNGTGKTTLIQMILNHEEGISISPKAKIGYFAQNGYKYNSNQNVMEFMQKDCDYNISEIRSVLASMGFKQNDIGKSLSVLSGGEIIKLLLAKMLMGRYNILIMDEPSNFLDIPSLEALEILMKEYTGTIVFITHDKRLLENVADVVYEIRDKKIKLKH ->ARGMiner~~~mefA~~~WP_000417519.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_000417519.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~mefA~~~WP_000417517.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_000417517.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLLGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGSVLTIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGDRVQSLDPNFIREMQEGMAVLRQNKGLFALLLVGTLYMFVYMPINALFPLISMDYFNGTPVHISITEISFASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSALFADRIGVNHWFLLSGTLIICIAIVCPMINEIRKLDLK ->ARGMiner~~~mecI~~~WP_000369216.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_000369216.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASTNNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKK ->ARGMiner~~~sul1~~~WP_000259018.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_000259018.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGADVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~floR~~~WP_000214125.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_000214125.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGVLNTTPSIIQLTLSLYMVMLGVGQVIFGPLSDRVGRRPILLVGATAFVAASLGAACSSTALAFVAFRLVQAVGASAMLVATFATVRDVYANRPEGAVIYGLFSSMLAFVPALGPIAGALIGEFWGWQAIFITLAALASLALLNASFRWHETRPLDQARTQRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAGYSEIGFSLAFATVALVMVTTTRFAKSFVAKWGIAGCVARGMALLVSGAILLGIGQLFGSPSFFSFILPMWVVAVGIVFTVSVTANGALAQFDDIAGSAVAFYFCIQSLIVSIVGTLAVTLLNGDTAWPVICYATAMAVLVSLGLALLRSRDAATEKSPVV ->ARGMiner~~~floR~~~WP_000214121.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_000214121.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTYFVFFSTATRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~floR~~~WP_000214119.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_000214119.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~mphC~~~WP_000196697.1~~~macrolide unknown +>ARGMiner~~~mphC~~~WP_000196697.1~~~macrolide~~~unknown MTRHNEIIKCAEKYQLHIQPQTISLNESGLDFQVAFGKDKHGVEWVLRLPRRPDVYKRTKPEKQTVDFLQKNVSFEIPKWKVHAKDLIAYPKLTGKPAATIDPEIQNYVWEIEHKPLPENFINTLAETLVDLHNIPEENINVQHINIKTIQEIKNDFQRRMNKVKETYGVSDELWNRWKQWLENDELWPRHATMIHGDLHPGHIMVDNQANVTGLIDWTEATHSDPSMDFIGHHRVFDDEGLEQLITAYGKAGGEIWPRMKEHIIELNAVFPMFIAEFAMESGESAYETMALKELGMKE ->ARGMiner~~~mecI~~~WP_000196386.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_000196386.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MTREGYDISASEWEIMNTIWNKKLISANDVIEIVQKHKEWSPKTIRTLINRLYKKKFIDRTSRNKIFEYFPIVEEKDMKYKTSKVFLDKVYEGGLNSLVLNFVENEELSEDDIEELKNILNNKY ->ARGMiner~~~mupA~~~WP_000163435.1~~~mupirocin unknown +>ARGMiner~~~mupA~~~WP_000163435.1~~~mupirocin~~~unknown MTKKYLNTQNEISAFWNTQKIFKKSIDNRKGQESFVFYDGPPTANGLPHAGHVLGRVIKDLVARLKTMQGFYVERKAGWDTHGLPVELEVEKKIGIKGKQDIEKYGIENFINECKKSVFNYEKEWRDFSKDLGYWVDMDSPYITLENNYIESVWNILSTFHKKGLLYKGHKVTPYCTHDQTALSSHEVAQGYKNVKDLSAVVKFQLTNSKDTYFLSWTTTPWTLPANVALAINKDLNYSKIRVENEYYILATDLINSIITEKYEIIDTFSGSNLINLKYIPPFESDGLVNAYYVVDGEFVTNSEGTGIVHIAPAHGEDDYQLVLERDLDFLNVITREGVYNDRFPELVGNKAKNSDIEIIKLLSKKQLLYKKQKYEHNYPHCWRCGNPLIYYAMEGWFIKTTNFKNEIINNNNNIEWFPSHIKEGRMGNFLENMVDWNIGRNRYWGTPLNVWICNDCNHEYAPSSIKDLQNNSINKIDEDIELHRPYVDNITLSCPKCNGKMSRVEEVIDVWFDSGSMPFAQHHYPFDNQKIFNQHFPADFIAEGVDQTRGWFYSLLVISTILKGKSSYKRALSLGHILDSNGKKMSKSKGNVINPTELINKYGADSLRWALISDSAPWNNKRFSENIVAQTKSKFIDTLDNIYKFYNMYNKIDHYNPNNEITKSRNTLDNWALSRLNTLIKESNIYVNNYDFTSAARLINEYTNTISNWYIRRSRGRFWEQGISNDKKDAYNTLYEILTTLSRLVAPFVPFISEKIHYNLTGKSVHLQDYPQYKESFINQALEDEMHTVIKIVELSRQARKNADLKIKQPLSKMVIKPNSQLNLSFLPNYYSIIKDELNIKNIELTDNINDYITYELKLNFSSVGPKLGNKTKNIQTLIDSLSEYDKKSLIESNNFKSLSSDAELTKDDFIIKTLPKDSYQLSEDNDCVILLDKNLSPELIREGHARELIRLIQQLRKKKNLPINQRIDIYIGVTGELLESIKTNKNMFKENLLIKNIHLNVIDEYENTIHFNNKEIKISLLY ->ARGMiner~~~determinant of bleomycin resistance~~~WP_000131935.1~~~glycopeptide unknown +>ARGMiner~~~determinant of bleomycin resistance~~~WP_000131935.1~~~glycopeptide~~~unknown MTDQATPNLPSRDFDSTAAFYERLGFGIVFRDAGWMILQRGDLMLEFFAHPGLDPLASWFSCCLRLDDLAEFYRQCKSVGIQETSSGYPRIHAPELQEWGGTMAALVDPDGTLLRLIQNELLAGIS ->ARGMiner~~~APH(6)-Ic~~~WP_000116906.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Ic~~~WP_000116906.1~~~aminoglycoside~~~unknown MSVSSRFPPEVTAWMERWRLLRDGELLTTHSSWILPVRQGDMPAMLKVARIPDEEAGYRLLTWWDGQGAARVFASAAGALLMERASGAGDLAQIAWSGQDDEACRILCDTAARLHAPRSGPPPDLHPLQEWFQPLFRLAAEHAALAPAASVARQLLAAPREVCPLHGDLHHENVLDFGDRGWLAIDPHGLLGERTFDYANIFTNPDLSDPGRPLAILPGRLEARLSIVVATTGFEPERLLRWIIAWTGLSAAWFIGDGDGEGEGAAIDLAVNAMARRLLD ->ARGMiner~~~cmlA6~~~WP_000095725.1~~~phenicol unknown +>ARGMiner~~~cmlA6~~~WP_000095725.1~~~phenicol~~~unknown MSSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWALQSVLGFIAPMWLVGIGVATAVSVAPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRVKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~TEM-116~~~WP_000027060.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-116~~~WP_000027060.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPVAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~APH(3')-Ia~~~WP_000018330.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~WP_000018330.1~~~aminoglycoside~~~unknown MSHIQRETSCSRQRLNSNLDADLYGYKWARDNVGQSGATIYRLYGKPDAPELFLKHGKGSVANDVTDEMVRLNWLTEFMPLPTIKHFIRTPDDAWLLTTAIPGKTAFQVLEEYPDSGENIVDALAAFLRRLHSIPVCNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRLFQKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~APH(3')-Ia~~~WP_000018321.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~WP_000018321.1~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNLDADLYGYKWARDNVGQSGATIYRLYGKPDAPELFLKHGKGSVANDVTDEMVRLNWLTAFMPLPTIKHFIRTPDDAWLLTTALPGKTAFQVLEEYPDSGENIVDALAAFLRRLHSIPVSNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRLFQKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~OXA-55~~~WP_114699266.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-55~~~WP_114699266.1~~~cephalosporin;penam~~~unknown MNKGLHRKRLSKRLLLPMLLCLLAQQTQAVAAEQTKVSDVGSEVTAEGWQEVRRWDKLFESAGVKGSLLLWDQKRSLGLSNNLSRAAEGFIPASTFKIPSSLIALETGAVRDETSRFSWDGKVREIAAWNRDQSFRTAMKYSVVPVYQQLAREIGPKVMAAMVRQLKYGNQDIGGQADSFWLDGQLRITAFQQVDFLRQLHDNKLPVSERSQRIVKQMMLTEASTDYIIRAKTGYGVRRTPAIGWWVGWLELDDNTVYFAVNLDLASASQLPLRQQLVKQVLKQEQLLP ->ARGMiner~~~TEM-12~~~WP_109545059.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-12~~~WP_109545059.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEESFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tetS~~~WP_109544237.1~~~tetracycline unknown +>ARGMiner~~~tetS~~~WP_109544237.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYSSGAIKELGSVDSGTTKTDTMFLERQRGITIQTAITSFQRENVKVNIVDTPGHMDFLADVYRSLSVLDGAILLISAKDGVQSQTRILFHALRKMNIPIIFFINKIDQNGINLPDVYQDIKDKLSDDIIIKQTVNLNLKPYVIDYTEPEQWETVIVGNDYLLEKYTIGKTLNIAELEKEENERIQSCSLYPVYHGSAKNNIGIKQLIEVITSKLFSPTQLNSDKLCGNVFKVEYSDDGQRLVYVRLYSGTLHLRDSVNISEKEKIKVTEMYTSINGELRQIDKAEPGEIIILKNELLKLNNVLGDKKRLPHREILENPLPMLQTTIEPCKSVQREKLLDALFEISDSDPLLQYYVDTVTHEIVLSFLGEVQMEVTCTLIQEKYHIEIETRKPTVIYMERPLKKSEFTIDIEVPPNPFWASIGLSVTPLPLGSGIQYESLVSLGYLNQSFQNAVMEGIRYGCEQGLYGWKLTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQAFRKSGTELLEPYLSFEIYVPQEYLSRAYNDASKYCANILNTKLKGNEVILIGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~PDC-1~~~WP_100931733.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_100931733.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-1~~~WP_100931732.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_100931732.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFIATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~PDC-1~~~WP_100931731.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-1~~~WP_100931731.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALTQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAKGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~APH(3')-IIa~~~WP_087587933.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIa~~~WP_087587933.1~~~aminoglycoside~~~unknown MTATTNPPDAMLVPPQLQALVAGYSWNRDALGCSDAQVFMLQGEGLPRLFIKVEAVSPYGELPDEAVRLRWLSSRDMPCPDVLFEGAHAGRFWLLMSGVPGEDLASAGSLSIETRIRIFAGALRQLHALDPATCPFDHRLDGRIEAARARMQAGLVDETDFDDDMLGKTTSDLFSRLAAEKPMVGDVVVTHGDACLPNFMADKGIFTGYIDCGRLGLADRYQDIGLACRSIADNFGDERVKLFLDCYGLMQADPAKLAYYRLLDEFF ->ARGMiner~~~CcrA beta-lactamase~~~WP_085562383.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_085562383.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGMIVINNHQAALLDTPINDAQTEMLVNWVTDSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVRSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQATSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGDYGGTELIEHTKQIVNQYIESTSKP ->ARGMiner~~~AAC(6')-Ib4~~~WP_071846385.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib4~~~WP_071846385.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGGGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~aadA5~~~WP_071846381.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_071846381.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGAFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~aadA5~~~WP_071846379.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_071846379.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALLDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEGDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~AAC(6')-Ib3~~~WP_071846373.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib3~~~WP_071846373.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~aadA6~~~WP_071846362.1~~~aminoglycoside unknown +>ARGMiner~~~aadA6~~~WP_071846362.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAARLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKVRQHSLALAGSAAEDFFNPVPEGDLFKALSDTLKLWNSQPDWEGDERNVVLTLSRIWYSAATGKIAPKDIVATGAMERLPDQHKPVLLEARQAYLGQGEDCLASRADQLAAFVHFVKHEATKLLSAMPVMSNNSFKPTPLRGAA ->ARGMiner~~~AAC(6')-Ib4~~~WP_071846361.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib4~~~WP_071846361.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKVGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~ANT(2'')-Ia~~~WP_071846360.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_071846360.1~~~aminoglycoside~~~unknown MDTTQVTLIHKILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAIVEMLGGRVMEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAERVEVLRAAFRSRYAA ->ARGMiner~~~aadA~~~WP_071846357.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846357.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHCVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA5~~~WP_071846356.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_071846356.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLATLLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~aadA5~~~WP_071846355.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_071846355.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIEGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~aadA8~~~WP_071846346.1~~~aminoglycoside unknown +>ARGMiner~~~aadA8~~~WP_071846346.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA2~~~WP_071846345.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846345.1~~~aminoglycoside~~~unknown MREAVTIEISNQLPEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA9~~~WP_071846344.1~~~aminoglycoside unknown +>ARGMiner~~~aadA9~~~WP_071846344.1~~~aminoglycoside~~~unknown MSNSIHTGISRQLSQARDVIKRHLASTLKAIHLYGSAIDGGLKPYSDIDLLVTVDARLDEATRRSLMLDFLNISAPPCESSILRPLEVTVVACNEVVPWRYPARRELQFGEWLPEDILEGVFEPAALDADLAILITKARQHSIALVGPVAQKVFMPVPEHDFLQVLSDTLKLWNTHEDWENEERNIVLTLARIWYSTETGGIVPKDVAAEWVLERLPAEHKPILVEARQAYLGLCKDSLALRADETSAFIGYAKSAVADLLEKRKSQTSHICDGAKNV ->ARGMiner~~~aadA~~~WP_071846343.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846343.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVSVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA~~~WP_071846342.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846342.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLVSRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA~~~WP_071846340.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846340.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVNGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA~~~WP_071846339.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846339.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLMLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~AAC(6')-Ib'~~~WP_071846332.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib'~~~WP_071846332.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGRWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~aadA9~~~WP_071846328.1~~~aminoglycoside unknown +>ARGMiner~~~aadA9~~~WP_071846328.1~~~aminoglycoside~~~unknown MSNSIHTGIARQLSQARDVIKRHLASTLKAIHLYGSAIDGGLKPYSDIDLLVTLDARLDEATRRPLMLDFLNISAPPRESSVLRPLEVTVVACNEVVPWRYPARRELQFGEWLREDILEGVFEPAVSDTDLAILITKARQRSIALVGPVAQEVFMPVPEHDFLQVLSDTLKLWNVHEDWENEERNIVLTLARIWYSTETGGIVPKDVAAEWVLGRLPAEHKSILVEARQAYLGLCKDSLALRADETSAFIGYAKSAVTDLLEKRKSQTSYIRDGAKNV ->ARGMiner~~~aadA16~~~WP_071846327.1~~~aminoglycoside unknown +>ARGMiner~~~aadA16~~~WP_071846327.1~~~aminoglycoside~~~unknown MRNTVPAEISVQLSQALNVIEHHLGSTLLAMHLYGSALDGGLKPYSDIDLLVTVTARLDESVRQALFVDLLGVSVFPGQSRVLRALEVTIVVHSDIVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKARQHSLALAGSAAEDFFNPVPESDLFKALADTLKLWNSQPDWIGDERNVVLTLSRIWYSAATGKIAPKDVAANWVMERLPVQHQPVLLEARQAYLGQGEDCLASLTDQLEAFVHFVKHEATKLLGATPMMSNSGAMRSRP ->ARGMiner~~~aadA7~~~WP_071846326.1~~~aminoglycoside unknown +>ARGMiner~~~aadA7~~~WP_071846326.1~~~aminoglycoside~~~unknown MSEKVPAEISVQLSQALNVIGRHLESTLLAVYLYGSALDGGLKPYSDIDLLVAVAAPHNDAVRQALLVDLLEVSASPGQNKVLRALEVTIVVHSDIVPWRYPARRELQFGEWQRKDILAGIFEPATTDSDLAILLTKAKQHSIVLAGSAAKDLFSSVPESDLFKALADTLKLWNSPPDWAGDERNVVLTLSRIWYTAATGKIAPKDVAATWAMARLPAQHQPILLNAKRAYLGQEEDYLPARADQVAALIKFVKYEAVKLLGASQ ->ARGMiner~~~aadA8~~~WP_071846325.1~~~aminoglycoside unknown +>ARGMiner~~~aadA8~~~WP_071846325.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAATGKIAPKDVAANWAMEHLPAQHQSVLLEARQAYLGQEEDRSVLRADKLEEFIHFMKSEITKVLGNDV ->ARGMiner~~~aadA8~~~WP_071846324.1~~~aminoglycoside unknown +>ARGMiner~~~aadA8~~~WP_071846324.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEVLNETLKLWNSQPDWAGDERNVVLTLSRIWYTEVTGKIVPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA25~~~WP_071846323.1~~~aminoglycoside unknown +>ARGMiner~~~aadA25~~~WP_071846323.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKDEITKVVGK ->ARGMiner~~~aadA8~~~WP_071846322.1~~~aminoglycoside unknown +>ARGMiner~~~aadA8~~~WP_071846322.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA22~~~WP_071846321.1~~~aminoglycoside unknown +>ARGMiner~~~aadA22~~~WP_071846321.1~~~aminoglycoside~~~unknown MREAVTAEISTQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVTVRLNEATRQALLNDLLEASAFPGESETLRAIEITIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA2~~~WP_071846319.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846319.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA2~~~WP_071846309.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846309.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLGAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA2~~~WP_071846308.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846308.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLQAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSAALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~ANT(2'')-Ia~~~WP_071846305.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_071846305.1~~~aminoglycoside~~~unknown MDTTQVTLIHKILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAIVEMLGGRVMEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIARRPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~aadA~~~WP_071846304.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846304.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVVVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAVEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRVDQLEEFVHYVKGEITKVVGK ->ARGMiner~~~ANT(2'')-Ia~~~WP_071846303.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_071846303.1~~~aminoglycoside~~~unknown MDTTQVTLIHKILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAIVEMLGGRVMVELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~aadA~~~WP_071846275.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846275.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGEREILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA~~~WP_071846272.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846272.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGISEPAMIDVDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLKLWNSQPDWAGDERNVVLTLSRIWYTEVTGKIVPKDVAADWAMERLPAQHQPVLLEARQAYLGQKEDRLASRADQLEEFVHFVKGEITKVVGK ->ARGMiner~~~aadA~~~WP_071846271.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846271.1~~~aminoglycoside~~~unknown MRDSVTAEISTQLSKVLSVIEHHLEPTLLAVHLYGSAVDGGLKPYSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSAALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA~~~WP_071846270.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846270.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGISEPAMIDVDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLKLWNSQPDWAGDERNVVLTLSRIWYTEVTGKIVPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA13~~~WP_071846269.1~~~aminoglycoside unknown +>ARGMiner~~~aadA13~~~WP_071846269.1~~~aminoglycoside~~~unknown MREAVTAEISTQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVTVRLNEATRQALLNDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLIKALNETLKLWNSQPDWAGDERNVVLTLSRIWYSAATGKIAPKDVAANWAMEHLPAQHQSVLLEARQAYLGQEEDRSVLRADKLEEFIHFMKSEITKVLGNDV ->ARGMiner~~~aadA15~~~WP_071846268.1~~~aminoglycoside unknown +>ARGMiner~~~aadA15~~~WP_071846268.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYLAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLALSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA8~~~WP_071846267.1~~~aminoglycoside unknown +>ARGMiner~~~aadA8~~~WP_071846267.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGISEPAMIDVDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLKLWNSQPDWAGDERNVVLTLSRIWYTEVTGKIVPKDVAADWAMERLPAQHQPVLLEARQAYLGQKEDRLASRADQLEEFVHFVKGEITKVVGK ->ARGMiner~~~aadA16~~~WP_071846266.1~~~aminoglycoside unknown +>ARGMiner~~~aadA16~~~WP_071846266.1~~~aminoglycoside~~~unknown MRNTVPAEISVQLSQALNVIEHHLGSTLLAMHLYGSALDGGLKPYSDIDLLVTVTARLDESVRKALFVDLLGVSVFPGQSRVLRALEVTIVVHSDIVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKARQHSLALAGSAAEDFFNPVPESDLFKALADTLKLWNSQPDWIGDERNVVLTLSRIWYSAATGKIAPKDVAANWVMERLPVQHQPVLLEARQAYLGQGEDCLASLTDQLEAFVHFVKHEATKLLGATPMMSNSGVMRSRP ->ARGMiner~~~aadA11~~~WP_071846265.1~~~aminoglycoside unknown +>ARGMiner~~~aadA11~~~WP_071846265.1~~~aminoglycoside~~~unknown MREAVTAEISTQLSEVLSVIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAAQLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKVRQHSLALAGSAAEDFFNPVPEGDLFKALSDTLKLWNSQPDWEGDERNVVLTLSRIWYSAATGKIAPKDIVANWAIERLPDQHKPVLLEARQAYLGQGEDCLASRADQLAAFVHFVKHEATKLLGAMPVMSNNSFKPNPLRGSA ->ARGMiner~~~aadA22~~~WP_071846264.1~~~aminoglycoside unknown +>ARGMiner~~~aadA22~~~WP_071846264.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVTVRLNEATRQALLNDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA17~~~WP_071846263.1~~~aminoglycoside unknown +>ARGMiner~~~aadA17~~~WP_071846263.1~~~aminoglycoside~~~unknown MRDSVTAEISTQLSKVLSVIEHHLEPTLLAVHLYGSAVDGGLKPYSDIDLLVTVTARLDDTTRRALFNDLLEVSAFPGESEILRAIEVTIVVHEDIMPWRYPAKRELQFGEWQRNDILAGISEPAMIDVDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~AAC(6')-Ib'~~~WP_071846262.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib'~~~WP_071846262.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPNNLRAVRCYEKAGFVAQRTINTPDGPAVYMVQTRQAFERARSAA ->ARGMiner~~~aadA16~~~WP_071846261.1~~~aminoglycoside unknown +>ARGMiner~~~aadA16~~~WP_071846261.1~~~aminoglycoside~~~unknown MRNTVPAEISVQLSQALNVIEHPLGSTLLAMHLYGSALDGGLKPYSDIDLLVTVTARLDESVRQALFVDLLGASVFPGQSRVLRALEVTIVVHSDIVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKARQHSLALAGSAAEDFFNPVPESDLFKALADTLKLWNSQPDWIGDERNVVLTLSRIWYSAATGKIAPKDVAANWVMERLPVQHQPVLLEARQAYLGQGEDCLASLTDQLEAFVHFVKHEATKLLGATPMMSNSGVMRSRP ->ARGMiner~~~AAC(6')-IIa~~~WP_071846260.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIa~~~WP_071846260.1~~~aminoglycoside~~~unknown MTANENTVTLRLMTEHDLPMLHEWLNRPHIVEWWGGEEERPTLDEVYDHYLPTVLAQESVTPYIAMLGNEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANPTKLNKGLGTKLVRALADLLFSDASVTKIQTDPAPGNHRAIRCYEKAGFLQEKVITTPDGPAVYMVQSRQTYERARGAA ->ARGMiner~~~AAC(6')-IIb~~~WP_071846259.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIb~~~WP_071846259.1~~~aminoglycoside~~~unknown MSPGVVTLRSMTEEDLGMLHDWLNRPHIVEWWGGERPSLEEVQEHYHPCALAEANVTPYVGMLDGRPFAYAQSYVALGSGDGWWQDETDPGIRGIDQSIGESALLGQGYGTLLVRALVDLLFADPRVSKVQTDPSPRNLRAIRCYEKAGFRRIKTIETPDGPAIYMLHERP ->ARGMiner~~~qacH~~~WP_071846245.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_071846245.1~~~fluoroquinolone~~~unknown MKNWLFLATAIISEVIATSALESSEGFTRLVPSFIVVAGYAAAFYFLSLTLKSIPVAIAYAVWSGLGIVLVTAIAWVLHGQKLDMWGFVGVGFIISGVAVLNLLSKASVH ->ARGMiner~~~aadA5~~~WP_071846244.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_071846244.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHARAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLATVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~ANT(2'')-Ia~~~WP_071846238.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_071846238.1~~~aminoglycoside~~~unknown MDTTQVTLIHKILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDPTFPGERRGELEAIVEMLGGRVMEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAEKVEILRAAFRSRYAA ->ARGMiner~~~aadA2~~~WP_071846237.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846237.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPERDLFEALRETLKLWNSQPDWAGDERNVVLTLFRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQEEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA2~~~WP_071846236.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846236.1~~~aminoglycoside~~~unknown MRVAVTIEISNRLSEVLSVIERHLESTLLAVHLYGSAEDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEELIRFVKGEIIKSVGK ->ARGMiner~~~aadA~~~WP_071846235.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846235.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVTERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA2~~~WP_071846234.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846234.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLQAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFGPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA~~~WP_071846233.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846233.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSVIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWHSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA2~~~WP_071846232.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846232.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSGVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFEEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA2~~~WP_071846231.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846231.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVRLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVQTTISWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPPAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~ANT(2'')-Ia~~~WP_071846230.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_071846230.1~~~aminoglycoside~~~unknown MDTTQVTLIHKILAAADERNPPLWIGGGWAIDARLGRVTRKHDDTDLTFPGERRGELEAIVEMLGGRVMEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYSYYADEVPPVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~aadA2~~~WP_071846229.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_071846229.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLVVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEQFFDPVPEQDVFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~aadA~~~WP_071846228.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846228.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTGLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~qacH~~~WP_071846225.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_071846225.1~~~fluoroquinolone~~~unknown MKNWIFLAVAIFGEVIATSALKSSHGFTRLVPSVVVVAGYGLAFYFLSLALKSIPVGIAYAVWAGLGIVLVAAIAWIFHGQKLDFWAFIGIGLIVSGVAVLNPLSKVSAH ->ARGMiner~~~aadA~~~WP_071846224.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_071846224.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGRIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLSSRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~dfrB2~~~WP_071846200.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrB2~~~WP_071846200.1~~~diaminopyrimidine~~~unknown MNQSSNCISTPVVGQFALPFQPTFGLGDRVRKKSGAAWQGKVVGWYCTKLTPEGYAVESEAHPGSVQIYPVAALERVA ->ARGMiner~~~aadA15~~~WP_071846190.1~~~aminoglycoside unknown +>ARGMiner~~~aadA15~~~WP_071846190.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLIKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~WP_071766620.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~WP_071766620.1~~~aminoglycoside~~~unknown MAEAKKVSFRLMNDKDDLRFMLKWLTDERVLEFYDGRGSKFTAETIREKYTEEEDDFYRMIIEYDSVPIGYAQMYRVQGELFEEYDYPETEEKIFAMDQFIGEPEYWNKGIGTEYCKAACRYLAEELGADAVILDPHKSNPRAIRAYEKAGFKVLRDLPAHELHEGKWEDCVLMEWRV ->ARGMiner~~~AAC(6')-Ib4~~~WP_071593232.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib4~~~WP_071593232.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVARIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~AAC(6')-Ib3~~~WP_071593230.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib3~~~WP_071593230.1~~~aminoglycoside~~~unknown MKTFNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~AAC(6')-If~~~WP_071593229.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-If~~~WP_071593229.1~~~aminoglycoside~~~unknown MQIRLMDEASLSMWVGLRSQLWPDHSYEDHILDSQHILSCPDKYVSFLAINNQSQAIAFADAAVRHDYVNGCESSPVVYLEGIFVIPEQRGHGVAKLLVAAVQDWGVAKGCTEMASDAALDNHISYQMHQALGFEETERVVFFRKRIAG ->ARGMiner~~~qacH~~~WP_071593221.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_071593221.1~~~fluoroquinolone~~~unknown MKSWLFLTIAILGEVVATSALKSSEGFTKLVPSFIVIVGYGIAFYFLSLVFKSIPVGVAYAVWSGLGVVLVTAVAWGLYVQKIDAWGFVGISLIVCGVLVLNLLSKASVH ->ARGMiner~~~AAC(6')-Ib4~~~WP_071593200.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib4~~~WP_071593200.1~~~aminoglycoside~~~unknown MTANENTVTLRLMTEHDLPMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~SAT-1~~~WP_070397094.1~~~nucleoside unknown +>ARGMiner~~~SAT-1~~~WP_070397094.1~~~nucleoside~~~unknown MKISVIPEQVAETLDAENHFHVREVFDVHLSDQGFELSTRSVSPYRKDYISDDDSDEDSACYGAFIDQELVGKIELNSTWNDLASIEHIVVSHTHRGKGVAHSLIEFAKKWALSRQLLGIRLETQTNNVPACNLYAKCGFTLGGIDLFTYKTRPQVSNETAMYWYWFSGAQDDA ->ARGMiner~~~SAT-1~~~WP_070397091.1~~~nucleoside unknown +>ARGMiner~~~SAT-1~~~WP_070397091.1~~~nucleoside~~~unknown MKISVIPEQVAETLDAENHFIVREVFDVHLSDQGFELSTRSVSPYRKDYISDDDSDEDSACYGAFIDQELVGKIELNSTWNDLASIEHIVVSHTHRGKGVAHSLIEFAKKWALSRQLLGIRLETQTNNVPACNLYAKCGFTLGGIDLFTYKTRPSVSNETAMYWYWFSGAQDDA ->ARGMiner~~~SAT-1~~~WP_070397090.1~~~nucleoside unknown +>ARGMiner~~~SAT-1~~~WP_070397090.1~~~nucleoside~~~unknown MKISVIPEQVAETLDAENHFIVREVFDVHLSDKGFELSTRSVSPYQNDYISDDDSDEDSACYGAFIDQELVGKIELNSTWNDLASIEHIVVSHTHRGKGVAHSLIEFAKKWALSRQLLGIRLETQTNNVPACNLYAKCGFTLGGIDLFTYKTRPQVSNETAMYWYWFSGAQDDA ->ARGMiner~~~aadA6~~~WP_070342359.1~~~aminoglycoside unknown +>ARGMiner~~~aadA6~~~WP_070342359.1~~~aminoglycoside~~~unknown MINTVPAEISVQLSQALNVIEHHLGSTLLAMHLYGSALDGGLKPYSDIDLLVTVTARLDESVRQALFVDLLGVSVFPGQSRVLRALEVTIVVHSDIVPWRYPDRRELQFGEWQRKDILAGIFEPATTDVDLAILLTKARQHSLALAGSAGEDFFNPVPESDLFKALADTLKLWNSQPDWIGDERNVVLTLSRIWYSAATGKIAPKDVAANWVMERLPVQHQPVLLEARQAYLGQGEDCLASLTDQLEAFVHFVKHEATKLLGATPMMSNNSFKPTPLRGAA ->ARGMiner~~~qacH~~~WP_070342358.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_070342358.1~~~fluoroquinolone~~~unknown MKNWLFLAIAIFGEVVATSALKSSHGFTKLVPSVVVVAGYGLAFYFLSLALKSIPVGIGYAVWAGLGIVLVAAIAWIFHGQKLDLWAFVGMGLIVSGVAVLNLLSKVSAH ->ARGMiner~~~aadA2~~~WP_070342357.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_070342357.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKGVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~AAC(6')-29b~~~WP_064190969.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-29b~~~WP_064190969.1~~~aminoglycoside~~~unknown MSVSILPVKEQDAADWLALRNLLWLADDHASEIEQYFSGGFEEPAEVLIARDATGAAVGHVELSIRHDLEELQGIKTGYIEGLYVAPSHRSTDLVRRFLRESEKWALEQGCSAFASDRSDRVITHRKFAGSAV ->ARGMiner~~~AAC(6')-29a~~~WP_064190968.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-29a~~~WP_064190968.1~~~aminoglycoside~~~unknown MSVSILPVKEQDAADWLALRNLLWLADDHASEIEQYFSGGLEGLVEVLIARDATGAAVGHVELSIRHDLEELQGIKTGYIEGLYVAPSHRSTDLVRRFLRESEKWALEQGCSAFASDRSDRVITHRKFAGSAV ->ARGMiner~~~AAC(6')-Ib3~~~WP_063978482.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib3~~~WP_063978482.1~~~aminoglycoside~~~unknown MTNSTDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~TEM-30~~~WP_063865144.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-30~~~WP_063865144.1~~~monobactam;cephalosporin;penam;penem~~~unknown MDPQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSSGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKLW ->ARGMiner~~~TEM-163~~~WP_063865138.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-163~~~WP_063865138.1~~~monobactam;cephalosporin;penam;penem~~~unknown MDPQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKLW ->ARGMiner~~~TEM-163~~~WP_063865132.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-163~~~WP_063865132.1~~~monobactam;cephalosporin;penam;penem~~~unknown MDPQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMVSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKLW ->ARGMiner~~~CTX-M-77~~~WP_063864600.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-77~~~WP_063864600.1~~~cephalosporin~~~unknown MMKNTLRKTALMAAAVVPMLAFSAASWAQTATKMTSVQQQLTALEKESGGRLGVMLIDTADNSQIAYRADERFAMCSTSKFMAASAILKESEVKKNLLTQHVALKQSDLVNYNPITEKHLNDGMTIGELAAAALQYSDNAAMNKLIEHLGGPHKVTDYARTLGDKTFRLDRTEPTLNTAIPGDDRDTTSPRAMALSLQHATLGSALAEPQRAQLVEWMKGNTTGAMSIRAGLPATWVVGDKTGSGDYGTTNDIAVIWPENKAPLVLVTYFTQPEKDAKSRRDVLASAAKIVTQGY ->ARGMiner~~~PDC-8~~~WP_063864574.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-8~~~WP_063864574.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASLHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRRYSNPSIGLFGYLAARSLGQPFERLMEQQVFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQGKVPLKR ->ARGMiner~~~OXA-385~~~WP_063862759.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-385~~~WP_063862759.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALISLEHHKATATEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKRIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-51~~~WP_063862758.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXA-51~~~WP_063862758.1~~~monobactam;cephalosporin;penam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNTDIGTQVDNFWLMGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~OXA-211~~~WP_063862740.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-211~~~WP_063862740.1~~~cephalosporin;penam~~~unknown MKTLQLALIALITTFGSACTTISPSVETAKNHQQQSAQQQIQQAFDQLQTTGVIVIKDKHGLRSYGNDLSRAQTAYVPASTFKMLNALIGLEHGKATSTEVFKWDGQKRSFPAWEKDMTLGQAMQASAVPVYQELARRIGLDLMQKEVQRIGYGNQQIGTVVDNFWLVGPLQITPVQEVLFVEKLANTQLAFKPDVQHTVQDMLLIEQKPNYKLYAKSGWGMDLEPQVGWWTGWVETATGEKVYFALNMHMKTGISASVREQLVKQSLTALGII ->ARGMiner~~~OXA-114a~~~WP_063861000.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-114a~~~WP_063861000.1~~~cephalosporin;penam~~~unknown MTVRRLSCALGAALSLSALGGGPVQAAVLCTVVADAADGRILFQQGTQQACAERYTPASTFKLAIALMGADAGILQGPHEPVWNYQPAYPDWGGDAWRQPTDPARWIKYSVVWYSQLTAKALGQDRFQRYTSAFGYGNADVSGEPGRHNGTDGAWIISSLRISPLEQLAFLRKVVNRQLPVKAAAYELADNLFEVGQADGWRLYGKTGTGSPGSNGVYTAANAYGWFVGWARKDGRQLVYARLLQDERATQPNAGLRARDELVRDWPAMAGAWRP ->ARGMiner~~~SHV-14~~~WP_063860895.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-14~~~WP_063860895.1~~~carbapenem;cephalosporin;penam~~~unknown MRYFRLCIISLLATLPLRVHAGPQPLEQIKLSESQLSGSVGMIEMDLARPGTLTAWRADERFPMMSTFKVVLCGAGLARVDAGDEQLERKIHYRRQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLPAVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTARSMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGRLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIAGALIEHWQR ->ARGMiner~~~MOX-3~~~WP_063860844.1~~~cephalosporin;cephamycin;penam unknown +>ARGMiner~~~MOX-3~~~WP_063860844.1~~~cephalosporin;cephamycin;penam~~~unknown MQQRQSFLWGAVATLMWAGLAHAGETSPVDPLRPVVDASIQPLLKEHRIPGMAVAVLKDGKAHYFNYGVADRERAVGVSEQTLFEIGSVSKPLTATLGAYAVVKGAMQLDDKASRHAPWLKGSAFDSITMGELATYSAGGLPLQFPEEVDSLEKMQAYYRQWTPAYSPGSHRQYSNPSIGLFGHLAASSLKQPFAQLMEQTLLPGLGLHHTYVNVPKQAMASYAYGYSKEDKPIRVSPGMLADEAYGIKTSSADLLRFVKANISGVDDKALQQAISLTHKGHYSVGGMTQGLGWERYAYPVSEQTLLAGNSAKVILEANPTAAPRESGSQMLFNKTGSTSGFGAYVAFVPAKGIGIVMLANRNYPIPARVKAAHAILTQLAR ->ARGMiner~~~MOX-3~~~WP_063860843.1~~~cephalosporin;cephamycin;penam unknown +>ARGMiner~~~MOX-3~~~WP_063860843.1~~~cephalosporin;cephamycin;penam~~~unknown MQQRQSFLWGAVATLMWAGLAHAGETSPVDPLRPVVDASIQPLLKEHRIPGMAVAVLKDGKAHYFNYGVADRERAVGVSEQTLFEIGSVSKPLTATLGAYAVVKGAMQLDDKASRHAPWLKGSAFDSITMGELATYSAGGLPLQFPEEVDSLEKMQAYYRQWTPAYSPGSHRQYSNPSIGLFGHLAASSLKQPFAQLMEQTLLPGLGLHHTYVNVPKQAMASYAYGYSKEDKPIRVSPGMLADEAYGIKTSSADLLRFVKANISGVDDKALQQAISLTHKGHYSVGGMTQGLGWESYAYPVSEQILLAGNSAKVILEANPTAAPRESGSQMLFNKTGSTSGFGAYVAFVPAKGIGIVMLANRNYPIPARVKAAHAILTQLAR ->ARGMiner~~~DHA-20~~~WP_063860842.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~DHA-20~~~WP_063860842.1~~~cephalosporin;cephamycin~~~unknown MTKSVSATLISALLAFSAPGFSAADNVAAVVDSTIKPLMAQQDIPGMAVAVSVKGKPYYFNYGFADVQAKQPVTENTLFELGSVSKTFTGVLGAVSVAKKEMTLNDPAEKYQPELALPQWKGITLLDLATYTAGGLPLQVPDAVKSRADLLNFYQQWQPSRKPGDMRLYANSSIGLFGALTANAAGMPYEQLLTARILAPLGLSHTFITVPESAQSQYAYGYKNKKPVRVSPGQLDAESYGVKSASKDMLRWAEMNMEPSRAGNADLEMAMYLAQTRYYKTAAINQGLGWEMYDWPQQKDMIINGVTNEVALQPHPVTDNQVQPYNRASWVHKTGATTGFGAYVAFIPEKQVAIVILANKNYPNTERVKAAQAILSALE ->ARGMiner~~~MIR-8~~~WP_063860839.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-8~~~WP_063860839.1~~~monobactam;cephalosporin~~~unknown MMTKSLSCALLLSVASSAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWLIANMKPDSLEAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~LRA-1~~~WP_063860832.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~WP_063860832.1~~~cephalosporin;penam~~~unknown MNVILNRRTFLLASAVVSASYSLGTLAGANRDDASFQDRLAKLEQKLNGRLGVCAIDTADGAQLGYRANERFAMNSTFKVMLASAFLARSQDEPGLLEERLTYTRADLVTYSPVTEKHVSTGMTVAELCAAGIQYSDNTAANVLMKKLGGPEAVTAFARSIGDTHFRLDRWETELNSAIPGDPRDTSTPQAMAMSLQRLALSDMLAADKQHQLQAWLKGNTTGGKRIRAGVPAGWQVGDKTGTGDYGSANDVAILWPPRRAPVVLALYSALESQQAEARNDVLADAARIVAEWVTG ->ARGMiner~~~LRA-1~~~WP_063860831.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~WP_063860831.1~~~cephalosporin;penam~~~unknown MNVILNRRTFLLASAVVSASYSLGTLAGANRDDASFQDRLAKLEQKLNGRLGVCAIDTADGAQLGYRANERFAMNSTFKVMLASAFLAQSQDEPGLLEERLTYTRADLVTYSPVTEKHVATGMTVAELCAAGIQYSDNTAANVLMKKLGGPEAVTAFARSIGDTHFRLDRWETELNSAIPGDPRDTSTPQAMAMSLQRLALGDMLAADKQHQLQAWLKGNTTGGKRIRAGVPAGWQVGDKTGTGDYGSANDVAILWPPRRAPVVLALYSALENQQAEARNDVLADAARIVAEWVTG ->ARGMiner~~~LRA-1~~~WP_063860830.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~WP_063860830.1~~~cephalosporin;penam~~~unknown MNVILNRRTFLLASAVVSASYSLGTLAGANRDDASFQDRLAKLEQKLNGRLGVCAIDTANGAQLGYRANERFAMNSTFKVMLASAFLARSQDEPGLLEERLTYTRADLVTYSPVTEKHVSTGMTVAELCAAGIQYSDNTAANVLMKKLGGPEAVTAFARSIGDTHFRLDRWETELNSAIPGDPRDTSTPQAMAMSLQRLALGDMLAADKQHQLQAWLKGNTTGGKRIRAGVPAGWQVGDKTGTGDYGSANDVAILWPPRRAPVVLALYSALESQQAEARNDVLADAARIVAEWVTG ->ARGMiner~~~LRA-1~~~WP_063860829.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~WP_063860829.1~~~cephalosporin;penam~~~unknown MNVILNRRTFLLASAVVSASYSLGTLAGANRDDASFQDRLAKLEQKLNGRLGVCAIDTANGAQLGYRANERFAMNSTFKVMLASAFLARSQDEPGLLEERLMYTRADLVTYSPVTEKHVSTGMTVAELCAAGIQYSDNTAANVLMKKLGGPEAVTAFARSIGDTHFRLDRWETELNSAIPGDPRDTSTPQAMAMSLQRLALGDMLAADKQHQLQAWLKGNTTGGKRIRAGVPAGWQVGDKTGTGDYGSANDVAILWPPRRAPVVLALYSALENQQAEARNDVLADAARIVAEWVTG ->ARGMiner~~~LRA-1~~~WP_063860828.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~WP_063860828.1~~~cephalosporin;penam~~~unknown MNVILNRRTFLLTSAVVSASYSLGTLAGANRDDASFQDRLAKLEQQLNGRLGVCAIDTANGAQLGYRANERFAMNSTFKVMLASAFLARSQDEPGLLEERLTYTRADLVTYSPVTEKHVSTGMTVAELCAAGIQYSDNTAANVLMKKLGGPEAVTAFARSIGDTHFRLDRWETELNSAIPGDPRDTSTPQAMAMSLQRLALGDMLAADKQHQLQAWLKGNTTGGKRIRAGVPAGWQVGDKTGTGDYGSANDVAILWPPRRTPVVLALYSALENQQAEARNDVLADAARIVAEWVTG ->ARGMiner~~~y56 beta-lactamase~~~WP_063860827.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_063860827.1~~~cephalosporin;penam~~~unknown MNSIFSLSRRKYLLAAAGLTIAALFPPSVVLAEQPATLAAQLSALEASANGRLGVALIDSGSSRQLSYRGEERFAMASTFKALAAAAVLQRSVEQPALLNKRIIYQQSELITYSPVTEKHLQQGMTVAELCAAAVELSDNTAGNILLREIGGPQGITQLARRLGDNQTRLDRREPALNSAIPGDVRDTSTPLAMAGNLNQLALGKALPSAQQQLLIQWLKQSKTGAQSIRAGVPQGWQVGDKTGAGGYGTTNDLAILWPPQGKPLVLAVYFTQHNPQAEARRDVLASATRLVLAAWEKQ ->ARGMiner~~~KPC-1~~~WP_063860711.1~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-1~~~WP_063860711.1~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLDTPIRYGKNALVPRSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDRWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKHSEAVIAAAARLALEGLGVNGQ ->ARGMiner~~~IMP-8~~~WP_063860582.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-8~~~WP_063860582.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCVCFLCSITAAGAALPDLKIEKLEEGVYVHTSFEEVNGWGVFSKHGLVVLVNTDAYLIDTPFTATDTEKLVNWFVERGYKIKGTISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKDGKVQAKNSFSGVSYWLVKNKIEVFYPGPGHTQDNVVVWLPEKKILFGGCFVKPDGLGNLGDANLEAWPKSAKILMSKYGKAKLVVSSHSEIGDASLLKRTWEQAVKGLNESKKPSQPSN ->ARGMiner~~~IMP-13~~~WP_063860577.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~IMP-13~~~WP_063860577.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKKLFVLCVCFFCSITAAGAALPDLKIEKLEEGVFVHTSFEEVNGWGVVTKHGLVVLVNTDAYLIDTPFTATDTEKLVNWFVERGYEIKGTISSHFHSDSTGGIEWLNSQSIPTYASELTNELLKKSGKVQAKYSFSGVSYWLVKNKIEVFYPGPGHTQDNLVVWLPESEILFGGCFIKPHGLGNLGDANLEAWPKSAKILMSKYGKAKLVVSSHSEKGDASLMKRTWEQALKGLKESKKTSSPSN ->ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860562.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860562.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFVILFFMFICLGLNAQVVKEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLPIIKANIQKLGFNYKDIKILLLTQAHYDHTGALQDLKTETGAKFYADKEDADVLRTGGKSDYEMGKYGVTFKPVTPDKTLKDQDKITLGNTILSLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSVIVDKKFSEVTAYPNIQSDYAYTFKAMKNLDFDIWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLNDLEKSYLNKIKKDSQDK ->ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860559.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860559.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFAILFFLLITFSWKAQVVKEPENTNEEWSRSYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGLAGSLPMIKENIKKLGFNYKDIKILLLTQAHYDHTGALKDLQTETGAKFYADSADADVLKTGGKSDYEMGKYGATFKPIKPDILLKDQDKIKLGNTTLTLLHHPGHTKGSCSFIFETKDENRNYKVLIANMPSVIVDRKFSEIKDYPNIQADYAYTFKAMKKLDFDLWVASHASQFDLHTKHKEGDPYNPQVFMDKANYFAFLNSLETDYLEKIKNDSQKK ->ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860558.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860558.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFAILFFLLITFSWKAQVVKEPENTNEEWSRSYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGLAGSLPMIKENIKKLGFNYKDIKILLLTQAHYDHTGALKDLQTETGAKFYADSADADVLKTGGKSDYEMGKYGATFKAIKPDILLKDQDKIKLGNTTLTLLHHPGHTKGSCSFIFETKDENRNYKVLIANMPSVIVDRKFSEIKDYPNIQADYAYTFKAMKKLDFDLWVASHASQFDLHTKHKEGDPYNPQVFMDKANYFAFLNSLETDYLEKIKNDSQKK ->ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860554.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860554.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFATLFFLSVCLNLNLNAQVVKEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAEPLPIIKGNIQKLGFNYKDIKILLLTQAHYDHTGALQDFKTETGAKFYTDKADADVLKTGGKSDYELGKYGVTFKPITPDRTLKDQDKITLGNTTLTLLHHPGHTKGSCSFIFDTKDEKRKYRVLIANMPSIIVDKKFSEVTAYPNIQSDYAYTFGAMKKLDFDLWVASHASQFDLHEKRKEGDPYNPQLFMDKQNYFQSLNNLEKSYLDKIKKDSQDK ->ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860547.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860547.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFATLFFLSVCLDLNLNAQVVKEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLPIIKGNIQKLGFNYKDIKILLLTQAHYDHTGALQDFKTETGAKFYTDKADADVLKTGGKSDYELGKYGVTFKPITPDRTLKDQDKITLGNTTLTLLHHPGHTKGSCSFIFDTKDEKRKYRVLIANMPSIIVDKKFSEVTAYPNIQSDYAYTFGAMKKLDFDLWVASHASQFDLHEKRKEGDPYNPQLFMDKQNYFQSLNNLEKSYLDKIKKDSQDK ->ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860536.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860536.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFATLFFMFVCLGLNAQVVKEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLPIIKANIQKLGFNYKDIKILLLTQAHYDHTGALQDLKTETAAKFYADKADADVLRTGGKSDYEMGKYGVTFKPITPDRTLKDQDKITLGNTTLTLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSIIVDKKFSEVTAYPNIQSDYAYTFGAMKKLDFDLWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLNDLEKSYLDKIKKDSQDK ->ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860531.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860531.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFATLFFLSACLSLSLNAQVVKEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLTIIKGNIQKLGFNYKDIKVLLLTQAHYDHTGALEDFKTETGAKFYADKADADVLKTGGKSDYELGKYGVTFKPITPDRTLKDQDKITLGNTTLTLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSIIVDKKFSEVAAYPNIQSDYAYTFGAMKKLDFDLWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLNDLEKSYLDKIKKDSQDK ->ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860525.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~WP_063860525.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFVILFFMFICLGLNAQVVKEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLPIIKANIQKLGFNYKDIKILLLTQAHYDHTGALQDLKTETGAKFYADKEDADVLRTGGKSDYEMGKYGVTFKPVTPDKTLKDQDKITLGNTILTLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSVIVDKKFSEVTAYPNIQSDYAYTFKAMKNLDFDIWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLNDLEKSYLDKIKKDSQDK ->ARGMiner~~~TEM-220~~~WP_063860521.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-220~~~WP_063860521.1~~~monobactam;cephalosporin;penam;penem~~~unknown MPHFRLALIPLLTAFCLPAFAHPTTLNKVKEAESQLTARVGYAELDLTSGEILESYRLQERFPMMSTFKVLLCGAVLARVDAGKERLDRRIPFSRRDLVEYSPVTEKHLTDGMTVGELCDAAITMSDNTAANLLLTAIGGPQGLTAFLRTTGDRVTRLDRWEPELNEALPGDKRDTTTPENMAQTLRQLLTGKILTTTSQQQLTHWMVTDKVAGPLLRSVLPAGWFIADKTGAGARGSRGIVAALGPDGKPSRIVVIYITESQATMAERNRQIAGIGATLIQHWDE ->ARGMiner~~~CTX-M-14~~~WP_063860072.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-14~~~WP_063860072.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAMQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~CTX-M-15~~~WP_063859993.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~WP_063859993.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKPIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-1~~~WP_063859973.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-1~~~WP_063859973.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLVELSAAALQYSDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~CMY-75~~~WP_063859891.1~~~cephamycin unknown +>ARGMiner~~~CMY-75~~~WP_063859891.1~~~cephamycin~~~unknown MMKKSLCCALLLTASFPTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAIIYEGKPYYFTWGKADIANKHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWRGISLLHLATYTAGGLPLQIPDDVTDKAELLRFYQNWQPQWTPGAKRLYANSSIGLFGALVVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQSEQKNYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIELAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPVPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~CARB-1~~~WP_063857838.1~~~penam unknown +>ARGMiner~~~CARB-1~~~WP_063857838.1~~~penam~~~unknown MKFLLAFSLLIPSVVFASSSKFQQVEQDVKAIEVSLSARIGVSVLDTQNGEYWDYNGNQRFPLTSTFKTIACAKLLYDAEQGKVNSNSTVEIKKADLVTYSPVIEKQVGQAITLDDACFATMTTSDNTAANIILSAVGGPKGVTDFLRQIGDKETRLDRIEPDLNEGKLGDLRDTTTPKAIASTLNKFLFGSALSEMNQKKLESWMVNNQVTGNLLRSVLPAGWNIADRSGAGGFGARSITAVVWSEHQAPIIVSIYLAQTQASMAERNDAIVKIGHSIFDVYTSQSR ->ARGMiner~~~LRA-1~~~WP_063857821.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~WP_063857821.1~~~cephalosporin;penam~~~unknown MTFSALPFRRADRRRLLAAALAACALTLTAACDSGTVTVPVTDSVTTSAVADPRFAELETTSGARLGVFAVDTGSGRTVAHRADERFPMASTFKGLACGALLREHPLSTGYFDQVIHYSAAELVEYSPVTETRVETGMTVRELCDAAITVSDNTAGNQLLKLLGGPEGFTASLRSLGDATSRLDRWETDLNTAIPGDERDTTTPAALAADYRALVVGDVLGAPERDQLKAWLVANTTGATRIRAGLPADWTVGDKTGSPAYGSALDVAVAWPPGRAPIVIAVLSTKSEQDAEPDNALLAEATRVVVDALG ->ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~WP_063857799.1~~~cephalosporin;penam unknown +>ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~WP_063857799.1~~~cephalosporin;penam~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNRSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFRKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ADC-81~~~WP_063857786.1~~~cephalosporin unknown +>ARGMiner~~~ADC-81~~~WP_063857786.1~~~cephalosporin~~~unknown MRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLERYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNSSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWKPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVPAYGVKSTLPDMLSFIHANLNPQEYPADIQRAINETHQGFYQANTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVTLTNKRIPNEERIKAAYAVLNAIKK ->ARGMiner~~~ACT-2~~~WP_063857785.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-2~~~WP_063857785.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMMTKSLCCALLLSTSCSVLATPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKAEESHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMANWVMVNMKPDSLQDSSLRKGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILSAL ->ARGMiner~~~arr-3~~~WP_063857694.1~~~rifamycin unknown +>ARGMiner~~~arr-3~~~WP_063857694.1~~~rifamycin~~~unknown MSSDWTPISHENCQQVRGPFYHGTKAHLSIGDLITTGHLSHFEDGRALKHVYFSALMEPAIWGAELAMSLSRLDGRGYIYIVEPTGPFEDDPNLTNKRFPGNPTKSYRTCDPLRIVGSVEDWQGHPADVLQQMLESLEDLERRGLAIIED ->ARGMiner~~~AAC(3)-Ib~~~WP_063856942.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ib~~~WP_063856942.1~~~aminoglycoside~~~unknown MSITATIRIGPDEIPAMRAVLDLFGREFEDMSAYSDRQPTNDYLAKLLRSETFIALAAFDQGTAIGGLAAYVLPKFEQARSEIYIYDLAVASSYRRQGIATSLISHLKREAIKIGAYVIYVQADYGDDPAVALYTKLGVREDVMHFDIDPGTAT ->ARGMiner~~~VgbA~~~WP_063856939.1~~~streptogramin unknown +>ARGMiner~~~VgbA~~~WP_063856939.1~~~streptogramin~~~unknown MEFKLQELNLTNQDTGPYGITVSDKGKVWITQHKANMISCINLDGKITEYPLPTPDAKVMCLTISSDGEVWFTENAANKIGRITKKGIIKEYTLPNPDSAPYGITEGPNGDIWFTEMNGNRIGRITDDGKIREYELPNKGSYPSFITLGSDNALWFTENQNNAIGRITESGDITEFKIPTPASGPVGITKGNDDALWFVEIIGNKIGRITPLGEITEFKIPTPNARPHAITAGAGIDLWFTEWGANKIGRLTSNNIIEEYPIQIKSGEPHGICFDGETIWFAMECDKIGKLTLIKDNME ->ARGMiner~~~vanYG1~~~WP_063856894.1~~~glycopeptide unknown +>ARGMiner~~~vanYG1~~~WP_063856894.1~~~glycopeptide~~~unknown MNHMNMKHRRRKRRRNQSFLFTGILLLVVVSASANLWYGFGNAAKKDSVIEEMPFTITQDGMQAKEEIKKTVLETSYGGKQQVAEENHGNTQNAGTDEAWNLMLVNRDNAIPDNYKVNLASKGGERVNHRIYEPLMEMLNAAREENWGELPMVVSGYRTQEKQQSLYDEKIAKFKKEGYSDSEAVRQAEQWVAVPGHSEHQLGFAVDINGATYDVYLWLQENSYKYTALSSDTRQKTDITGTAEEVWHYRYVGVEAATEMYENGLCLEEYLEKKQSEN ->ARGMiner~~~vanXYC~~~WP_063856788.1~~~glycopeptide unknown +>ARGMiner~~~vanXYC~~~WP_063856788.1~~~glycopeptide~~~unknown MNPYLQLVSKEFPLEKNQEPPHLVLAAFSEDEVYLQPEAAKQWERLVKALKLENEICLLDGYRTEKQQRYLWEYSLKENGLAYTKQFVALPGCSEHQLGLAIDVGLKGSQDDLICPLFRDSAAADLFTQEMMNYGFILRYPADKQEITGIGYEPWHFRYVGLPHSQIIASQQWTLEEYHQYLEQTARQFA ->ARGMiner~~~vanTC~~~WP_063856758.1~~~glycopeptide unknown +>ARGMiner~~~vanTC~~~WP_063856758.1~~~glycopeptide~~~unknown MKKNQGIEQFRVILAMMVVAIHCLPLHRLWPDGDILITLTLFRIAVPFFFMISGYYVFSDLATQNSYPARQRVWQFIKKQLQVYLIATLLFLPLAWYSGMLGLNMPLGTFIQTLLVTGILYHLWYFPAIITGSLLVMGLLTRLSFKQVFFIAVGLYVVGLGGDSWSGLAAQTPITPLYSLIFQLLDGTRNGIFFAPLFLILGVLASQGYQPGRFAKKPASPHRYSYLLISLICLLLESYLLHHFTTPKHDSMYVFLPFVLLFLFPIIQQWQAPIIWKQAGRLSLWLYLLHPYTIAVTHFLSQKLPLLQNNLINFLVVLGLTIGVVHGLFALQKLFPFSKKTPLHLQRAAKEFSAPALLHNLQEIKRLIPATTKVMAVVKADAYGCDAKTVAGTLERAGVDFFAVATLEEAIELRRAGIKSRLLILGYTSAQHAKEMKHYSLIQTIVSEAHGHALAQTSIPIECHLAVDTGMHRLGVAPDLEAVTGLYALPSLKITRIFSHLGSSDQLDTASILRTQAQITCFDDLLAGLSARNIVYGLTHLQSSYGILNYPEKHYDYVRPGILLTGSLSVPNEPTKQKINVQPILTLKALLVDKKTVAAGEAIGYGLGTIFDRPATIGIVSIGYCDGVPRALSNQGFQLSYQGALLPQIGLVCMDMLLIDLTDYPELAVESSLEVISDWTTTADQAQTITNELISRLGSRITSSSK ->ARGMiner~~~vanTG~~~WP_063856752.1~~~glycopeptide unknown +>ARGMiner~~~vanTG~~~WP_063856752.1~~~glycopeptide~~~unknown MTKNESYSGIDYFRFIAALLIVAIHTSPLFSFSETGNFIFTRIVAPVAVPFFFMTSGFFLISRYTCNAEKLGAFIKKTTLIYGVAILLYIPINVYNGYFKMDNLLPNIIKDIVFDGTLYHLWYLPASIIGAAIAWYLVKKVHYRKAFLIASILYIIGLFGDSYYGIVKSVSCLNVFYNLIFQLTDYTRNGIFFAPIFFVLGGYISDSPNRYRKKNYIRIYSLFCLMFGKTLTLQHFDIQKHDSMYVLLLPSVWCLFNLLLHFRGKRRTGLRTISLDQLYHSSVYDCCNTIVCAELLHLQSLLVENSLVHYIAVCFASVVLAVVITALLSSLKPKKAKHTADTDRAYLEINLNNLEHNVNTLQKAMSPKCELMAVVKAEAYGHGMYEVTTYFEPIGVFYLAVATIDEGIRLRKYGIFSEILILGYTSPSRAKELCKFELTQTLIDYRYLLLLNKQGYDIKAHIKIDTGMHRLGFSTEDKDKILAAFFLKHIKVAGIFTHLCAADSLEEKEVAFTNKPIGSFYKVLDWPKSSGLNIPKVNIQTSYGLWNIQSWNVIYQSGVALYGVLRSTNDKTKLETDLRACSFLKAKVVLIRKIKQGGSVGYSRAFTATRDSLIAILPIGYADGFPRNLSCGNSYVLIGGRQAPIVGKICMDQLAVDVTDIPNVKTGSIATLIGKDGKEEITAPMVAESAESITNELLSRMEHRLNIIRRA ->ARGMiner~~~vanSG~~~WP_063856738.1~~~glycopeptide unknown +>ARGMiner~~~vanSG~~~WP_063856738.1~~~glycopeptide~~~unknown MKNKNMTSYEDDYLLFKNRLSVKILLMMACSILIISVVYLFVLKDNFANVVVAILDRFIYHDRDEAVAVYLRTFKAYEIWLFLIAVMGVFFVIFRRYLDSISKYFKEINRGIDTLVHEDTNDIALPPELASTERKINSIRHTLTKRKTDAELAEQRKNDLVMYLAHDLKIPLSSVIGYLNLLRDEKQISEELREKYLSISLDKAERLEELINEFFEITRFNLSNITLVYSKINLTMMLEQLGHEFKPMLAGKNLKCEFDIQPDMLLSCDANKLQRVFDNLLRNAVSYCYENTTIQVNARQAEDHVLIKIINEGDTIPRERLERIFEQFYRLDMSRSSSTGGAGLGLAIAREIVELHHGQITARSENGITSFEVTLPTVGKS ->ARGMiner~~~vanSG~~~WP_063856732.1~~~glycopeptide unknown +>ARGMiner~~~vanSG~~~WP_063856732.1~~~glycopeptide~~~unknown MDSDYTQLQTKILIRTAVVLFGAFALISASLSLLSGHFSRAVVGILEIFYKDYEKALVVYTYVFRDNKEWFVMIAAFVVSNCITMYLKGFTKYFNEINRGINALKEESSEDVVLSSELAATEKTINTIKHTLEQQKTAALVAEQRKNDLVVYLAHDLKTPLTSVIGYLTLLRDEKQISDELREKYICISLEKAERLENLINEFFEITRFNLSNIILEYSVVNLTRMLEQLVFEFNPMLAEKKLNCVLKTMPNKMIRCDANKMQRVFDNLLRNAVNYSFENTEISITVTQNENMVHIKFVNHGNTIPKEKLERIFEQFYRLDTSRSTGNGGAGLGLAIAREIVMLHGGTITARSEDERIEFEVTILSS ->ARGMiner~~~vanG~~~WP_063856695.1~~~glycopeptide unknown +>ARGMiner~~~vanG~~~WP_063856695.1~~~glycopeptide~~~unknown MQNKKIAVIFGGNSTEYEVSLQSASAVFENINTNKFDIIPIGITRSGEWYHYTGEKEKILNNTWFEDSKNLCPVVVSQNRSVKGFLEIASDKYRIIKVDLVFPVLHGKNGENGTLQGIFELAGIPVVGCDTLSSALCMDKDRAHKLVSLAGISVPKSVTFKRFNEEAAMKEIEANLTYPLFIKPVRAGSSFGITKVIEKQELDAAIELAFEHDTEVIVEETINGFEVGCAVLGIDELIVGRVDEIELSSGFFDYTEKYTLKSSKIYMPARIDAEAEKRIQEAAVTIYKALGCSGFSRVDMFYTPSGEIVFNEVNTIPGFTSHSRYPNMMKGIGLSFSQMLDKLIGLYVE ->ARGMiner~~~vanD~~~WP_063856692.1~~~glycopeptide unknown +>ARGMiner~~~vanD~~~WP_063856692.1~~~glycopeptide~~~unknown MYKLKIAVLFGGCSEEHDVSVKSAMEVAANINKEKYQPFYIGITKSGAWKLCDKPCRDWENYAGYPAVISPDRRIHGLLIQKDGGYESQPVDVVLPMIHGKFGEDGTIQGLLELSGIPYVVCDIQSSVICMDKSLAYMVVKNAGIEVPGFRVLQKGDSLEAETLSYPVFVKPARSGSSFGVNKVCRAEELQAAVTEAGKYDSKILVEEAVSGSEVGCAILGNGNDLITGEVDQIELKHGFFKIHQEAQPEKGSENAVIRVPAALPDEVREQIQETAKKIYRVLGCRGLARIDLFLREDGSIVLNEVNTMPGFTSYSRYPRMMTAAGFTLSEILDRLIGLSLRR ->ARGMiner~~~tetX~~~WP_063856444.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~WP_063856444.1~~~glycylcycline;tetracycline~~~unknown MTLLKYKKITIIGAGPVGLTMARLLQQNGVDITVYERDKDQDARIFGGTLDLHRDSGQEAMKRAGLLQTYYDLALPMGVNIVDEKGNILTTKNVRPENRFDNPEINRNDLRTILLNSLQNDTVIWDRKLVTLEPDKEKWILTFGDKSSETADLVIIANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEVNCPGFFQLCNGNRLMAAHQGNLLFANPNNNGALHFGISFKTPDEWKSKTQVDFQDRNSVVDFLLKKFSDWDERYKELIRLTSSFVGLATRIFPLDKSWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLTNGKFNSIEEAIENYEQQMFAYGREAQTESIINETEMFSLDFSFQKLMNL ->ARGMiner~~~tetW~~~WP_063856421.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_063856421.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILLHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDALLEKYIAGEPISQEKLAREEQRRVQEASLFPVYHGSAKKGLGIQPLMDAVTGLFQPIGEQGGAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDQTRLPRKRWREAPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKIETVVKEPTVIYTERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNEQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~tet32~~~WP_063856420.1~~~tetracycline unknown +>ARGMiner~~~tet32~~~WP_063856420.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDKLLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILADDTLKLNDILGNEKLLPHKTRIDNPMPLLRTTVEPQKPEQREALLNALAEIADTDPLLHFDIDTVTHEIMLSFLGKVQLEVICSLLEEKYHVGVAMKEPSVIYLERPLRKAEYTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSSQNAVRDGIRYGLEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTNFTNGQGVCLTELKGYQPAIGKFIYQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~tetO~~~WP_063856406.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_063856406.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHMNVTDNDDMEQWDAVIMGDDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~tetO~~~WP_063856404.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_063856404.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRKFQTGTLFPVYHGSAKNNLGIRQLKKGIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIKISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQVKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~tetM~~~WP_063856401.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856401.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYTSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELQQEESIRFHNCSLFPVYYGSAKNNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856400.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856400.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSDEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856399.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856399.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLYPVYHGSAKSNIGIEQLIEVITNKFYSSTYRKKSELCGNVFKIEYSEERQRLAYVRLYGGILHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856398.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856398.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNVCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDPVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856396.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856396.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSMEIIIKQKVELHPNMCVMSCTELEQWDVVIEGNDDLLEKYMSGKSLEALELEQEEIRRFQNCSLYPVYHGSAKSNIGIEQLIEVITNKFYSSTYRKKSELCGNVFKIEYSEERQRLAYVRLYGGILHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLQYYVDSTTHEIILSFLGKVQMEVTCALLQEKYHVEVKIKKPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRNDLTFFTNGRSVCLTELKGYYVTTGESVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856395.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856395.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQFKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856394.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856394.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSMEIIIKQKVELHPNMCVMSCTEPEQWDVVIEGNDDLLEKYMSGKSLEALELEQEEIRRFQNCSLYPVYHGSAKSNIGIEQLIEVITNKFYSSTYRKKSELCGNVFKIEYSEERQRLAYVRLYGGILHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLQYYVDSTTHEIILSFLGKVQMEVTCALLQEKYHVEVKIKKPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVNTQLKNNEVILSGEIPARCIQEYRNDLTFFTNGRSVCLTELKGYYVTTGESVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856392.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856392.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNVCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEREKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAQLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKARYMFNKIT ->ARGMiner~~~tetM~~~WP_063856391.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856391.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGNVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEREKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAQLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856390.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856390.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILHNEFLKLNSVRGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIEITEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856114.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856114.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDRGTTKTDNTLLERQRGITIQTAITSFQWKNTKINIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKIGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELHPNMREMNFTESEQWDMVIEGNDYLLEKYTSGKLLEALELEQEESIRFHNCSLFPLYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856113.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856113.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856110.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856110.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKRIENPHPLLQITVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTECKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856109.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856109.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLRTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYTPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_063856108.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_063856108.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDFVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLTPIVLEQAFRKAGTELLEPYLSFKVYAPQEYLSRAYNDAPKYCANIVNTQLKNNEVIIIGEIPARCIQDYRNDLTFFTNGLSVCLAELKGYQVTTGEPVCQTRRLNSRIDKVRYMFNKIT ->ARGMiner~~~tet(H)~~~WP_063856078.1~~~tetracycline unknown +>ARGMiner~~~tet(H)~~~WP_063856078.1~~~tetracycline~~~unknown MNKSIIIILLITVLDAIGIGLIMPVLPTLLNEFVSENSLATHYGVLLALYATMQVIFAPILGRLSDKYGRKPILLFSLLGAALDYLLMAFSTTLWMLYIGRIIAGITGATGAVCASAMSDVTPAKNRTRYFGFLGGAFGVGLIIGPMLGGLLGDISAHMPFIFAAISHSILLILSLLFFRETQKREALVANRTPENQTASNTVTVFFKKSLYFWLATYFIIQLIGQIPATIWVLFTQYRFDWNTTSIGMSLGVLGVLHIFFQAIVAGKLAQKWGEKTTIMISMSIDMMGCLLLAWIGHVWVILPALICLAAGGMGQPALQGYLSKSVDDNAQGKLQGTLVSLTNITGIIGPLLFAFIYSYSVAYWDGLLWLMGAILYAMLLITAYFHQRKTTPKAVISTP ->ARGMiner~~~tet(C)~~~WP_063856072.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_063856072.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVCLAFATRGWMAFPIMILLASGGIGMPALQAMLSRQVDDDHQGQLQGSLAALTSLTSIIGPLIFTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~tet(A)~~~WP_063856070.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tet(A)~~~WP_063856070.1~~~glycylcycline;tetracycline~~~unknown MNSSTKIALVITLLDAMGIGLIMPVLPTLLREFIASEDIANHFGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLEFIADSSAFAFLAFISEGWLDFPVLILLAGGGIALPALQGVMSIQTKSHEQGALQGLLVSLTNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~tet(C)~~~WP_063855888.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_063855888.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHIGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~tet(C)~~~WP_063855887.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_063855887.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHGPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAILSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~tet(C)~~~WP_063855886.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_063855886.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHGPFFAAAALNGLNFLTGCFLLPESHKGERRPLRGSSHPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAILSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWRNSSNSRCT ->ARGMiner~~~tet(40)~~~WP_063855880.1~~~tetracycline unknown +>ARGMiner~~~tet(40)~~~WP_063855880.1~~~tetracycline~~~unknown MFAKNSKAYSVYLLFRFVCSLAVSMSTVLSIVYHLEVVQLDAFQLVLVGTVQEASCFLFEMPTGVVADLYSRRRSVLIGMFLYGLGFLMEGALPWFAPVLLAQVVWGCGDTFITGALEAWIASEEEDKPIDKVFLRGSQMGQIGGVLGVVLGTLLGNINLQMPLILGGSLCLLLGLVMVRIMPETNFSPAIEERQGLLKDFVCLFKLNLGFVKGAPVLLALLAITLCGGLASEGFDRLSTAHFLDDTVIPVIGPLNSVTWFGVISLIGNGLGILASQLLIARMEKKGTVSRTSVVMSTSAGYILFLVLFAVGRSFWFMLLVFLLAGLMRTIKEPVLAAWMNDHVDEKMRATVFSTSGQLDSFGQIIGGPIVGLVAQQVSIPWGLVCTAFLLLPALFLVPVAGKKRD ->ARGMiner~~~sul2~~~WP_063855569.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_063855569.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSGGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIERIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFSLGAAPETSLSVLARFDELRLRLDLPVLLSVSRKSFLRAPTGRGPGDVGAATLAAGLAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~sul2~~~WP_063855557.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_063855557.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGGPYLNNIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~sul1~~~WP_063855106.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_063855106.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSTDSFQPETQRYALRRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~sul1~~~WP_063855091.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_063855091.1~~~sulfonamide;sulfone~~~unknown MLRSRVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATAGLPVKDLGPASLAAELHAIGNGADYVRTHAPGELRSAIAFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~sul1~~~WP_063855074.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_063855074.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPTASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~SAT-4~~~WP_063854935.1~~~nucleoside unknown +>ARGMiner~~~SAT-4~~~WP_063854935.1~~~nucleoside~~~unknown MITEMKAGHLKDIDKPSEPFEVIGKIIPRYENENWTFTELLYEAPYLKSYQDEEDKEDEEADCLEYVDNTDKIIYLYYQDDKCVGKVKLRKNWNRYAYIEDIAVCKDFRGQGIGSALINKSIEWAKHKNLHGLMLETQDNNLIACKFYHNCGFKIGAIDTMLYANFGNNFEKAVFWYLRF ->ARGMiner~~~mefC~~~WP_063853729.1~~~macrolide unknown +>ARGMiner~~~mefC~~~WP_063853729.1~~~macrolide~~~unknown MNHWKSTLAVIGIGQLISILTSTIVGFSIIFWISNEFKSPTALSLAILAGFLPQFVLGLFAGVYVDRWNRKKTMFYSDLFIAFCTLCLFIVITKGYKDLSFFYLLTACRSIGSTFHAPALQASIPLLVPKHHLVRVSGLYHSIQSFSEVIAPVVGASLVVWLPIQYILLIDVIGAVAACLTLLCVQIPSLQKTKVLPDFKKELTEWLHTLRRTMGILPLFVCFTLVTFVLMPVFTLFPFMTLLHFNGNILQMGVVEMGWGSGALLGGLVLACKALKSKQTLVMHTAYVILGLYLISASYLPSSAFIGFVCLTFTGGIAYSIYHALFIAIIQQNLASDMLGRTFSLIFSLSTFPSMLGIVASGYWVEAWGITSVFMISGWVIFLIGVGANFISSIKQLDNYA ->ARGMiner~~~mefA~~~WP_063853713.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853713.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGSVLTIVAFYMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGDRVQSLEPNFIREMQEGMAVLRQNKGLFALLLVGTLYMFVYMPINALFPLISMDYFNGTPVHISITEISFASGMLIGGLLLGLFGNFQKRILLITASIFMMGISLTISGLLPQSGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGLFADRIGVNHWFLLSGILIICIAIVCPMINEIRKLDLK ->ARGMiner~~~mefA~~~WP_063853689.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853689.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPVWMVMVVLFIRSVGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGDRVQSLEPNFIREMKEGIVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEYFNGTPVHISITEISFASGMLAGGLLLGRLGSFEKRVLLITGSFFIMGASLAVSGLLPTSGFVIFVACCAVMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIKSLAMPIGLILSGFFADRIGVNHWFLLSGILIIGIAIVCPMITEVRKLDLK ->ARGMiner~~~mefA~~~WP_063853648.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853648.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVAVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~mefA~~~WP_063853632.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853632.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLLGFLPYAVFGPSIGVLVDRHDRKKIMIGADLIIAAAGSVLTIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGDRVQSLDPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALFPLISMDYFNGTPVHISITEISFASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSALFADRIGVNHWFLLSGILIICIAIVCPMINEIRKLDLK ->ARGMiner~~~mefA~~~WP_063853625.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853625.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLLGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGSVLTIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIATITVAIVRIPKLGDRVQSLDPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALFPLISMDYFNGTPVHISITEISFASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSALFADRIGVNHWFLLSGILIICIAIVCPMINEIRKLDLK ->ARGMiner~~~mefA~~~WP_063853602.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853602.1~~~macrolide~~~unknown MEKYINWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYTELSVWMVMVVLFIRSIGTAFHSPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIISPAAAALLYSVWKLNAIIAIDVLGAVIASITVAIVSIPKLGDQVQSLKPNFLREMKEGIVALRQNKGIFALLLLGTLYTFVYMPINALFPLISMEYFNGKPVHISITEIAFASGMLVGGLILGRLGNFEKRVLLITGSFFIMGASLVVSGLLPPSGFVIFVACCAVMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSFAMPIGLILSGFFADRIGVNHWFLLSGILIIGIAIVCPMITEVRKLDFK ->ARGMiner~~~mefA~~~WP_063853591.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853591.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLLGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGSVLTIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGDRVQSLDPNFIREMQEGMAVLRQNKGLFALLLVGTLYMFVYMPINALFPLISMDYFNGTPVHISITEISFASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSALFADRIGVNHWFLLSGILIICIAIVFPMINEIRKLDLK ->ARGMiner~~~mefA~~~WP_063853554.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_063853554.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSVSFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~mecB~~~WP_063852710.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecB~~~WP_063852710.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKNKALAILIICICLLIAYNFVKKDEVDKIFDAIELRDSEYLNEHATFLSKSLYDKDQRYKRMDKIDASLGIKEVKVSNVRLVQKKKNKRQYSANLNFRTKYGNFSREGNYSFEKDEITKNWFLDWSPEVIIPGLTDRNQISIETLESFRGKILDRNGIDIAKDGIHYEVGIDIKNLNKKNKKNISKLLSISESTLNKKLKQTWVKEGVFVPLKSYIELDDELKLGIQKYHLTVNQTKGRVYPLREATVHLLGYVGEINAEELKNKKFKDYDEHSIVGKSGIELQYDKQLQNKDGYKVVMTSDDALNNDEDVLLEKKPKNGQDIILTIDSKVQRSIYSHLKEDNGSGVAMNPKTGELLALVSYPAYDPYEFMFGISDENYKKIVNDKKEPLLNKFQTTSSPGSTQKLITSIIGLKNGTIDASTNYNIVTKGWQRNASWGGYEVTRFEEVNGDIDLEKAIAHSDNIFFARATLDMGSEKFIKGMKALDIGRNIPSDYYFQKGQIANPESLKNNLKNEILLADSGYGQGEILISPVQILSIYSALINEGKMMKPKLFETTKEDIWKNHIISKDDVDILTRSMRKVVTGTHRLDAERNYAQFAGKTGTAELKTSREEGLGAQIGWFVGYDQNNPNMMLGISVKNVENRGMSSYNARKFAEIMDELYENGNKKYEIDR ->ARGMiner~~~mecA~~~WP_063852683.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_063852683.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRNKVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~mecA~~~WP_063852677.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_063852677.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRNEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~mecA~~~WP_063852670.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_063852670.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETKSRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRNKVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~mecA~~~WP_063852617.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_063852617.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGIKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~lnuA~~~WP_063851340.1~~~lincosamide unknown +>ARGMiner~~~lnuA~~~WP_063851340.1~~~lincosamide~~~unknown MKNNNVTEKELFYILDLFEHMKVTYWLDGGWGVDVLTGKQQREHRDIDIDFDAQHTQKVIQKLEDIGYKIEVHWMPSRMELKHEEYGYLDIHPINLNDDGSITQANPEGGNYVFQNDWFSETNYKDRKIPCISKEAQLLFHSGYDLTETDHFDIKNLKSIT ->ARGMiner~~~OXY-2-10~~~WP_063851311.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXY-2-10~~~WP_063851311.1~~~monobactam;cephalosporin;penam~~~unknown MFKKTFRQTAAIAVSLISLLASATLWANTNNTIEAQLSELEKYNQGRLGVALINTEDNSQITYRGEERFAMASTSKVMAVAAVLKESEKQAGLLDKNIAIKKSDLVAYSPITEKHLVTGMSLAQLSAATLQYSDNTAMNKILDYLGGPSSVTQFARSINDVTYRLDRKEPELNTAIHGDPRDTTSPIAMAKSLQALTLGDALGQSQRQQLVTWLKGNTTGDHSIKAGLPKHWIVGDKTGSGDYGTTNDIAVIWPENHAPLILVVYFTQQEKDAKYRKDIIAKATEIVTKEFANSPQTK ->ARGMiner~~~OXY-3-1~~~WP_063851301.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXY-3-1~~~WP_063851301.1~~~monobactam;cephalosporin;penam~~~unknown MTMFKTTFRQTAAIAVSLISLLASPMLWADNNNTIEEQLNTLEKYSQGRLGVALINTEDNSQITYRGEERFAMASTSKVMAVAAVLKASEKQAGLLDKNITIKKSDLVAYSPITEKHLTTGMTLAELSAATLQYSDNTAMNKILDYLGGPAKVTQFARSINDVTYRLDRKEPELNTAIHGDPRDTTSPIAMAKSLQALTLGDALGQSQRQQLVTWLKGNTTGDNSIKAGLPKHWVVGDKTGSGDYGTTNDIAVIWPENHAPLILVVYFTQQEQNAKYRKDIIAKAAEIVTKEISNSPQTK ->ARGMiner~~~sgm~~~WP_063851283.1~~~aminoglycoside unknown +>ARGMiner~~~sgm~~~WP_063851283.1~~~aminoglycoside~~~unknown MTTSTGDDRIDQLQQAITKSRRYQTVAPATVRRLARAALVASRGDVPDAVKRTKRGLHEIYGAFLPPSAPNYTALLRHLDSAVEAGDDEAVVRWDRRAMSVHMSTRERVPHLDEFYREIFRHVPRPNTLRDLACGLNPLAVPWMGLSDETVYVASDIDARLMDFVGAALTRLGVAHRTSVVDLLEARLDEPADVTLLLKTLPCLETQQRGSGWEVIDIVNSPIIVVTFPTKSLGQRSKGMFQNYSQSFESQASERSCRIQRLEIGNELIYVIHK ->ARGMiner~~~FosX~~~WP_063851233.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_063851233.1~~~fosfomycin~~~unknown MGILGISHLTFVVRDVERTARLVCEGLGAEEVYDSKAKNFSLSREKFFLLGGVWLAFMEGVPSERSYRHVAFEVTEEEIARYEASLRNLGVEVREPRPRVAGEGLSLYFYDYDNNLFELHAGTLAQRLERYTQ ->ARGMiner~~~FosC2~~~WP_063851232.1~~~fosfomycin unknown +>ARGMiner~~~FosC2~~~WP_063851232.1~~~fosfomycin~~~unknown MLRGLNHITIAVSDLGRSLAFYTDIVGMLAHVRWDNGAYLSLGGVWFCLSCDKVMPSKDYSHIALDISEDDFASFLEKLRRADVTEWKQNSSEGYSVYFLDPDGNKLEAHSGSLQSRLSSLKDKPYPGLVWL ->ARGMiner~~~floR~~~WP_063845119.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_063845119.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTHRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~floR~~~WP_063845073.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_063845073.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYVVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSILAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIAGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~floR~~~WP_063845065.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_063845065.1~~~phenicol~~~unknown MTTTRPAWVYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSILAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWIVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~ErmX~~~WP_063844879.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmX~~~WP_063844879.1~~~macrolide;lincosamide;streptogramin~~~unknown MSAYGQGRHEHGQNFLTNHKIINSIIDLVKQTSGPIIEIGPGSGALTHPMAHLGRAITAVEVDAKLAAKITQETSSAAVEVVHDDFLNFRLPATPCVIVGNIPFHLTTAILRKLLHAPAWTDAVLLMQWEVARRRAGVGATTMMTAQWSPWFTFHLGSRVPRSAFRPQPNVDGGILVIRRVGDPKIPIEQRKAFQAMVHTVFTARGRGIGEILRRQGCFHHVQKHNHGCAREESTPRPYLPDCYTNDWIDLFQVTGSSLPHHRPISPSGSSQRPPQRKNRSRRR ->ARGMiner~~~ErmU~~~WP_063844861.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmU~~~WP_063844861.1~~~macrolide;lincosamide;streptogramin~~~unknown MPSRYGSRQELGQNFLVDPDIIKLIRRAAERTEGPIVDLGAGDGALTLPLSRLGRPVTAVELDPRRVKRLSARAPENVKVVGEDILRFRLPTVPHTVVGNIPFHVTTATMRRILVAPAWVSAVLVVQWEVARRRAGIGGCSLVTAESWPWFDFSVLKRVPRFAFRPAPSVDGGILVIERRPEPLVRERREYQDFVRQVFTGRGHGLREILQRIGRVQDSDLSAWFRAHGVSPQALPKDLTAEQWASLWGMARGGRSVPRTRRPRGLPPRTSRGPRRNSG ->ARGMiner~~~ErmG~~~WP_063844791.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmG~~~WP_063844791.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKVNIKDSQNFITSKYHIEKIMNCISLDEKDNIFEIGAGKGHFTAELVKRCNFVTAIEIDSKLCEVTRNKLLNYPNYQIVNDDILKFTFPSHNPYKIFGSIPYNISTNIIRKIVFESSAPISYLIVEYGFAKMLLDTNRSLALLLMAEVDISILAKIPRYYFHPKPKVDSALIVLKRKPAKMAFKERKKYETFVMKWVNKEYEKLFTKNQFNKALKYARIYDINNISFEQFVSLFNSYKIFNG ->ARGMiner~~~ErmF~~~WP_063844773.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmF~~~WP_063844773.1~~~macrolide;lincosamide;streptogramin~~~unknown MTKKKLPVRFTGQHFTIDKVLIKDAIRQANISNQDTVLDIGAGKGFLTVHLLKIANNVVAIENDTALVEHLRKLFSDARNVQVVGCDFRNFAVPKFPFKVVSNIPYGITSDIFKILMFENLENFLGGSIVLQFEPTQKLFSRKLYNPYTVFCHTFFDLKLVYEVGPESFLPPPTVKSALLNIKRKHLFFDFKIKAKYLAFISCLLEKPDLSVKTALKSIFRKSQVRTISEKFGLNLNAQIVCLSPSQWLNCFLEMLEVVPEKFHPS ->ARGMiner~~~ErmC~~~WP_063844768.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_063844768.1~~~macrolide;lincosamide;streptogramin~~~unknown MNEKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKIVFDSIADEIYLIVEYGFAKRLLNTKRSLALFLMAEVDISILSMVPREYFHPKPRVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVNKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~ErmB~~~WP_063844619.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_063844619.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKSNTRVTLIHQDILQFQFPNKQRYKIVGNIPYHLSTQIIKKVVFESHASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPRVNSVLIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTVTYEQVLSIFNSYLLFNGRK ->ARGMiner~~~ErmB~~~WP_063844614.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_063844614.1~~~macrolide;lincosamide;streptogramin~~~unknown MKKNIKYSQNFLTNEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNIRVTLIHQDILQFQFPNKQRYKIVGSIPYHLSTQIIKKVVFESHASDIYLIVEEGFYKRTLDIHRSLGLLLHTQVSIQQLLKLPAECFHPKPKVNSVLIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTVTYEQVLSIFNSYLLFNGRK ->ARGMiner~~~EreA2~~~WP_063844484.1~~~macrolide unknown +>ARGMiner~~~EreA2~~~WP_063844484.1~~~macrolide~~~unknown MTWRTTRTLLQPQKLEFNEFEILNPVVEGARIVGIGEGAHFVAEFSLARASLIRYFVERHDFNAIGLECGAIQASRLSEWLNSTAGAHELERFSDTLTFSLYGSVLIWVKSYLRESGRKLQLVGIDLPNTLNPRDDLAQLAEIIQVIDHLMKPHVDALTQLLTSIDGQSAVISSAKWGELETAQQEKAISGVTRLKLRLASLAPVLKNHVNSDFFRKASDRIESIEYTLETLRVMKAFFDGTSLEGDTSVRDSYMAGVVDGMVRANPDVRIILLAHNNHLQKTPVSFSGELTAVPMGQHLAEREEGDYRAIAFTHLGLTVPKMHFPSPDSPLGFSVVTTPADAIREDSVEQYVIDACGKEDSCLTLTDDPMEAKRMRSQSASVETNLSEAFDAIVCVPSAGKDSLVAL ->ARGMiner~~~dfrB2~~~WP_063844477.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrB2~~~WP_063844477.1~~~diaminopyrimidine~~~unknown MGQSSHEANAPVAGQFALPLSATFGFGDRVRKKSGAAWQGQVVGWYCTKLTPEGYAVESESHPGSVQIYPVAALERVA ->ARGMiner~~~dfrA7~~~WP_063844472.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA7~~~WP_063844472.1~~~diaminopyrimidine~~~unknown MKISLISATSENGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFDSMGVLPNRKYAVVSRKGISSSNENVLVFPSIEIALQELSKITDHLYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDINFPKIPENFNLVFEQFFLSNINYTYQIWKKG ->ARGMiner~~~dfrA17~~~WP_063844458.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~WP_063844458.1~~~diaminopyrimidine~~~unknown MKISLMAAVSENGVIGSGLDIPWHVQGEQLLFKAMTYNQWLLVGRKTFDSMGKLPNRKYAVVTRSKIISNDPDVVYFASVESALAYLNNATAHIFVSGGGEIYKALIDQADVIHLSVIHKHISGDVFFPPVPQGFKQTFEQSFSSNIDYTYQIWAKG ->ARGMiner~~~dfrA5~~~WP_063844445.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA5~~~WP_063844445.1~~~diaminopyrimidine~~~unknown MKVSLTAAKAKNGVIGCGPHIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGALPNRKYAVVTRSAWTADNDNVIVFPSIEEAMYGLAELTDHVIVSGGGEIYRETLPMASTLHISTIDIEPEGDVFFPNIPNTFEVVFEQHFSSNINYCYQIWQKG ->ARGMiner~~~dfrA5~~~WP_063844408.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA5~~~WP_063844408.1~~~diaminopyrimidine~~~unknown MKVSLMAARARNGVIGCGPHIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGALPNRKYAVVTRSAWTANNDNVVVFPSIEEAMGGLAKLNGHVIVSGGGEIYRETLPMASTLHVSTIDIEPEGDVFFPNIPNFFEVVFEQHFSSNINYCYQIWKKG ->ARGMiner~~~dfrA5~~~WP_063844392.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA5~~~WP_063844392.1~~~diaminopyrimidine~~~unknown MKISLMAAKARNGVIGCGSDIPWNAKGEQLLFKAITYNQWLLVGRKTFEAMGALPNRKYAVVSRSGSVATNDDMVVFPSIEAAMGKLKTLTNHVVVSGGGEIYKSLIAHADTLHISTIDSEPEGNVFFPEIPKDFNVVFEQEFHSNINYRYQIWQRG ->ARGMiner~~~dfrA22~~~WP_063844370.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA22~~~WP_063844370.1~~~diaminopyrimidine~~~unknown MNPELVRIYLVAAMGANRVIGNGPDIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRRTVVLSRQASYSAAGCAVVSTLSQAIAIAAEHGKELYVAGGAEVYALALPRADGVFLYEVHQTFEGDAFFPVLDEAEFEVVSAETVQATITYTHSVYARRNG ->ARGMiner~~~dfrA17~~~WP_063844367.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~WP_063844367.1~~~diaminopyrimidine~~~unknown MKISLISAVSESGVIGSGPDIPWSVKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISSSNENVLVFPSIENALKELSKVTDHVYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDIKFPIMPENFNLVFEQFFMSNINYTYQIWKKG ->ARGMiner~~~dfrA16~~~WP_063844365.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA16~~~WP_063844365.1~~~diaminopyrimidine~~~unknown MKLSLMAAKSKNGIIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSNFSTNDEGVMVFSSIQDALINLEEITGHVIVSGGGEIYKSLISKVDTLHISTVDIERDGDIVFPEIPDTFKLVFEQDFESNINYCYQIWQKS ->ARGMiner~~~dfrA14~~~WP_063844340.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA14~~~WP_063844340.1~~~diaminopyrimidine~~~unknown MKVSLIAAKAKNGVIGCGPDIPWSAKGEQLLFKALTYNQCLLVGRKTFESMGALPNRKYAVVTRSGWTSNDDNVVVFQSIEEAMDRLAEFTGHVIVSGGGEIYRETLPMASTLHLSTIDIEPEGDVFFPSIPNTFEVVFEQHFTSNINYCYQIWKKG ->ARGMiner~~~dfrA12~~~WP_063844321.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA12~~~WP_063844321.1~~~diaminopyrimidine~~~unknown MNSESVRIYLVAAMGANRVIGNGPNIPWKIPGEQKILRRLTEGKVVVMGRKTFESIGKPLPNRHTLVISRQANYRATGCVVVSTLSHAIALASELGNELYVAGGAEIYTLALPHAHGVFLSEVHQTFEGDAFFPMLNETEFELVSTETIQAVIPYTHSVYARRNG ->ARGMiner~~~dfrA12~~~WP_063844298.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA12~~~WP_063844298.1~~~diaminopyrimidine~~~unknown MNSESVRIYLVAAMGANRVIGNGPNIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRHTLVISRQANYRATGCVVVSTLSHAIALASELGNKLYVAGGAEIYTLALPHAHGMFLSEVHQTFEGDAFFPMLNETEFELVSTETIQAVIPYTHSVYARRNG ->ARGMiner~~~dfrA12~~~WP_063844297.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA12~~~WP_063844297.1~~~diaminopyrimidine~~~unknown MNSESVRIYLVAAMGANRVIGNGPNIPWKIPGEQKTFRRLTEGKVVVMGRKTFESIGKPLPNRHTLVISRQANYRATGCVVVSTLSHAIALASELGNELYVAGGAEIYTLALPHAHGVFLSEVHQTFEGDAFFPMLNETEFELVSTETIQAVIPYTHSVYARRNG ->ARGMiner~~~dfrA15~~~WP_063844294.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_063844294.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVGTRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~dfrA15~~~WP_063844293.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_063844293.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEVYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~dfrA15~~~WP_063844292.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_063844292.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDDENVLIFPSIKDALTHLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~dfrA15~~~WP_063844291.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_063844291.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVLIFPSIKDALTNLKKITVHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~dfrA15~~~WP_063844290.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_063844290.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVVIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFSEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~CfxA2~~~WP_063843238.1~~~cephamycin unknown +>ARGMiner~~~CfxA2~~~WP_063843238.1~~~cephamycin~~~unknown MEKNRKKQIVVLSIALVCIFILVFSLFHKSATKDSANPPLTNVLTDSISQIVSACPGEIGVAVIVNNRDTVKVNNKSVYPMMSVFKVHQALALCNDFDNKGISLDTLVNINRDKLDPKTWSPMLKDYSGPVISLTVRDLLRYTLTQSDNNASNLMFKDMVNVAQTDSFIATLIPRSSFQIAYTKEEMSADHNKAYSNYTSPLGAAMLMNRWFTEGLIDDEKQSFIKNTLKECKTGVDRIAAPLLDKEGVVIAHKTGSGYVNENGVLAAHNDVAYICLPNNISYTLAVFVKDFKGNESQASQYVAHISAVVYSLLMQTSVKS ->ARGMiner~~~CcrA beta-lactamase~~~WP_063843236.1~~~carbapenem unknown +>ARGMiner~~~CcrA beta-lactamase~~~WP_063843236.1~~~carbapenem~~~unknown MKTVFILISMLFPVAVMAQKSVKISDDISITQLSDKVYTYVSLAEIEGWGMVPSNGMIVINNHQAALLDTPINDAQTETLVNWVTDSLHAKVTTFIPNHWHGDCIGGLGYLQKKGVRSYANQMTIDLAKEKGLPVPEHGFTDSLTVSLDGMPLQCYYLGGGHATDNIVVWLPTENILFGGCMLKDNQTTSIGNISDADVTAWPKTLDKVKAKFPSARYVVPGHGNYGGTELIEHTKQIVNQYIESTSKP ->ARGMiner~~~CepS beta-lactamase~~~WP_063843234.1~~~cephalosporin unknown +>ARGMiner~~~CepS beta-lactamase~~~WP_063843234.1~~~cephalosporin~~~unknown MKQTRSLPLLALGTLLLAPLSLAAPVDPLNAVVDDAIRPMLKQHRIPGMAVAVLKGGQAHYFNYGLADMAAGKKVSEQTLFEIGSVSKTYTATLGAYAVVKGGIGLDDKVSRHAPWLKGSAFDGVTMAELATYSAGGLPLQFPDEVESVEQMQSYYRQWTPAYQPGSHRQYSNPSIGLFGHLAASSLQQPFAQLMEQTLLPGLGLHHTYLDVPEAAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANISGVDDKALQQAISLTHQGRYSVGEMTQGLGWESYTYPVSEQTLLAGNSSAVIYNANPVKPVAASQETGGARLYNKTGSTNGFGAYVAFVPAKGIGIVMLANRNYPNEARISAAHAILSQLAP ->ARGMiner~~~catB8~~~WP_063843230.1~~~phenicol unknown +>ARGMiner~~~catB8~~~WP_063843230.1~~~phenicol~~~unknown MKNYFNSPFKGELLSEQVKNPNIRVGRYSYYSGYYHGHSFDECARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRHDWASSFPFFYMQEEPAFSSALDAFQRAGDTVIGNDVWIGSEAMIMPGIKIGDGAVIGSRSLVTKDVEPYALIGGNPAKQIKKRFSDEEISLLMEMEWWNWPLDKIKTTMPLLCSSNIFGLHKYWREFAV ->ARGMiner~~~catB3~~~WP_063843227.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_063843227.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSGAMVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAVPMLCSSNIVGLHKYWLEFAV ->ARGMiner~~~catB3~~~WP_063843226.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_063843226.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSEAMVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAATPMLCSSNIVGLHKYWLEFAV ->ARGMiner~~~catQ~~~WP_063843221.1~~~phenicol unknown +>ARGMiner~~~catQ~~~WP_063843221.1~~~phenicol~~~unknown MKFNLIDIEDWNRKPYFEHYLNAVRCTYSMTANLEITSLLREIKLKGLKLYPTLIYIITTVVNRHKEFRTSFDQNGKLGYWDSMNPSYTIFHKDNETFSSIWTEYDENFPRFYYNYLEDIRNYSDVMNFMPKAGQPANTINVSSIPWVNFTGFNLNIYNDATYLIPIFTLGKYFQQDNKILLPMSVQVHHAVCDGYHTSRFFNEAQELASNFETWLGEK ->ARGMiner~~~plasmid-encoded cat (pp-cat)~~~WP_063843208.1~~~phenicol unknown +>ARGMiner~~~plasmid-encoded cat (pp-cat)~~~WP_063843208.1~~~phenicol~~~unknown MDTKRVGILVVDLSQWGRKEHFEAFQSFAQCTFSQTVQLDITSLLKTVKQNGYKFYPTFIYIISLLVNKHAEFRMAMKDGELVIWDSVNPGYNIFHEQTETFSSLWSYYHKDINRFLKTYSEDIAQYGDDLAYFPKEFIENMFFVSANPWVSFTSFNLNMANINNFFAPVFTIGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRLLNEIQQYCDEGCK ->ARGMiner~~~OXY-2-7~~~WP_063842752.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXY-2-7~~~WP_063842752.1~~~monobactam;cephalosporin;penam~~~unknown MIKSSWRKIAMLAAAVPLLLASGALWASTDAIHQKLTDLEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGDALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGSGDYGTTNDIAVIWPEDHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~OKP-B-4~~~WP_063842745.1~~~cephalosporin;penam unknown +>ARGMiner~~~OKP-B-4~~~WP_063842745.1~~~cephalosporin;penam~~~unknown MRYVRLCLISLIAALPLAVFASPQPLEQIKISESQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNTAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDRVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTPATMVERNQQIAGIGAALIEHWQR ->ARGMiner~~~OCH-6~~~WP_063842730.1~~~monobactam;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~OCH-6~~~WP_063842730.1~~~monobactam;cephalosporin;cephamycin;penam;penem~~~unknown MRKSTTLLIGFLTTAAIIPNNGALAASKANDGDLRRIVDETVRPLMAEQKIPGMAVAITIDGNSHFFGYGVASKESGQKVTEHTIFEIGSVSKTFTAMLGGYGLATGAFSLSDPATKWAPELAGSSFDKITMLDLGTYTPGGLPLQFPDAVTDDSSMLAYFKNWKPDYPAGTQRRYSNPSIGLFGYLAARSMDKPFDVLMEQKLLPAFGLKNTFINVPESQMKNYAYGYSKANKPIRVSGGALDAQAYGIKTTALDLARFVELNIDSSSLEPDFQKAVAATHTGYYHVGANNQGLGWEFYNYPTALKTLLAGNSSDMALKSHKIEKFDTPRQPSADVWLNKTGSTNGFGAYAAFIPAKKTGIVLLANRNYPIDERVKAAYRILQALDNKQ ->ARGMiner~~~NmcA beta-lactamase~~~WP_063842724.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~NmcA beta-lactamase~~~WP_063842724.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MWREVKQHMSNKFIKRVVKMKYWKILSLVFCTFAFAVNCKAHAGVKQIETKYNVKIGVYAIDTNNGNSFSYRQDERFPFQSTVKMVVAAAALKNIEADERIKISSDDIVFWSPIVRLNLDRGYMTIKELAEAAMSYSDNAATNILITRLGGTKSINEFAKSIGNASFYLENLEPNLNSDPNNIHDSSTPKDMAQSVQKLLIENNVLSQENQHILKTWMMNNTTGYKKIRYGLPLGWSAAEKTGGGSGTSHDIGIVWSPACKPIVLAIYTFSNKKDNAQQADKAIAETTKFILDEFSKKNICFSATDFK ->ARGMiner~~~y56 beta-lactamase~~~WP_063842700.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_063842700.1~~~cephalosporin;penam~~~unknown MLARRRFLQFSGAAVASSLLAPALARAVSPSSGISAANAAIAGAADFAALEKASGGRLGVTVLNTGNGRRIGGHRQDERFPMCSTFKSMLVAHVLSLADAGRVSLDTRVPIAEKDLLSYAPVARRHVGKDLTVRDLCRGTLTTSDNTAANLLLEVVGGPSALTAFLRGQGDSVTRNDRNEPDVNLFAKGDPRDTTSPAAMATSLARFAVGNGLQPASRQQFTDWLIDNQTGDACLRAGLAKRWRVGDKTGSNGDDTRNDIAVLWPHAGGAAWVVTAYLQGASVDDDQRAAVLARVGALADAMIG ->ARGMiner~~~L1 beta-lactamase~~~WP_063842699.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842699.1~~~cephalosporin~~~unknown MRFILLAFALAVALPAVHASAAEAPLPQLRAYTVDASWLQPIAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNVKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASTEALTCNAYADAAEKKFDAQLARETAGTR ->ARGMiner~~~L1 beta-lactamase~~~WP_063842698.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842698.1~~~cephalosporin~~~unknown MRLCLTPLALAATLVFDVAAADAPLPQLRAYTVNASWLQPMAPLQIADHTWQIGTEDLTALLVQTTEGAVLLDGGMPQMAGHLLDNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRTGATVVANAETAVLLARGGSNDLHFGDDITYPPASADRTIMDGERVTVGGMAFTAHFMPGHTPGSTAWTWTDSRDGKPVRIAYADSLSAPGYQLQHNARYPRLVEDYWRSFTTVRGLPCDLLLTPHPGASRWNYAAGAEAGANVLTCKAYADAAEKAFDAQLAKEAAGAR ->ARGMiner~~~L1 beta-lactamase~~~WP_063842697.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842697.1~~~cephalosporin~~~unknown MRLCLTPLALAATLVFDVAAADAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVRTTEGAVLLDGGMPQMAGHLLDNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRTGAQVVANAETAVLLPRGGSNDLHFGDDITYPPASADRIIMDGERVTVGGMAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLQYNARYPRLVEDYRRSFTTVRGLPCDLLLTPHPGASRWNYAAGAEAGANVLTCKAYADAAEKAFDAQLAKETTGAR ->ARGMiner~~~L1 beta-lactamase~~~WP_063842696.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842696.1~~~cephalosporin~~~unknown MRSTLLAFALAVALPAAHASAAEAPLPQLRAYTVDASWLQPIAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASTEALTCNAYADAAEKKFDAQLAKETAGAR ->ARGMiner~~~L1 beta-lactamase~~~WP_063842695.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842695.1~~~cephalosporin~~~unknown MRLCLTTLALTATLAFDVTAADAPLPQLQAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMAGHLLDNMKARGVAAQDLRLILLSHAHADHAGPVAELKRRTGASVAANAESAVLLARGGSNDLHFGDSITFPPASADRILMDGEGVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGQPVRIAYADSLSAPGYQLQGNARYPRLVEDYRRSFATVRALPCDLLLTPHPGASNWNYAAGAEAGANALTCKAYADAAEKKFDAQLAREAATAR ->ARGMiner~~~L1 beta-lactamase~~~WP_063842694.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842694.1~~~cephalosporin~~~unknown MRLCLTPLALAATLVFDVAAADAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTTEGAVLLDGGMPQMAGHLLDNMKAREVAPQDLRLILLSHAHADHAGPVAELKRRTGAQVVANAETAVLLPRGGSNDLHFGDDITYPPASADRIIMDGERVTVGGMAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLQYNARYPRLVEDYRRSFTTVRGLPCDLLPTPHPGASRWNYAAGAEAGANVLTCKAYADAAEKAFDAQLAKETTGAR ->ARGMiner~~~L1 beta-lactamase~~~WP_063842693.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842693.1~~~cephalosporin~~~unknown MRLCLTPLALAATLVFDVAAADAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVRTTGGAVLLDGGMPQMAGHLLDNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRTGAQVVANAETAVLLPRGSSNDLHFGDDITYPPASADRIIMDGERVTVGGMAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLQYNARYPRLVEDYRRSFTTVRGLPCDLLLTPHPGASRWNYAAGAEAGANVLTCKAYADAAEKAFDAQLAKGTTGAR ->ARGMiner~~~L1 beta-lactamase~~~WP_063842692.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842692.1~~~cephalosporin~~~unknown MRFTLLAFALAVALPAAHASAAEAPLPQLRAYTVDASWLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGIAYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASAEALTCNAYADAAEKKFDAQLARETAGTR ->ARGMiner~~~L1 beta-lactamase~~~WP_063842691.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842691.1~~~cephalosporin~~~unknown MRFTLLAFALAVALPAVHASAAEAPLPQLRAYTVDASRLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGTVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASAEALTCNAYADAAEKKFDAQLARETAGTR ->ARGMiner~~~L1 beta-lactamase~~~WP_063842690.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842690.1~~~cephalosporin~~~unknown MRLCLTTFALTATLAFDVTAADAPLPQLQAYTVDASWLQPMAPLQIADRTWQIGTEDLTALLVQTADGAVLLDGGMPQMAGHLLDNMKARGVAAQDLRLILLSHAHADHAGPVAELKRRTGASVAANAESAVLLARGGSNDLHFGDSITFPPASADRIIMDGEEVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGQPVRIAYADSLSAPGYQLQGNARYPRLVEDYRRSFATVRGLPCDLLLTPHPGASNWNYAAGAEAGANALTCKAYADAAEKKFDAQLAKETATAR ->ARGMiner~~~L1 beta-lactamase~~~WP_063842688.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842688.1~~~cephalosporin~~~unknown MRFTLLAFALAVALPAAHASAAEAPLPQLRAYTVDASWLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASAEALTCNAYADAAEKKFDAQLARETAGAR ->ARGMiner~~~L1 beta-lactamase~~~WP_063842676.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842676.1~~~cephalosporin~~~unknown MRSTLLAFALAVALPIAHASGAETPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTQDLTALLVQTADGAVLLDGGMPQMAGHLISNMKVRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAKVAANAESAVLLARGGSDDLHFGDGITYPPASADRIVMDGEMITVGGIAFTAHFMPGHTPGSTAWTWTDTREGKPVRIAYADSLSAPGYQLQGNPRYPHLIEDYRHSLATVRALPCDVLLTPHPGASNWDYAAGSKASAKALTCKAYADAAEQKFDAQLAKETAGAR ->ARGMiner~~~L1 beta-lactamase~~~WP_063842669.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_063842669.1~~~cephalosporin~~~unknown MRFTLLAFALAVALPAVHASAAEAPLPQLRAYTVDASWLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAVGSKASAEALTCNAYADAAEKKFDAQLAKETAGAR ->ARGMiner~~~VEB-3~~~WP_063842277.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~VEB-3~~~WP_063842277.1~~~monobactam;cephalosporin~~~unknown MVSLVVRSCSVAAVAVGLLFPACAAPVGATATTAPVAELDSVPQVSSGRLGVAILDFQTSKTYHINGKDRFPMQSVFKAMSAVVVMREVDEGKLTLDQEIPVGPDDISVYWSPIAEEFKGTTQTYTVRELLEKSVGMSDNTAADVLMELTGGPQAVTQLLKDAGIEGVRVDRYERQFQAELEGLPPFELGEVINRKAFVEAAKAVPAEVKRPILERYVAGKDERDTATPLGAVDFLVKLQEGKLLSAESTRVLLQIMTDVKTGAGRLKAGLPEGSKLAHKTGTGGDILGVNTATNDIGIATLPDGRKFAVAVFLTGSKESEEKRDAIHADVVRQFVDQLLAEAKE ->ARGMiner~~~TLA-1~~~WP_063842272.1~~~fluoroquinolone;monobactam;cephalosporin unknown +>ARGMiner~~~TLA-1~~~WP_063842272.1~~~fluoroquinolone;monobactam;cephalosporin~~~unknown MKRIFPAYILLTAFLLACSSNATTQNANQSNKPAAAQEAKADDKQNRELQKQIEQIASAARGRVGVHAVVLETGESVSLDEQGRFPMQSVYKFPIGMAVLAQVDAGKLKLDERVRVEKSEYVREGMHSPLRDKNPNEAEVSVRELLRLAVSESDGTASDVLFRLAGGSEAITRYLSDLKVTEIIVADTEKEIGQDWDTQYRNWASPKGAVMLLRAFHEGRGLSAESRALLLKLMTDTPTGPKRLKGLLPKGTVVAHKTGTSGANASGISAATNDIGIVTLPNGRHLAIAVFVSDSPADLTTREGVIAKVAKAAWDQWGK ->ARGMiner~~~arr-3~~~WP_063842214.1~~~rifamycin unknown +>ARGMiner~~~arr-3~~~WP_063842214.1~~~rifamycin~~~unknown MSSDWTPISHENCQQVRGPFYHGTKAHLSIGDLITTGHLSHFEDGRALKHVYFSALMEPAIWGAELAMSLSRLDGRGYIYIVEPTGPFEDDPNLTNKRFPGNPTKSYRTCDPLRIVGSVEDWQGHPADVLQQMLESLEDLKRRGLAIIED ->ARGMiner~~~arr-2~~~WP_063842207.1~~~rifamycin unknown +>ARGMiner~~~arr-2~~~WP_063842207.1~~~rifamycin~~~unknown MVKDWIPISHDNYKQVQGPFYHGTKANLAIGDLLTTGFIFHFEDGRILKHIYFSALMEPAVWGAELAMSLSGLEGRGYIYIVEPTGPFEDDPNLTNKKFPGNPTQSYRTCEPLRIVGVVEDWEGHPVELIRGMLDSLEDLKRRGLHVIED ->ARGMiner~~~APH(3')-Ia~~~WP_063842148.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~WP_063842148.1~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNMDADLYGYKWARDNVGQSGATIYRLYGKPDAPELFLKHGKGSVANDVTDEMVRLNWLTEFMPLPTIKHFIRTPDDAWLLTTAIPGKTAFXVLEEYPDSGENIVDALAVFLRRLHSIPVCNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRLFQKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~APH(3')-Ia~~~WP_063842098.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~WP_063842098.1~~~aminoglycoside~~~unknown MGKEKTHVSRPRLNSNMDADLYGYKWARDNVGQSGATIYRLYGKPDAPELFLKHGKGSVANDVTDEMVRLNWLTEFMPLPTIKHFIRTPDDAWLLTTAIPGKTAFQVLEEYPDSGENIVDALAVFLRRLHSIPVCNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRLFQKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~APH(3')-Ia~~~WP_063841683.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~WP_063841683.1~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNLDADLYGYRWARDNVGQSGATIYRLYGKPNAPELFLKHGKGSVANDVTDEMVRLNWLTAFMPLPTIKHFIRTPDDAWLLTTAIPGKTAFQVLEEYPDSGENNVDALAVFLRRLHSIPVCNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRLFQKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~APH(3')-IIIa~~~WP_063841507.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIIa~~~WP_063841507.1~~~aminoglycoside~~~unknown MAKMRISPELKKLIEKYRCVKDTEGMSPAKVYKLVGENENLYLKMTDSRYKGTTYDVEREKDMMLWLEGKLPVPKVLHFERHDGWSNLLMSEADGVLCSEEYEDEQSPEKIIELYAECIRLFHSIDISDCPYTNSLNSRLAELDYLLNNDLADVDCENWEEDTPFKDPRELYDFLKTEKPEEELVFSHGDLGDSNIFVKDGKVSGFIDLGRSGRADKWYDIAFCVRSIREDIGEEQYVELFFDLLGIKPDWEKIKYYILLDELF ->ARGMiner~~~APH(3')-IIIa~~~WP_063841495.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIIa~~~WP_063841495.1~~~aminoglycoside~~~unknown MAKMRISPELKKLIEKYRCVKDTEGMSPAKVYKLVGENENLYLKMTDSRYKGTTYDVEREKDMMLWLEGKLPVPKVLHFERHDGWSNLLMSEADGVLCSEEYEDEQSPEKIIELYAECIRLFHSIDISDCPYTNSLDSRLAELDYLLNNDLADVDCENWEEDTPFKDPRELYDFLKTEKPEEELVFSHGDLGDSNIFVKDGKVSGFIDLGRSGRADKWYDIAFCIRSIREDIGEEQYVELFFDLLGIKPDWEKIKYYILLDELF ->ARGMiner~~~APH(3'')-Ia~~~WP_063840677.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3'')-Ia~~~WP_063840677.1~~~aminoglycoside~~~unknown MSDHPGPGAVTPELFGVGGDWLAVTAGESGASVFRAADATRYAKCVPAADPAGLEAERDRIAWLSGQGVPGPRVLDWYAGDAGACLVTRAVPGVPADRVGADDLRTAWGAVADAVRRLHEVPVASCPFRRGLDSVVDAARDVVARGAVHPEFLPVEQRLAPPAELLARLTGELARRRDQEAADTVVCHGDLCLPNIVLHPETLEVSGFIDLGRLGAADRHADLALLLANARETWVDEERARFADAAFAERYGIAPDPERLRFYLHLDPLTWG ->ARGMiner~~~SRT-2~~~WP_063840466.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_063840466.1~~~cephalosporin~~~unknown MTKINRLATALFAALILPAGHAADKADIDAIIQPLMQKYAVPGMAIAVSVEGKQQFYHYGVASRQTGKPITNQTLFEIGSLSKTFTATLATYAVSEGKMSFADPASRYLPALRGSAFDHVTLLNLATHTSGLPLFVPDDVTNDAQLMAYYRQWQPPHAVGSYRVYSNLGIGMLGMITAKSLNQPFTQAMEKQLLPALGMNHTYIKVPAEEMANYAQGYNKKDQPVRVTPGPLDAEAYGIKSNAQDLIRYLDANMQVVKVGDPWRKALAATHTGYYRTGVFTQDLMWESYAYPEKLATLTEGNNAGMIMNGAPATAITPPKQDQGAAWYNKTGSTGGFSTYAVFIPSQKIAVVMLANKWFPNDDRVAATYRIVQALDKR ->ARGMiner~~~ANT(4')-Ib~~~WP_063840461.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(4')-Ib~~~WP_063840461.1~~~aminoglycoside~~~unknown MKGPIIMTREERMKIVHEIKERILDKYGDDVKAIGVYGSLGRQTDGPYSDIEMMCVLSTEGVEFSYEWTTGEWKAEVNFYSEEILLDYASRVEPDWPLTHGRFFSILPIYDPGGYFEKVYQTAKSVEAQKFHDAICALIVEELFEYAGKWRNIRVQGPTTFLPSLTVQVAMAGAMLIGLHHRICYTTSASVLTEAVKQPDLPPGYVQLCQLVMSGQLSDPEKLLESLENFWNGVQEWAERHGYIVDVSKRIPF ->ARGMiner~~~ANT(4')-Ib~~~WP_063840460.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(4')-Ib~~~WP_063840460.1~~~aminoglycoside~~~unknown MNGPIIMTREERMKIVHEIKERILDKYGDDVKAIGVYGSLGRQTDGPYSDIEMMCVMSTEEAEFSHEWTTGEWKVEVNFYSEEILLDYASQVESDWPLTHGQFFSILPIYDSGGYLEKVYQTAKSVEAQKFHDAICALIVEELFEYAGKWRNIRVQGPTTFLPSLTVQVAMAGAMLIGLHHRICYTTSASVLTEAVKQSDLPSGYDHLCQFVMSGQLSDSEKLLESLENFWNGIQEWTERHGYIVDVSKRIPF ->ARGMiner~~~aadA9~~~WP_063840459.1~~~aminoglycoside unknown +>ARGMiner~~~aadA9~~~WP_063840459.1~~~aminoglycoside~~~unknown MSNSIHTGISRQLQARDVIKRHLASTLKAIHLYGSAIDGGLKPYSDIDLLVTVDARLDEATRRSLMLDFLNISAPPCESSILRPLEVTVVACNEVVPWRYPARRELQFGEWLREDILEGVFEPAALDADLAILITKARQHSIALVGPVAQKVFMPVPEHDFLQVLSDTLKLWNTHEDWENEERNIVLTLARIWYSTETGGIVPKDVAAEWVLERLPAEHKPILVEARQAYLGLCKDSLALRADETSAFIGYAKSAVADLLEKRKSQTSHICDGAKNV ->ARGMiner~~~aadA9~~~WP_063840458.1~~~aminoglycoside unknown +>ARGMiner~~~aadA9~~~WP_063840458.1~~~aminoglycoside~~~unknown MSNSIHTGISRQLSQARDVIKRHLASTLKAIHLYGSAIDGGLKPYSDIDLLVTVDARLDEATRRSLMLDFLNISAPPCESSILRPLEVTVVACNEVVPWRYPARRELQFGEWLREDILEGVFEPAALDADLAILITKARQHSIALVGPVAQKVFMPVPEHDFLQVLSGTLKLWNTHEDWENEERNIVLTLARIWYSTETGGIVPKDVAAEWVLERLPAEHKPILVEARQAYLGLCKDSLALRADETSAFIGYAKSAVADLLEKRKSQTSHICDGAKNV ->ARGMiner~~~aadA8~~~WP_063840457.1~~~aminoglycoside unknown +>ARGMiner~~~aadA8~~~WP_063840457.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSVVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKDEITKVVGK ->ARGMiner~~~aadA7~~~WP_063840456.1~~~aminoglycoside unknown +>ARGMiner~~~aadA7~~~WP_063840456.1~~~aminoglycoside~~~unknown MSEKVPAEISVQLSQALNVIGRHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAASLNDAVRQALLVDLLEVSASPGQNKALRALEVTIVVHSDIVPWRYPARRELQFGEWQRKDILAGIFEPATTDSDLAILLTKAKQHSVVLAGSAAKDLFSSVPESDLFKALADTLKLWNSPPDWAGDERNVVLTLSRIWYTAATGKIAPKDVAATWAMARLPAQHQPILLNAKRAYLGQEEDYLPARADQVAALIKFVKYEAVKLLGASQ ->ARGMiner~~~aadA4~~~WP_063840443.1~~~aminoglycoside unknown +>ARGMiner~~~aadA4~~~WP_063840443.1~~~aminoglycoside~~~unknown MGEFFPAQISEQLSHARGVIERHLAATLDTIHLFGSALDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGPWRPLEVTVVARSEVVPWRYPAIRELQFGEWLRHDILSGTFEPSVLDHDLAILLTKARQHSLALLGPSAVTFFEPVPNEHFSKALFDTIAQWNSESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPIICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~aadA11~~~WP_063840415.1~~~aminoglycoside unknown +>ARGMiner~~~aadA11~~~WP_063840415.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAAQLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKVRQHSLALAGSAAEDFFNPVPEGDLFKALSDTLKLWNSQPDWEGDERNVVLTLSRIWYSAATGKIAPKDIVANWAIERLPDQHKPVLLEARQAYLGQGEDCLASRADQLAAFVHFVKHEATKLLGALPVMSNNSFKPNPLRGSA ->ARGMiner~~~AAC(6')-29a~~~WP_063840332.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-29a~~~WP_063840332.1~~~aminoglycoside~~~unknown MKYSLNPIRPEESHDWLRLRDLLWEADDHAIEIARFFTGELEETVEVLIARDLEGRAVGHVELSIREDIDGLNGVKTGYIEGLYVDVLHRSSGLVRQFLRASEQWAKDQGCSAFASDRQDRVIIHRRFSGGSA ->ARGMiner~~~AAC(6')-Ib'~~~WP_063840319.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib'~~~WP_063840319.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANAAQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~AAC(6')-Ib'~~~WP_063840283.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib'~~~WP_063840283.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPAPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~AAC(6')-Ib'~~~WP_063840282.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib'~~~WP_063840282.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPCIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~AAC(6')-Ib3~~~WP_063840281.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib3~~~WP_063840281.1~~~aminoglycoside~~~unknown MTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRRFA ->ARGMiner~~~AAC(3)-IV~~~WP_063840268.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IV~~~WP_063840268.1~~~aminoglycoside~~~unknown MQYEWRKAELIGQLLNLGVTPGGVLLVHSSFRSVRPLEDGPLGLIEALRAALGPGGTLVMPSWSGLDDEPFDPATSPVTPDLGVVSDTFWRLPNVKRSAHPFAFAAAGPQAEQIISDPLPLPPHSPASPVARVHELDGQVLLLGVGHDANTTLHLAELMAKVPYGVPRHCTILQDGKLVRVDYLENDHCCERFALADRWLKEKSLQKEGPVGHAFARLIRSRDIVATALGQLGRDPLIFLHPPEGGMRRMRCRSPVDWLSS ->ARGMiner~~~AAC(3)-IIc~~~WP_063840267.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIc~~~WP_063840267.1~~~aminoglycoside~~~unknown MHTRKAITEAIRKFGVQTGDLLMVHASLKAIGPVEGGAETVVAALRSAVGPTGTVMGYASWDRSPYEETLNGARLDDKARRTWPPFDPATAGTYRGFGLLNQFLVQAPGARRSAHPDASMVAVGPLAETLTEPHELGHALGEGSPVERFVRLGGKALLLGAPLNSVTALHYAEAVADIPNKRWVTYEMPMLGRNGEVAWKTASEYDSNGILDCFAIEGKPDAVETIANAYVKLGRHREGVVGFAQCYLFDAQDIVTFGVTYLEKHFGATPIVPAQKAAQRSCEPSG ->ARGMiner~~~AAC(3)-IIa~~~WP_063840265.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIa~~~WP_063840265.1~~~aminoglycoside~~~unknown MHTRKAITEALQKLGVQTGDLLMVHASLKAIGPVEGGAETVVAALRSAVGPTGTVMGYASWDRSPYEETLNGARLDDEARRTWLPFDPATAGTYRGFGLLNQFLVQAPGARRSAHPDASMVAVGPLAETLTEPHELGHALGEGSPVERFVRLGGKALLLGAPLNSVTALHYAEAVADIPNKRWVTYEMPMLGRDGEVAWKTASDYDSNGILDCFAIEGKPDAVETIANAYVKLGRHREGVVGFAQCYLFDAQDIVTFGVTYLEKHFGTTPIVPPHEAVEPSCEPSG ->ARGMiner~~~AAC(3)-Id~~~WP_063840260.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Id~~~WP_063840260.1~~~aminoglycoside~~~unknown MASQYYVHHLGQDDSELMNALLSVFGAAFNEVETYVGKRPGPEYIRRLLGSDYFIALAALEDNEVVGGIAAYHLKKFEQERKEIYISDLAVAEEHRRKGIATGLIRKLRKIAAARGAYVIFVQADTGVEDEPAIALYTKLGVREDVLHFDIAVEDGDDAAAHANE ->ARGMiner~~~AAC(3)-Ia~~~WP_063840258.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~WP_063840258.1~~~aminoglycoside~~~unknown MGIIRTCRLGPDQVKSMRAALDLFGREFGDVATYSQHQPDSDYLGNLLRSKTFIALAAFDQEAVVGALAAYVLPKFEQARSEIYIYDLAVSGEHRRQGIATALINLLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREDVMHFDIDPSTAT ->ARGMiner~~~AAC(3)-Ia~~~WP_063840257.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~WP_063840257.1~~~aminoglycoside~~~unknown MGIIRTCRLGPDQVKSMRAALDLFGREFGDVATYSQHQPDSDYLGNLLRSKTFIALAAFDQEAVVGALAAYVLPKFEQARSEIYIYDLAVSGEHRRQGIATALINPLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREEVMHFDIDPSTAT ->ARGMiner~~~AAC(3)-Ia~~~WP_063840256.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~WP_063840256.1~~~aminoglycoside~~~unknown MGIIRTCRLGPDQVQSMRAALDLFGREFGDVATYSQHQPDSDYLGNLLRSRTFIALAAFEQEAVVGALAAYVLPKFEQARSEIYIYDLAVSGEHRRQGIATALINLLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREDVMHFDIDPSSAT ->ARGMiner~~~ANT(3'')-Ii-AAC(6')-IId fusion protein~~~WP_063839875.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(3'')-Ii-AAC(6')-IId fusion protein~~~WP_063839875.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSQALNVIEHHLGSTLLAVHLYGSALDGGLKPCSDIDLLVTVTAQLDETVRQALFVDFLEVSASPGQSEALRALEVTIVVYGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKARQHSLALAGSAAEDFFNPVPESDLFKALADTLKLWNSQPDWAGDERNVVLTLSRIWYSAATGKIAPKDVAANWVMERLPVQHQPVLLEAQQAYLGQGMDCLASRADQLTAFIYFVKHEAASLLGSTPMMSKTKLGITKYSIVTNSTDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~CTX-M-105~~~WP_062743204.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-105~~~WP_062743204.1~~~cephalosporin~~~unknown MTILLQRRQLLVAGAALALTASLTPLNVFAAGDSLQRQLAALETEVNGRIGLSLIDSASQQAWSYRGDERFPLCSTFKLLLVAAVLKRSESQPALMQQTLHWTPADHLSYMPVTAKHPQGMTVSDLCAAALQYSDNLAANVLLTLLGGPASVTRLARSLGDSVTQLDRNEPTLNTAIPGDPRDTTTPLHMSHSVQQLLVKSGLQTAQQQQLIAWLKGNTTGKNAIAAALPAGWEIGDKTGSGGYGTTNDVAILWPPGKAPLILAIYFTQHAPEAKSRQDVLAKAAAIALKSVI ->ARGMiner~~~GOB-1 beta-lactamase~~~WP_059155257.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~WP_059155257.1~~~carbapenem;cephalosporin;penam~~~unknown MRNFATLFFLSVCLNLNLNAQVVKEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLPIIKGNIQKLGFNYKDIKILLLTQAHYDHTGALQDFKTETGAKFYTDKADADVLKTGGKSDYELGKYGVTFKPITPDRTLKDQDKITLGNTTLTLLHHPGHTKGSCSFIFDTKDEKRKYRVLIANMPSIIVDKKFSEVTAYPNIQSDYAYTFGAMKKLDFDLWVASHASQFDLHEKRKEGDPYNPQLFMDKQNYFQSLNNLEKSYLDKIKKDSQDK ->ARGMiner~~~mefA~~~WP_058621816.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_058621816.1~~~macrolide~~~unknown MEKYINWKFKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYTELSVWMVMVVLFIRSIGTAFHSPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIISPAAAALLYSVWKLNAIIAIDILGAMIASITVAIVSIPKLGDQVQSLKPNFLREMKEGIVALRQNKGLFALLLLGTLYTFVYMPINALFPLISMEYFNGTPVHISITEIAFASGMLVGGLLLGRLGNFEKRVLLITGSFFIMGASLAVSGLLPPSGFVIFVACCAVMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSFAMPIGLILSGFFADRIGVNHWFLLSGILIIGIAIVCPMITEVRKLDLK ->ARGMiner~~~FosX~~~WP_054882068.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_054882068.1~~~fosfomycin~~~unknown MEGISHITLIVRDLSRMTTFLCDGLGAREVYDSAGHNYSLSREKFFVLGGVWLAAMEGVPPSERSYQHVAFRVSESDLAVYQARLGSLGVEIRPPRPRVNGEGLSLYFYDFDNHLFELHTGTLEQRLARYGAGR ->ARGMiner~~~catB8~~~WP_052972810.1~~~phenicol unknown +>ARGMiner~~~catB8~~~WP_052972810.1~~~phenicol~~~unknown MKNYFDSPFKGELLSEQVKNQNIRVGRYSYYSGYYHGHSFDDCARYLRPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRHDWASSFPFFYMQEEPAFSSALDAFQRAGDTAIGNDVWIGSEAMIMPGIKIGDGAVIGSRSLVTKDVEPYAIIGGNPAKQIKKRFSDEEISLLMEMEWWSWPLDKIKTAMPLLCSSNIFGLHKYWREFAV ->ARGMiner~~~tetW~~~WP_052543100.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_052543100.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGATSEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDKLLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTSIAPKTAAQRERLLDALTQLADTDPLLRFEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETVQVKKDEVVFTGEIPARCIQAYRTDLASYTNGQSVCLTELKGYQAAVGKPVIQPRRPNSRLDKVRYMFQKIM ->ARGMiner~~~lrfA~~~WP_052413892.1~~~fluoroquinolone unknown +>ARGMiner~~~lrfA~~~WP_052413892.1~~~fluoroquinolone~~~unknown MSTETHDEPSGVAHTPASGLRGRPWPTLLAVAVGVMMVALDSTIVAIANPAIQQDLHASLADVQWITNGYLLALAVSLITAGKLGDRFGHRQTFLVGVAGFAVTSAAIGLSGSVAAIVVFRVLQGLFGALMQPSALGLLRVTFPPGKLNMAIGIWSGVVGASTAAGPIIGGLLVQHVGWEAVFFINVPVGLAALVAGLVILTDARAERAPKSFDVSGIVLLSGAMFCLVWGLIKAPAWGWGDLRTLGFLAAAVLAFAGFTLRESRATEPLMPLAMFRSVPLSAGTVLMVLMAFSFIGGLFFVTFYLQNVHGMSPVESGVHLLPLTGMMIVGAPVSGIVISRFGPGGPLVVGMLLTAASLWGMSTLEADSGMGITSLWFVLLGLGLAPVMVGTTDVIVSNAPAELAGVAGGLQQSAMQVGGSLGTAVLGVLMASRVGDVFPDKWAEANLPRVGPREAAAIEDAAEVGAVPPAGTLPGRHAGTLSEVVHSSFISGMGLAFTVAGAVALVAAAVALFTRKAEPDERAPEEFPVPASTAGRG ->ARGMiner~~~AAC(6')-30/AAC(6')-Ib' fusion protein~~~WP_052285801.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-30/AAC(6')-Ib' fusion protein~~~WP_052285801.1~~~aminoglycoside~~~unknown MQYSIRSVRVSDTSDWLRLRNLLWEGDDHETEIAQFFAGALAEPNEVLVAHDDAGAVVGHVELSIREDVAGLEGIRAGYIEGLYIEEAHRSSSVATQLLRHSEQWAQSQGCRAFASDREDRLIIHKRFSVSPLSNPSFQRTAFGGR ->ARGMiner~~~Erm(42)~~~WP_052259183.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(42)~~~WP_052259183.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNTKIKNKNFNIKDSQNFLHNTKLVEDLLFKSNITKEDFVVEIGPGKGIITKALSKICKAVTAIEFDSVLADKLTHEFKSSNVSIIEADFLKYNLPDHNYKVFSNIPFNITASILNKLLDSENPPLDTFLIMQYEPFLKYAGAPSYKESYKSLLYKPFFKTNILHSFSKFDFKPAPNANIILGQFSYKDFTDINLEDRHAWKDFLAFVFLEKGVTFKEKTKRIFSYKQQKIILKESRINDDSNISNWSYEFWLKMFKLYNSNMVSKDKKVLVNNSYKRMLEHESSLEKIHRNRKQNNRK ->ARGMiner~~~catB8~~~WP_048608591.1~~~phenicol unknown +>ARGMiner~~~catB8~~~WP_048608591.1~~~phenicol~~~unknown MKNYFDSPFKGELLSEQVKNPNIKVGRYSYYSGYYHGHSFDECARYLHPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRHDWASSFPFFYMQEEPAFSSALDAFQRAGDTAIGNDVWIGSEAMIMPGIKIGDGAVIGSRSLVTKDVVPYAIIGGSPAKQIKKRFSDEEISLLMEMEWWNWPLDKIKTAMPLLCSSNIFGLHKYWREFVV ->ARGMiner~~~LEN-2~~~WP_046619698.1~~~penam;penem unknown +>ARGMiner~~~LEN-2~~~WP_046619698.1~~~penam;penem~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGVEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~L1 beta-lactamase~~~WP_046430859.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_046430859.1~~~cephalosporin~~~unknown MRLCLTTLALTATLAFDVTAADAPLPQLQAYTVDASWLQPMAPLQIADRTWQIGTEDLTALLVQTADGAVLLDGGMPQMAGHLLDNMKARGVAAQDLRLILLSHAHADHAGPVAELKRRTGASVAANAESAVLLARGGSNDLHFGDSITFPPASADRIIMDGEEVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGQPVRIAYADSLSAPGYQLQGNARYPRLVEDYRRSFATVRGLPCDLLLTPHPGASNWNYAAGAEAGANALTCKAYADAAEKKFDAQLAKETATAR ->ARGMiner~~~dfrA7~~~WP_045899678.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA7~~~WP_045899678.1~~~diaminopyrimidine~~~unknown MKISLIAAQSENGVIGNGPDIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGILPNRKYAVLSKNGISHLPENVLVFSSIENALYELAKVTDHLYISGGGQIYNSLIESADTIHLSIIHKEVEGEVRFPKIPPNYKLVFEQYYSSNINYTYQIWQKG ->ARGMiner~~~tetO~~~WP_044666383.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_044666383.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDTVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~AAC(6')-31~~~WP_044424439.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-31~~~WP_044424439.1~~~aminoglycoside~~~unknown MTTTISFVTLRLMTEHDLPMLHDWLNRPHIVEWWGGEETRPTLAEVLEQYLPSALAKESVTPYIAMLDEEPIGYAQSYIALGSGDGWWEDETDPGVRGIDQSLANPSQLGKGLGTKLVCALVEMLFKDAEVTKIQTDPSPNNLRAIRCYEKAGFVAQRTINTPDGPAVYMVQTRQAFEQARSAV ->ARGMiner~~~cmlA4~~~WP_042862943.1~~~phenicol unknown +>ARGMiner~~~cmlA4~~~WP_042862943.1~~~phenicol~~~unknown MRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTVQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLAFTSLAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVTGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLIMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWASQSVLGFIAPMWLVGIGVATAVSVAPNGALQGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRAEGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~tetM~~~WP_041160408.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_041160408.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELHPNMRVMNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEEIRRFQNCSLYPVYHGSAKSNIGIEQLIEVITNKFYSSTYRKKSELCGIVFKIEYSEERQRLAYVRLYGGILHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLQYYVDSTTHEIILSFLGKVQMEVTCALLQEKYHVEVKIKKPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~ErmB~~~WP_041152457.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_041152457.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNTRVTLIHQDILQFQFPNKQRYKIVGNIPYNLSTQIIKKVVFESRASDIYLTVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPKVNSVLIKLTRHTTDVPDKYWKLYKYFVSKWVNREYRQLFTKNQFRQAMKHAKVNNLSTITYEQVLSIFNSYLLFNGRK ->ARGMiner~~~LEN-18~~~WP_039103359.1~~~penam;penem unknown +>ARGMiner~~~LEN-18~~~WP_039103359.1~~~penam;penem~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGVEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTVGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~FosA2~~~WP_038415208.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_038415208.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPLSQRLEQAGVTIWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~Erm(39)~~~WP_036428783.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(39)~~~WP_036428783.1~~~macrolide;lincosamide;streptogramin~~~unknown MSSKNQGRHEHGQNFLCDRRVVADIVKIVSHTTGSIVEIGAGDGALTVPMQRLGRPLTAIEIDRRRAERLARRTTAHVVTADFLRYRLPPTEHVVVGNLPFHLTTAILRRLLHSPAWTDAVLLMQWEVARRRAAVGGATMMTAQWWPWFEFGLARKVSADAFRPRPSVDAGLLTITRRREPLIDGADRRRYQALVHAVFTGRGRGVAQIVGPRVPRHWLRHNGITPSALPRDLTAAQWAALFEVTSEAKRC ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_034848068.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_034848068.1~~~carbapenem;penam~~~unknown MKNIMRALILVFGFMSFFMFGQENPDVKIEKLRDNLYVYTTYNTFNGTKYAANAVYLVTDKGVVVIDCPWGEDKFKSFTDEIYKKHGKKVIMNIATHSHDDRAGGLEYFGKIGAKTYSTKMTDSILAKENKPRAQYTFDNNKSFKVGKSEFQVYYPGKGHTADNVVVWFPKEKVLVGGCIIKSADSKDLGYIGEAYVNDWTQSVHNIQQKFSGAQYVVAGHDDWKDQRSIQRTLDLINEYQQKQKASN ->ARGMiner~~~catB10~~~WP_034085125.1~~~phenicol unknown +>ARGMiner~~~catB10~~~WP_034085125.1~~~phenicol~~~unknown MTNYFESPFKGKLLADQVKNPNIKVGRYSYYSGYYHGHSFDECARFLLPDRNDIDQLIVGSFCSIGTGASFIMAGNQGHRYDWASSFPFFYMKEEPAFSGALDAFQKAGDTVIGSDVWIGSEAMIMPGINVGHGAVIGSRALVTKDVEPYTIVGGNPAKPIKKRFSDEEIAMLLKMNWWDWPTEKIEEAMPLLCSSNIVGLHRYWQGFAV ->ARGMiner~~~dfrA22~~~WP_034072810.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA22~~~WP_034072810.1~~~diaminopyrimidine~~~unknown MNRESVRIYLVAAMGANRVIGNGPDIPWTIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPSRRTVVLSRQAGYSAAGCAVVSTLSQAIAIAAEHGKELYVAGGAEVYALALPHADGVFLSEVHQTFEGDAFFPVLNAAEFEVVSAETVQATITYTHSVYARRNG ->ARGMiner~~~PDC-7~~~WP_034071670.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_034071670.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDRAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPITLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~dfrA22~~~WP_032667553.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA22~~~WP_032667553.1~~~diaminopyrimidine~~~unknown MNPELVRIYLVAAMGANRVIGNGPDIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRRTVVLSRQASYSAAGCAVVSTLSQAIAIAAEHGKELYVAGGAEVYALALPRADGVFLSEVHQTFEGDAFFPVLDEAEFEVVSAETVQATITYTHSVYARRNG ->ARGMiner~~~ANT(4')-Ib~~~WP_032542910.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(4')-Ib~~~WP_032542910.1~~~aminoglycoside~~~unknown MNGPIIMTREERMKIVHEIKERILDKYGDDVKAIGVYGSLGRQTDGPYSDIEMMCVMSTEEAEFSHEWTTGEWKVEVNFDSEEILLDYASQVESDWPLTHGQFFSILPIYDSGGYLEKVYQTAKSVEAQKFHDAICALIVEELFEYAGKWRNIRVQGPTTFLPSLTVQVAMAGAMLIGLHHRICYTTSASVLTEAVKQSDLPSGYDHLCQFVMSGQLSDSEKLLESLENFWNGIQEWTERHGYIVDVSKRIPF ->ARGMiner~~~catB3~~~WP_032492683.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_032492683.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRCDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSEAMVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGGNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPMLCSSNIVGLHKYWLEFAV ->ARGMiner~~~aadA~~~WP_032492486.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_032492486.1~~~aminoglycoside~~~unknown MREVVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQANLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~APH(3')-Ia~~~WP_032492145.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~WP_032492145.1~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNLDADLYGYRWARDNVGQSGATIYRLYGKPDAPELFLKHGKGSVANDVTDEMVRLNWLTAFMPLPTIKHFIRTPDDAWLLTTAIPGKTAFQALEEYPDSRENIVDALAAFLRRLHSIPLCNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGCPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRLFHKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~qacH~~~WP_032492097.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_032492097.1~~~fluoroquinolone~~~unknown MKNWIFLAVAIFGEVIATSALKSSHGFTRLVPSVVVVAGYGLAFYFLSLALKSIPVGIAYAVWAGLGIVLVAAIAWIFHGQKLDFWAFIGIGLIVSGVAVLNLLSKVSAH ->ARGMiner~~~sul1~~~WP_032492024.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_032492024.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLXVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~ACC-1~~~WP_032491956.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~ACC-1~~~WP_032491956.1~~~monobactam;cephalosporin;penam~~~unknown MRKKMQNTLKLLSVITCLAATVQGALAANIDESKIKDTVDDLIQPLMQKNNIPGMSVAVTVNGKNYIYNYGLAAKQPQQPVTENTLFEVGSLSKTFAATLASYAQVSGKLSLDQSVSHYVPELRGSSFDHVSVLNVGTHTSGLQLFMPEDIKNTTQLMAYLKAWKPADAAGTHRVYSNIGTGLLGMIAAKSLGVSYEDAIEKTLLPQLGMHHSYLKVPADQMENYAWGYNKKDEPVHVNMEILGNEAYGIKTTSSDLLRYVQANMGQLKLDANAKMQQALTATHTGYFKSGEITQDLMWEQLPYPVSLPNLLTGNDMAMTKSVATPIVPPLPPQENVWINKTGSTNGFGAYIAFVPAKKMGIVMLANKNYSIDQRVTVAYKILSSLEGNK ->ARGMiner~~~fexA~~~WP_032491881.1~~~phenicol unknown +>ARGMiner~~~fexA~~~WP_032491881.1~~~phenicol~~~unknown MKKDSKSKEMIQSEKRGSTRLLMMVLSLSVLVGAITADLVNPVLPLISKDLEASKSQVSWIVSGIALVLAIGVPIYGRISDFFELRKLYIFAIMILASGSLLCAIAPNLPLLVLGRMVQGAGMSAIPVLSIIAISKVFPQGKRGGALGIIAGSIGVGTAAGPIFGGVVGQYLGWNALFWSTFLLAIMIVIGAYYALPTIKPAESVGSNKNFDFIGGLFLGLTVGLLLFGITQGETSGFSSFSSLTSLIGSVVALVGFIWRIVTAENPFVPPVLFNNKDYVNTVIIAFFSMFAYFAVLVFVPLLVVEVNGLSSGQAGMILLPGGVAVAILSPFVGRLSDRFGDKRLIITGMTLMGLSTLFLSTYASGASPLLVSVGVLGVGIAFAFTNSPANNAAVSALDADKVGVGMGIFQGALYLGAGTGAGMIGALLSARRDATEPINPLYILDAMSYSDAFLAATGAILIALIAGLGLKKRG ->ARGMiner~~~CTX-M-10~~~WP_032491875.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-10~~~WP_032491875.1~~~cephalosporin~~~unknown MVKKSLRQFALLAATVFPLLAGSVSLQAQTLSVEQKLAALEQRSGGRLGVALIDTADGSQILYRGDERFAMCSTSKVMAAAAVLKQSESQHDLLNQRIEIKKGDLTNYNPIAEKHVGRSMSLSELSAAALQYSDNVAMNKLIAQLGGPQGVTAFARKIGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDAQRAQLVTWMKGNTTGTASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLVLVTYFTQPQPEAESRRDVLASAAKIVTEGL ->ARGMiner~~~APH(3')-IIIa~~~WP_032491780.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIIa~~~WP_032491780.1~~~aminoglycoside~~~unknown MAKMRISPELKKLIEKYRCVKDTEGMSPAKVYKLVGENENLYLKMTDSRYKGTTYDVEREKDMMLWLEGKLPVPKVLHFERHDGWSNLLMSEADGVLCSEEYEDEQSPEKIIELYAECIRLFHSIDISDCPYTNSLDSRLAELDYLLNNDLADVDCENWEEDTPFKDPRELYDFLKTEKPEEELVFSHGDLGDSNIFVKDGKVVALLILGRSGRADKWYDIAFCIRLIREDIGEEQYVELFFDLLGIKPDWEKIKYYILLDELF ->ARGMiner~~~aad(6)~~~WP_032491779.1~~~aminoglycoside unknown +>ARGMiner~~~aad(6)~~~WP_032491779.1~~~aminoglycoside~~~unknown MRSEKEMMDLVLSLAEQDERIRIVTLEGSRANINIPKDEFQDYDITYFVSDIEPFISNDDWLNQFGNIIMMQKPEDMELFPPEEKGFSYLMLFDDYNKIDLTLLPLEELDNYLKGDKLIKVLIDKDCRIKRDIVPTDIDYHVRKPSAREYDDCCNEFWNVTPYVIKGLCRKEILFAIDHFNQIVRHELLRMISRKVGIETGFKLSVGKNYKFIERYISEDLWEKLLSTYRMDSYENIWEALFLCHQLFRAVSGEVAERLHYAYPEYDRNITKYTRDMYKKYTGKTGCLDSTYAADIEERREQ ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_032491641.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_032491641.1~~~penam~~~unknown MKKLIFLIAIALVLSACNSXSSHAKELNDLEKKYNAHIGVYALDTKSGKEVKFNSDKRFAYASTSKAINSAILLEQVPYNKLNKKIHIXKDDIVAYSPILEKYVGKDITLKELIEASMAYSDNTANNKIIKEIGGIKKVKQRLKELGDKVTNPVRYEIELNYYSPKSKKDTSTPAAFGKTLNKLIANGKLSKENKKFLLDLMLNNKSGDTLIKDGVSKDCKVADKSGQAITYASRNDVAFVYPKGQSEPIVLVIFTNKDNKSDKPNDKLISETAKSVMKEF ->ARGMiner~~~fexA~~~WP_032491576.1~~~phenicol unknown +>ARGMiner~~~fexA~~~WP_032491576.1~~~phenicol~~~unknown MKKDSKSKEMIQSEKRGSTRLLMMVLSLSVLVGAITADLVNPVLPLISKDLEASKSQVSWIVSGIALVLAIGVPIYGRISDFFELRKLYIFAIMILASGSLLCAIAPNLPLLVLGRMVQGAGMSAIPVLSVIAISKVFPQGKRGGALGIIAGSIGVGTAAGPIFGGVVGQYLGWNALFWFTFLLAIMIVIGAYYALPTIKPAESVGSNKNFDFIGGLFLGLTVGLLLFGITQGETSGFSSFSSLTSLIGSVVALVGFIWRIVTAENPFVPPVLFNNKDYVNTVIIAFLSMFAYFAVLVFVPLLVVEVNGLSSGQAGMILLPGGVAVAILSPFVGRLSDRFGDKRLIITGMTLMGLSTLFLSTYASGASPLLVSVGVLGVGIAFAFTNSPANNAAVSALDADKVGVGMGIFQGALYLGAGTGAGMIGALLSARRDATEPINPLYILDAMSYSDAFLAATGAILIALIAGLGLKKRG ->ARGMiner~~~cfrA~~~WP_032491462.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin unknown +>ARGMiner~~~cfrA~~~WP_032491462.1~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol;pleuromutilin~~~unknown MNFNNKTKYGKIQEFLRSNNEPDYRIKQITNAIFKQRISRFEDMKVLPKLLREDLINNFGETVLNIKLLAEQNSEQVTKVLFEVSKNERVETVNMKYKAGWESFCISSQCGCNFGCKFCATGDIGLKKNLTVDEITDQVLYFHLLGHQIDSISFMGMGEALANRQVFDALDSFTDPNLFALSPRRLSISTIGIIPSIKKITQEYPQVNLTFSLHSPYSEERSKLMPINDRYPIDEVMNILDEHIRLTSRKVYIDYIMLPGVNDSLEHANEVVSLLKSRYKSGKLYHVNLIRYNPTISAPEMYGEANEGQVEAFYKVLKSAGIHVTIRSQFGIDIDAACGQLYGNYQNSQ ->ARGMiner~~~AAC(3)-Ia~~~WP_032491356.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~WP_032491356.1~~~aminoglycoside~~~unknown MGIIRTCRLGPDQVKSMRAALDLFGREFGDVATYSQHQPDSDYLGNLLRSKTFIALAAFDQEAVVGALAAYVLPKFEQARIEIYIYDLAVSGEHRRQGIATALINLLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREEVMHFDIDPSTAT ->ARGMiner~~~dfrA16~~~WP_032491316.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA16~~~WP_032491316.1~~~diaminopyrimidine~~~unknown MKLSLMAAKSKNGIIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSNFSTNDEGVMVFSSIQDALINLEEITDHVIISGGGEIYKSLISKVDTLHIATVDIERDGDIVFPEIPDTFKLVFEQDFESNINYCYQIWQKS ->ARGMiner~~~sul1~~~WP_032491228.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_032491228.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRVDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~lnuA~~~WP_032491106.1~~~lincosamide unknown +>ARGMiner~~~lnuA~~~WP_032491106.1~~~lincosamide~~~unknown MKIDNVTEKDLFYILDFFEKMEVTYWLDGGWGVDVLTGKQQREHRDIDIDFDAQHTQKVIQKLKDIGYKIEVDWMPSRMELKHKEYGYLDIHPINLNDDGSITQANPEGGNYVFQNEWFSETNYKGRKIPCISKEAQLLFHSGYDLTEKDHFDIKNLKSIT ->ARGMiner~~~tet(H)~~~WP_032490787.1~~~tetracycline unknown +>ARGMiner~~~tet(H)~~~WP_032490787.1~~~tetracycline~~~unknown MNKSIIIILLITVLDAIGIGLIMPVLPTLLNEFVSENSLATHYGVLLALYATMQVIFAPILGRLSDKYGRKPILLFSLLGAALDYLLMAFSTTLWMLYIGRIIAGITGATGAVCASAMSDVTPAKNRTRYFGFLGGVFGVGLIIGPMLGGLLGDISAHMPFIFAAISHSILLILSLLFFRETQKREALVANRTPENQTASNTVTVFFKKSLYFWLATYFIIQLIGQIPATIWVLFTQYRFDWNTTSIGMSLAVLGVLHIFFQAIVAGKLAQKWGEKTTIMISMSIDMMGCLLLAWIGHVWVILPALICLAAGGMGQPALQGYLSKSVDDNAQGKLQGTLVSLTNITGIIGPLLFAFIYSYSVAYWDGLLWLMGAILYAMLLITAYFHQRKTTPKAVISTP ->ARGMiner~~~sul2~~~WP_032490786.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_032490786.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARRCL ->ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~WP_032490744.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~WP_032490744.1~~~aminoglycoside~~~unknown MNIVENEICIRTLIDDDFPLMLKWLTDERVLEFYGGRDKKYTLESLKKHYTEPWEDEVFRVIIEYNNVPIGYGQIYKMYDELYTDYHYPKTDEIVYGMDQFIGEPNYWSKGIGTRYIKLIFEFLKKERNANAVILDPHKNNPRAIRAYQKSGFRIIEDLPEHELHEGKKEDCYLMEYRYDDIATNVKAMKYLIEHYFDNFKVDSIEIIGSGYDSVAYLVNNEYIFKTKFSTNKKKGYAKEKAIYNFLNTNLETNVKIPNIEYSYISDELSILGYKEIKGTFLTPEIYSTMSEEEQNLLKRDIASFLRQMHGLDYTDISECTIDNKQNVLEEYILLRETIYNDLTDIEKDYIESFMERLNATTVFEGKKCLCHNDFSCNHLLLDGNNRLTGIIDFGDSGIIDEYCDFIYLLEDSEEEIGTNFGEDILRMYGNIDIEKAKEYQDIVEEYYPIETIVYGIKNIKQEFIENGRKEIYKRTYKD ->ARGMiner~~~tetO~~~WP_032490535.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_032490535.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSALDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGRVFKIEYSKKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTCFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~EreA2~~~WP_032490534.1~~~macrolide unknown +>ARGMiner~~~EreA2~~~WP_032490534.1~~~macrolide~~~unknown MTWRTTRTLLQPQKLEFNEFEILNPVVEGARIVGIGEGAHFVAEFSLARASLIRYFVERHDFNAIGLECGAIQASRLSEWLNSTAGAHELERFSDTLTFSSYGSVLIWVKSYLRESGRKLQLVGIDLPNTLNPRDDLAQLAEIIQVIDHLMKPHVDALTQLLTSIDGQSAVISSAKWGELETAQQEKAISGVTRLKLRLASLAPVLKNHVNSDFFRKASDRIESIEYTLETLRVMKAFFDGTSLEGDTSVRDSYMAGVVDGMVRANPDVRIILLAHNNHLQKTPVSFSGELTAVPMGQHLAEREEGDYRAIAFTHLGLTVPEMHFPSPDSPLGFSVVTTPADAIREDSVEQYVIDACGKEDSCLTLTDDPMEAKRMRSQSASVETNLSEAFDAIVCVPSAGKDSLVAL ->ARGMiner~~~aadA~~~WP_032490451.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_032490451.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLGETTRRALINDLLETSASPGEGEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPARYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~OXA-47~~~WP_032490448.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-47~~~WP_032490448.1~~~cephalosporin;penam~~~unknown MKNTIHINFAIFLIIANIIYSSASASTDISTVASQLFEGTEGCFLLYDASTNAEIAQFNKAKCAAQMAPDSTFKIALSLMAFDAEIIDQKTIFKWDKIPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVRNSAIENTIDNMYLQDLENSTKLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYVFVSALTGNLGSNLTSSIKAKKNAITILNTLNL ->ARGMiner~~~ANT(2'')-Ia~~~WP_032490446.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_032490446.1~~~aminoglycoside~~~unknown MDTTQVALILQFLAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAIVEMLGGRVTEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~aadA5~~~WP_032490444.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_032490444.1~~~aminoglycoside~~~unknown MGEFFPAQVFEQLSHARAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATSFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGSEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~aadA~~~WP_032490443.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_032490443.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKDEITKVVGK ->ARGMiner~~~aadA~~~WP_032490440.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_032490440.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGVSEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRTWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA2~~~WP_032490336.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_032490336.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~tet(A)~~~WP_032490133.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tet(A)~~~WP_032490133.1~~~glycylcycline;tetracycline~~~unknown MNSSTKIALVITLLDAMGIGLIMPVLPTLLREFIASEDIANHFGVLLALYALMQGIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVAFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLFVSLTNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~vgaA~~~WP_032489639.1~~~streptogramin;pleuromutilin unknown +>ARGMiner~~~vgaA~~~WP_032489639.1~~~streptogramin;pleuromutilin~~~unknown MKIMLEGLNIKHYVQDRLLLNINRLKIYQNDRIGLIGKNGSGKTTLLHILYKKIVPEEGIVKQFSHCELIPQLKLIESTKSGGEVTRNYIRQALDKNPELLLADEPTTNLDNNYIEKLEQDLKNWHGAFIIVSHDRAFLDNLCTTIWEIDEGRITEYKGNYSNYVEQKELERHREELEYEKYEKEKKRLEKAINIKEQKAQRATKKPKNLSLSEGKIKGAKPYFAGKQKKLRKTVKSLETRLEKLESVEKRNELPPLKMDLVNLESVKNRTIIRGEDVSGTIEGRVLWKAKSFSIRGGDKMAIIGSNGTGKTTFIKKIVHGNPGISLSPSVKIGYFSQKIDTLELDKSILENVQSSSQQNETLIRTILARMHFFRDDVYKPISVLSGGERVKVALTKVFLSEVNTLVLDEPTNFLDMEAIEAFESLLKEYNGSIIFVSHDRKFIEKVATRIMTIDNKEIKIFDGTYEQFKQAEKPTRNIKEDKKLLLETKITEVLSRLSIEPSEELEQEFQNLINEKRNLDK ->ARGMiner~~~CTX-M-61~~~WP_032489477.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-61~~~WP_032489477.1~~~cephalosporin~~~unknown MVKNTLRQTTLMVATVMPLLFGSAPLWAQSANAKANIQQQLSELEKNSGGRLGVALIDTADNSQILYRGDERFPMCSTSKVMAVSALLKQSEMDKNLLAKRMEIKQSDLVNYNPIAEKHLDTGMTLAELSAAAIQYSDNTAMNKILEHLGGPAKVTEYARTIGDKTFRLDRTEPTLNTAIPSDKRDTTSPLAMAKSLQTLTLGKALGEPQRAQLVEWMKGNTTGGASIRAGLPATWVVGDKTGSGDYGTTNDIAVIWPANHAPLVLVTYFTQPQQNAEARKDVLAAAAKIVTEGL ->ARGMiner~~~aadA~~~WP_032489308.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_032489308.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRLWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~catB3~~~WP_032489305.1~~~phenicol unknown +>ARGMiner~~~catB3~~~WP_032489305.1~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSEAMVMPGIKIGHGAVIGSRSLVTKDVEPYAIVGSNPAKKIKKRFTDEEISLLLEMEWWNWSLEKIKAAMPMLCSSNIVGLHKYWLEFAV ->ARGMiner~~~dfrA14~~~WP_032488755.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA14~~~WP_032488755.1~~~diaminopyrimidine~~~unknown MKVSLIAAKRKNGVIGCGPDIPWSAKGEQLLFKALTYNQCLLVGRKTFESMGALPNRKYAVVTRSGWTSNDDNVVVFQSIEEAMDRLAEFTGHVIVSGGGEIYRETLPMASTLHLSTIDIEPEGDVFFPSIPNTFEVVFEQHFTSNINYCYQIWKKG ->ARGMiner~~~tet(G)~~~WP_032488557.1~~~tetracycline unknown +>ARGMiner~~~tet(G)~~~WP_032488557.1~~~tetracycline~~~unknown MRSSAIIALLIVGLDAMGLGLIMPVLPTLLRELVPAEQVAGHYGALLSLYALMQVVFAPMLGQLSDSYGRRPVLLASLAGAAVDYTIMASAPVLWVLYIGRLVSGVTGATGAVAASTIADSTGEGSRARWFGYMGACYGAGMIAGPALGGMLGGISAHAPFIAAALLNGFAFLLACIFLKETHHSHGGTGKPVRIKPFVLLRLDDALRGLGALFAVFFIIQLIGQVPAALWVIYGEDRFQWNTATVGLSLAAFGATHAIFQAFVTGPLSSRLGERRTLLFGMAADGTGFVLLAFATQGWMVFPILLLLAAGGVGMPALQAMLSNNVSSNKQGALQGTLTSLTNLSSIAGPLGFTALYSATAGAWNGWVWIVGAILYLICLPILRRPFATSLVI ->ARGMiner~~~cmlA6~~~WP_032488514.1~~~phenicol unknown +>ARGMiner~~~cmlA6~~~WP_032488514.1~~~phenicol~~~unknown MSSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWALQSVLGFIAPMWLVGIGVATAVSVAPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRVKGSRGQGEHDVVALQSAGSTSNPNR ->ARGMiner~~~Staphylococcus intermedius chloramphenicol acetyltransferase~~~WP_032488466.1~~~phenicol unknown +>ARGMiner~~~Staphylococcus intermedius chloramphenicol acetyltransferase~~~WP_032488466.1~~~phenicol~~~unknown MTFNIIKLENWDRKEYFEHYFNQQTTYSITKEIDITLFKDMSKKKGYEIYPSLIYAIMEVVNKNKVFRTGINSENKLGYWDKLNPLYTVFNKQTEKFTNIWTESDNNFTSFYNNYKNDLLEYKDKEEMFPKKPIPENTLPISMIPWIDFSSFNLNIGNNSNFLLPIITIGKFYSENNKIYIPVALQLHHAVCDGYHASLFINEFQDIIKKVDDWI ->ARGMiner~~~Staphylococcus intermedius chloramphenicol acetyltransferase~~~WP_032488460.1~~~phenicol unknown +>ARGMiner~~~Staphylococcus intermedius chloramphenicol acetyltransferase~~~WP_032488460.1~~~phenicol~~~unknown MTFNIIKLENWDRKEYFEHYFNQQTTYSITKEIDITLFKDMIKKKGYEIYPSLIYAIMEVVNKNKVFRTGINSENKLGYWDKLNPLYTVFNKQTEKFTNIWTESDNNFTSFYNNYKNDLFEYKDKEEMFPKKPIPENTIPISMIPWIDFSSFNLNIGNNSSFLLPIITIGKFYSENNKIYIPVALQLHHAVCDGYHASLFINEFQDIINKVDDWI ->ARGMiner~~~tet(L)~~~WP_032488409.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~WP_032488409.1~~~tetracycline~~~unknown MNTSYSQSNLRHNQILIWLCILSFFSVLNEMVLNVSLPDIANDFNKPPASTNWVNTAFMLTFSIGTAVYGKLSDQLGIKRLLLFGIIINCFGSVIGFVGHSFFSLLIMARFIQGAGAAAFPALVMVVVARYIPKENRGKAFGLIGSIVAMGEGVGPAIGGMIAHYIHWSYLLLIPIITIITVPFLMKLLKKEVRIKGHFGSKGIILMSVGIVFFMLFTTSYSISFLIVSVLSFLIFVKHIRKVTDPFVDPGLGKNIPFMIGVLCGGIIFGTVAGFVSMVPYMMKDVHQLSTAEIGSVIIFPGTMSVIIFGYIGGILVDRRVPLYALNIGVTFLSVSFLTASFLLETTSWFMTIIIVFVLGGLSFTKTVISTIVSSSLKQQEAGAGMSLLNFTSLLSEGTGIAIVGGLLSIPLLDPRLLPMEVDQSTYLYSNLLLLFSGIIVISWLVTLNLYKHSQRDF ->ARGMiner~~~ErmX~~~WP_032488331.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmX~~~WP_032488331.1~~~macrolide;lincosamide;streptogramin~~~unknown MSAYGHGRHEHGQNFLTNHKIINSIIDLVKQTSGPIIEIGPGSGALTHPMAHLGRAITAVEVDAKLAAKITQETSSAAVEVVHDDFLNFRLPATPCVIVGNIPFHLTTAILRKLLHAPAWTDAVLLMQWEVARRRAGVGASTMMTAQWSPWFTFHLGSRVPRSAFRPQPNVDGGILVIRRVGDPKIPIEQRKAFQAMVHTVFTARGRGIGEILRRQGCFHHVQKHNHGCAREESTPRPYLPDCTPTTGSISSR ->ARGMiner~~~TEM-33~~~WP_032072208.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-33~~~WP_032072208.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIEMDLNSGKILESFRPEERFPMLSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~qacH~~~WP_031943052.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_031943052.1~~~fluoroquinolone~~~unknown MKNWLFLATSIISEVIATSALKSSEGFTRLVPSFIVVAGYAAAFYFLSLTLKSIPVGIAYAVWSGLGIVLVTAIAWVLHGQKLDMWGFVGVGFIISGVAVLNLLSKASVH ->ARGMiner~~~aadA~~~WP_031942898.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_031942898.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRWASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~tet(A)~~~WP_031942563.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tet(A)~~~WP_031942563.1~~~glycylcycline;tetracycline~~~unknown MNSSTKIALVITLLDAMGIGLIMPVLPTLLREFIASEDIANHFGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLEFIADSSAFAFLAFISEGWLDFPVLILLAGGGIALPALQGVMSIQTKSHEQGALQGLLVSLTNATGVIGPLLFTVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~tet(C)~~~WP_031942321.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_031942321.1~~~tetracycline~~~unknown MKPNRPLIVILCTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLSGAAIDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARYFGFMSACFGFGMVAGPVLGGLMSSFSPHAPFFAAAALNGLNFLMGIFLLPESHKGERRPLRREALNPLASFRWVRGMTVIAALMAVFFIMQLVGQAPATLWVIFGEDRFHWDTSLIGISLAAFGILHSLAQAMITGPVTTRLGERRALMLGMIADGAGYILLALATRGWMAFPIMVLLASGGIGMPALQAVLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGFWSGVGQRADR ->ARGMiner~~~aadK~~~WP_031916103.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_031916103.1~~~aminoglycoside~~~unknown MRTEKEILNLVSEFAYQRSNVKIIALEGSRTNENIKKDKFQDYDFAFFVSDIECFTHEESWLSLFGELLFIQKPEDMELFPPDLDYGYSYIMYFKDGIKMDITLINLKDLNRYFSDSDGLVKILVDKDNLVTQEIVPDDSYYWLKKPTEREFYDCCNEFWSVSTYVAKGVFRREILFALDHFNNILRPELLRMISWYIGFNRGFDFSLGKNYKFINKYLTDKEFNMFLATFEMNGYRKTYQSFKLCCELFKYYSNKVSCLGNYKYPNYEKNIENFIRNNYEN ->ARGMiner~~~dfrK~~~WP_031775848.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrK~~~WP_031775848.1~~~diaminopyrimidine~~~unknown MKVSLIAAMDKNRVLGKENDIPWRIPKDWEYVKNTTKGYPIILGRKNLESIGRALPGRRNIILTRDKGFSFNGCEIVHSIEDVFEICNNEEEIFIFGGEQIYNLFLPYVEKMYITKIHYEFEGDTFFPEVNYEEWSEVSVTQGITDEKNPYTYYFHIYERKAY ->ARGMiner~~~APH(3')-Va~~~WP_030183523.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Va~~~WP_030183523.1~~~aminoglycoside~~~unknown MDDALRALRGRYPGCEWVVVEDGASGAGVYRLRGGGRELFVKVAALGAGVGLLGEAERLVWLAEVGIPVPRVVEGGGDERVAWLVTEAVPGRPASARWPREQRLDVAVALAGLARSLHALDWERCPFDRSLAVTVPQAARAVAEGSVDLEDLDEERKGWSGERLLAELERTRPADEDLAVCHGDLCPDNVLLDPRTCEVTGLIDVGRVGRADRHSDLALVLRELAHEEDPWFGPECSAAFLREYGRGWDGAVSEEKLAFYRLLDEFF ->ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_029729112.1~~~carbapenem;penam unknown +>ARGMiner~~~Chryseobacterium meningosepticum BlaB~~~WP_029729112.1~~~carbapenem;penam~~~unknown MLKKIKISLILALGLTSLQAFGQENPDVKIEKLKDNLYVYTTYNTFNGTKYAANAVYLVTDKGVVVIDCPWGEDKFKSFTDEIYKKHGKKVIMNIATHSHDDRAGGLEYFGKIGAKTYSTKMTDSILAKENKPRAQYTFDNNKSFKVGKSEFQVYYPGKGHTADNVVVWFPKEKVLVGGCIIKSADSKDLGYIGEAYVNDWTQSVHNIQQKFSGAQYVVAGHDDWKDQRSIQHTLDLINEYQQKQKASN ->ARGMiner~~~dfrA25~~~WP_029391998.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA25~~~WP_029391998.1~~~diaminopyrimidine~~~unknown MKVSLMAARAKNGVIGCGPDIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGPLPNRKYAVVTRSNWTAANENVVVFPSIDEAMGRLGEITDHVIVAGGGEIYHETIPMASTLHVSTIDVEPEGDVFFPNIPGKFDVVFEQQFTSNINYCYQIWQKG ->ARGMiner~~~aadA6/aadA10~~~WP_025991879.1~~~aminoglycoside unknown +>ARGMiner~~~aadA6/aadA10~~~WP_025991879.1~~~aminoglycoside~~~unknown MRNTVPAEISVQLSQALNVIEHHLGSTLLAMHLYGSALDGGLKPYSDIDLLVTVTARLDESVRQALFVDLLGVSVFPGQSRVLRALEVTIVVHSDIVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKARQHSLALAGSAAEDFFNPVPESDLFKALADTLKLWNSQPDWIGDERNVVLTLSRIWYSAATGKIAPKDVAANWVMERLPVQHQPVLLEARQAYLGQGEDCLASLTDQLEAFVHFVKHEATKLLGATPMMSKTKLDALST ->ARGMiner~~~ErmD~~~WP_025811090.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmD~~~WP_025811090.1~~~macrolide;lincosamide;streptogramin~~~unknown MKKKNHKYRGKKLNRGESPNFSGQHLMHNKKLIEEIVDRANISIDDTVLELGAGKGALTTVLSQKAGKVLAVENDSKFVDILTRKTAQHSNTKIIHQDIMKIHLPKEKFVVVSNIPYAITTPIMKMLLNNPASGFQKGIIVMEKGAAKRFTSKFIKNSYVLAWRMWFDIGIVREISKEHFSPPPKVDSAMVRITRKKDAPLSHKHYIAFRGLAEYALKEPNIPLCVALRGIFTPRQMKHLRKSLKINNEKTVGTLTENQWAVIFNTMTQYVMHHKWPRANKRKPGEI ->ARGMiner~~~tet(W/N/W)~~~WP_024963478.1~~~tetracycline unknown +>ARGMiner~~~tet(W/N/W)~~~WP_024963478.1~~~tetracycline~~~unknown MNIINIGILAHVDAGKTTLTESLLYASGTISEPGSVEKGTTRTDTMFLERQRGITIQTAVTSFQWHSCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVLSARDGVQAQTRVLFHALRKLNIPTIIFINKIDQVDIDLEGVYQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDGLLEKYIAGEPISREELAREEQRRVQAASLFPVYHGSAKNGLGIQRLMDAVIGLFQPTKEQGRTALCGSVFKVEYTDCGQRLVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAHKGEIVILPSDSLRLNDILGDKTQLPREMWSDAPFSMLRTTITPKTAEQRDRLLDALTQIADTDPLLCYEVDSITQEIILSFLGRVQLEVVSALLAEKYKIETAVKEPTVIYLERPLKVASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVMDGIRYGLEQGLCGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLELALKESGTQLLEPYLSFTLYAPQEYLSRAYHDAPKYCATIETAQIKKDEVVFTGEIPARCIQAYRTDLASYTNGRSVCLTELKGYQAAVGQPVIQPRRPNSRLDRVRHMFQKVM ->ARGMiner~~~tet(40)~~~WP_024407615.1~~~tetracycline unknown +>ARGMiner~~~tet(40)~~~WP_024407615.1~~~tetracycline~~~unknown MFAKNSKAYSVYLLFRFVCSLAVSMSTVLSIVYHLEVVQLDAFQLVLVGTVLETSCFLFEIPTGVVADLYSRRRSVLIGMFLYGLGFLMEGALPWFAPVLLAQVVWGCGDTFITGALEAWIASEEEDKPIDKVFLRGSQMGQIGGVLGVVLGTLLGNINLQMPVILGGSLCLLLGLVMVRIMPETNFSPAIEERQGLLKDFVCLFKLNLGFVKGAPVLLALLAITLCGGLASEGFDRLSTAHFLDDTVIPVIGPLNSVTWFGVISLIGNGLGILASQLLIARMEKKGTVSRTSVVMSTSAGYILCLVLFAVGRSFWFMLLVFLLAGLMRTIKEPVLAAWMNDHVDEKMRATVFSTSGQLDSFGQIIGGPIVGLVAQQVSIPWGLVCTAFLLLPALFLVPVAGKKRD ->ARGMiner~~~BcI~~~WP_023857755.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_023857755.1~~~cephalosporin;penam~~~unknown MKLWFSTLKLKKAAAVLLFSCVALAGCANNQTNASQPAEKNEKTEMKDDFAKLEEQFDAKLGIFALDTGTNRTVAYRPDERFAFASTIKALTVGVLLQQKSIEDLNQRITYTRDDLVNYNPITEKHVDTGMTLKELADASLRYSDNAAQNLILKQIGGPESLKKELRKIGDEVTNPERFEPELNEVNPGETQDTSTARALVTSLRAFALEDKLPSEKRELLIDWMKRNTTGDALIRAGVPDGWEVADKTGAASYGTRNDIAIIWPPKGDPVVLAVLSSRDKKDAKYDDKLIAEATKVVMKALNMNGK ->ARGMiner~~~PDC-5~~~WP_023108311.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-5~~~WP_023108311.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLLATTPAIAGEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRQVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~LEN-18~~~WP_022065596.1~~~penam;penem unknown +>ARGMiner~~~LEN-18~~~WP_022065596.1~~~penam;penem~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVLYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~mphA~~~WP_021263608.1~~~macrolide unknown +>ARGMiner~~~mphA~~~WP_021263608.1~~~macrolide~~~unknown MLHDTDRILKLAREAGLELAPGSLRLNEMGLDFQVAFGRDGDAVEWVLRMPRRTDVACAAVKEAKILDYFRSRLPVAVPDWKVFSDDLIAYPSLPGNPGLTFDASTYETTWHFDQNSPVYVETLGAALAQLHGLDTDDAISAGLSNLSIDAVRENWTRDLETVEKSFEVPAARLALWRAWLADLSFWPTHAASVHGDLYVGHVMVKSDGTVCGIIDWSEAHIGDPGIDLAGHLKVFGEASLRDLLGHYEAAGGQTWPRIVEHCKMLQSAEGIRYAMFALKTGSAEHLEGAQGLLSAPGI ->ARGMiner~~~floR~~~WP_021038045.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_021038045.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSILAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~FosA4~~~WP_015741374.1~~~fosfomycin unknown +>ARGMiner~~~FosA4~~~WP_015741374.1~~~fosfomycin~~~unknown MLSGLNHLTLAVRDVARSLAFYQSLPGLRLHARWDGGAYLSCGSLWLCLSLDENAGSPAADYTHYAFTVSEADFPQVVETLTAAGVTPWKTNRSEGDSWYFLDPDGHQLELHVGSLATRLAACRAAPYKGMRFYD ->ARGMiner~~~tetX~~~WP_015060917.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~WP_015060917.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKIRSFVTDTQVEETGTFNIQADILQPEINCPGFFQLCNGNRLMAGHQGILLFANPNNNGALYLGISFKTPDEWKNKIPLDFQDRNSVADFLLKRFSKWSEVYKQLIRSVSTFQCLPTRKFPLNNDWKSNRPLPITMIGDAAHLMSPFAGQGVNTGLLDALILSENLTNGEFTSIENAIENYEQQMFVYAKDTQDESTENETEMFSPNFSFQKLLNL ->ARGMiner~~~y56 beta-lactamase~~~WP_015060700.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_015060700.1~~~cephalosporin;penam~~~unknown MWQWLGKVRWLLVVIALLGGKVMAASELDSALARLEQQHHGRLGLAYIDSGSGESYSYRGEERFAFCSTFKAVLAGAVLQRSVSQPGLLDKRVHYAATDLLAYAPITKTHLDKGMRIGELAAAAVQYSDNTAANLLLQEIGGVQALNRFVQGLGDPAFRLDRIEPHLNSAEPGDVRDTTTPLAMAHTLQAMTLGKGLPQAQQAQLVSWLKGNTTGAQRIQAGVPAGWQVGDKTGTGGYGTTNDIAILWPEQGAPKVLAIYFTQPAADAEANRAILAEATRLVLQDKSINKIK ->ARGMiner~~~AAC(3)-IIc~~~WP_015060297.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIc~~~WP_015060297.1~~~aminoglycoside~~~unknown MHTRKAITEAIRKLGVQTGDLLMVHASLKAIGPVEGGAETVVAALRSAVGPTGTVMGYASWDRSPYEETLNGARLDDKARRTWPPFDPATAGTYRGFGLLNQFLVQAPGARRSAHPDASMVAVGPLAETLTEPHELGHALGEGSPVERFVRLGGKVLLLGAPLNSVTALHYAEAVADIPNKRWVTYEMPMLGRNGEVAWKTASEYDSNGILDCFAIEGKPDAVETIANAYVKLGRHREGVVGFAQCYLFDAQDIVTFGVTYLEKHFGATPIVPAHEAAQRSCEPSG ->ARGMiner~~~EreA2~~~WP_015059985.1~~~macrolide unknown +>ARGMiner~~~EreA2~~~WP_015059985.1~~~macrolide~~~unknown MTAMSAKAKKMTWRTTRTLLQPQKLDFNEFEILTPLVEGARIVGLGEGAHFVAEFSLARASLIRYLVERHDFNAIGLECGAIQASRLSEYLNSTAGAHELERFSDPLTFSLYGSVLIWIKSYLRESGRKLQLVGIDLPNTLNPRDDLAQLAEIIKVIDHLIKPHVDELTHLLASIDGQSAVISSAKWGEMETAQQEKAISGVTRLKLRLASLAPVLKKHVNSDLFRKASDRIESIEYTLETLRIMRTFFDGTSLEGDTSVRDSYMAGVVDRMVRANPDVKIILLAHNNHLQKTPVSFSGELTAVPMGQHLAEREEEDYRAIAFTHLGSTVPEMQFPSPGSPLGFSVVTTPADAIREDSMEQYIIDACGTEDSCLTLTDAPMKAKRMRSQSASVETNLSEAFDAIVCVPSAGKDGLVDL ->ARGMiner~~~ErmG~~~WP_014387027.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmG~~~WP_014387027.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKVNIKDSQNFITSKYHIEKIMNCISLDEKDNIFEIGAGKGHFTAELVKRCNFVTAIEIDSKLCEVTRNKLLNYPNYQIVNDDILKFTFPSHNPYKIFGSIPYNISTNIIRKIVFESSATISYLIVEYGFAKRLLDTNRSLALLLMAEVDISILAKIPRYYFHPKPKVDSALIVLKRKPAKMAFKERKKYETFVMKWVNKEYEKLFTKNQFNKALKHARIYDINNISFEQFVSLFNSYKIFNG ->ARGMiner~~~floR~~~WP_014386424.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_014386424.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYASRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFFGWRAIFVTLAALALPALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~AAC(6')-Iz~~~WP_013263788.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~WP_013263788.1~~~aminoglycoside~~~unknown MDSSPLVRPVETTDSASWLSMRCELWPDGTCQEHQSEIAEFLSGKVARPAAVLIAVAPDGEALGFAELSIRPYAEECYSGNVAFLEGWYVVPSARRQGVGVALVKAAEHWARGRGCTEFASDTQLTNSASTSAHLAAGFTEVAQVRCFRKPL ->ARGMiner~~~AAC(6')-Ib4~~~WP_013250882.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib4~~~WP_013250882.1~~~aminoglycoside~~~unknown MKTFNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~vgaA~~~WP_012779620.1~~~streptogramin;pleuromutilin unknown +>ARGMiner~~~vgaA~~~WP_012779620.1~~~streptogramin;pleuromutilin~~~unknown MVLLEAKNIKHYIKDRLLLKIDELKIEHNDRIGLVGVNGSGKTTLLNILAEKFIPDEGTITPYAQSEILPQLKKTDAAKSGGEITQEYIQQTLNSAPALLLADEPTTNLDTDHIEWVEKKLKRWQGAFVLVSHDREFLDALCSVIWELEDGEITEYKGNYSDYLKQKEVEKQQQQSTYEKYEKEKKQLEKAIQLKEEKAQRATKKPKNLSASERRIKGSKPYFAKKQKKLHKTAGALETRVEKLEKVEKIKDQPPIKMDLPNERNLKNRVIIRVEDLEGLVPKQLLWKKATFQIYGGDKLAIIGPNGSGKTTLVKKIITQENGVTISPSVKIGYFSQNLMTLDVNKSILENVQNSSKQEESLIRTVLARMHFFDEDVYKPVHVLSGGERVKVALTKLLVSDINTLVLDEPTNYLDTEALKALENLLNEYTGSIIFVSHDRTFTENIATRILEIRNKKIEIFDGTYQQFKNRSTKKERDFQQEEQLLLDTKISEVLSRLSMEPSQALEKEFQNLLKEKSKLKE ->ARGMiner~~~dfrK~~~WP_012779617.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrK~~~WP_012779617.1~~~diaminopyrimidine~~~unknown MKVSLIAAMDKNRVIGKENDIPWRIPEDWEYVKNTTKGYPIILGRKNLESIGRALPGRRNIILTRDKGFSFNGCEIVHSIEDVFELCNSEEEIFIFGGEQIYNLFLPYVEKMYITKIHYEFEGDTFFPEVNYEEWNEVSVTQGITNEKNPYTYYFHIYERKAS ->ARGMiner~~~QnrB20~~~WP_012695489.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB20~~~WP_012695489.1~~~fluoroquinolone~~~unknown MALALVGEKINRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRRVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~AAC(3)-IIb~~~WP_012695485.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIb~~~WP_012695485.1~~~aminoglycoside~~~unknown MNTRETIAADLSRLGVQSGALVMVHASLKAIGPVDGGAASIVSALLDAVGPTGSLMGYASWDRSPYEETLNGARMDAELRHRWPPFDPAISGTYRGFGLLNRFLLQTPGARRSAHPDASMVAVGPLAGTLTRPHELGQAFGPGSPLERFVERAGKVLLLGAPLDSVTVLHYAEAIARIPNKRRVSYEMPIRSEDGGVRWKRAEDFDSNGILDCFAIEGEPDAVETITNAYVELRRHREGLVGQAHCYLFEARDIVSFGVDYLQRHFGSP ->ARGMiner~~~APH(3')-Ia~~~WP_012695470.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~WP_012695470.1~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNLDVDLYGYRWARDNVGQSGATIYRLYGKPDAPELFLKHGKGSVANDVTDEMVRLNWLTAFMPLPTIKHFIRTPDDAWLLTTAIPGKTAFQVLEEYPDSGENIVDALAAFLRRLHSIPVCNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRLFQKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~AAC(6')-Ib8~~~WP_012695458.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib8~~~WP_012695458.1~~~aminoglycoside~~~unknown MTNSTDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~vanXB~~~WP_012579102.1~~~glycopeptide unknown +>ARGMiner~~~vanXB~~~WP_012579102.1~~~glycopeptide~~~unknown MENGFLFLDEMLHGVRWDAKYATWDNFTGKPVDGYEVNRIIGTKAVAFALREAQIHAAALGYGLLLWDGYRPRTAVDCFLRWAAQPEDKLTKEKFYPNIERAELITKGYVASQSSHSRGSAIDLTLYHLDTGELVSMGSNFDFMDERSHHTAKGIGDAEAQNRRCLRKIMESSGFQSYRFEWWHYKLIDEPYPDTYFNFPVS ->ARGMiner~~~vanSB~~~WP_012579101.1~~~glycopeptide unknown +>ARGMiner~~~vanSB~~~WP_012579101.1~~~glycopeptide~~~unknown MERKGIFIKVFSYTIIVLLLLVGVTATLLAQQFVSYFRVMELQQTVKSYQPLVELIQNSDRLDIQEVAGLFHYNNQSFEFYIEDKEGSVLYATPNANTSNSFRPDFLYVVHRDDNISIVAQNKAGVGLLYQGLTIRGIVMIAIMVVFSLLCAYIFARQMTTPIKALADSANKMANLKDVPPPLERKDELGALAHDMHSMYVRLKETIARLEDEIAREHELEETQRYFFAAASHELKTPIAATSVLLEGMLENIGDYKDHSKYLRECIKMMDRQGKIISEILELVSLNDGRIVPIAEPLDIGRTVAELLPDFQTLAEANNQRFVTDIPAGQIVLSDPRLLQKALSNVILNAVQNTPQGGEVRIWSEPGAEKCRLFVLNMGVHIDDTALPRLFTPFYRIDQARSRKSGRSGLGLAIVQKTLDAMSLQYALENTSDGVLFWLDLPLTSTL ->ARGMiner~~~vgaALC~~~WP_012579073.1~~~streptogramin;pleuromutilin unknown +>ARGMiner~~~vgaALC~~~WP_012579073.1~~~streptogramin;pleuromutilin~~~unknown MKILLEGLHIKHYVQDRLLLNINRLKIYQNDRIGLIGKNGSGKTTLLHILYKKIVPEEGIVKQFSHCELIPQLKLIESTKSGGEVTRNYIRQALDKNPELLLADEPTTNLDNNYIEKLEQDLKNWHGAFIIVSHDRAFLDNLCTTIWEIDEGRITEYKGNYSNYVEQKELERHREELEYEKYEKEKKRLEKAINIKEQKAQRATKKPKNLSSSEGKIKGTKPYFASKQKKLRKTVKSLETRLEKLERVEKRNELPPLKMDLVNLESVKNRTIIRGEDVSGTIEGRVLWKAKSFSIRGGDKMAIIGSNGTGKTTFIKKIVHGNPGISLSPSVKIGYFSQKIDTLELDKSILENVQSSSQQNETLIRTILARMHFFRDDVYKPISVLSGGERVKVALTKVFLSEVNTLVLDEPTNFLDMEAIEAFESLLKEYNGSIIFVSHDRKFIEKVATRIMTIDNKEIKIFDGTYEQFKQAEKPTRNIKEDKKLLLETKITEVLSRLSIEPSEELEQEFQNLINEKRNLDK ->ARGMiner~~~floR~~~WP_012561099.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_012561099.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTYFVFFSTAPRVLLGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~catB2~~~WP_012477387.1~~~phenicol unknown +>ARGMiner~~~catB2~~~WP_012477387.1~~~phenicol~~~unknown MTNYFESPFKGKLLTEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLLPDRDDVDQLIIGSFCSIGSGAAFIMAGNQGHRYDWVSSFPFFYMNEEPAFAKSVDAFQRAGDTVIGSDVWIGSEAMIMPGIKIGHGAVIGSRALVAKDVEPYTIVGGNPAKSIRKRFSEEEISMLLDMAWWDWPLEQIKEAMPFLCSSGIASLYRRWQGTSA ->ARGMiner~~~aadA13~~~WP_012414180.1~~~aminoglycoside unknown +>ARGMiner~~~aadA13~~~WP_012414180.1~~~aminoglycoside~~~unknown MRDSVTAEISTQLSKVLSVIEHHLEPTLLAVHLYGSAVDGGLKPYSDIDLLVTVTARLDDTTRRALFNDLLEVSAFPGESEILRAIEVTIVVHEDIRPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLIKALNETLKLWNSQPDWAGDERNVVLTLSRIWYSAATGKIAPKDVAADWAMEHLPAQHKSVLLEARQAYLGQEEDRSVLRADKLEEFIHFMKSEITKVLGNDV ->ARGMiner~~~Streptococcus suis chloramphenicol acetyltransferase~~~WP_012406403.1~~~phenicol unknown +>ARGMiner~~~Streptococcus suis chloramphenicol acetyltransferase~~~WP_012406403.1~~~phenicol~~~unknown MNFNKIDLDNWKRKEIFNHYLNQQTTFSITTEIDISVLYRNIKQEGYKFYRAFIFLVTRVINSNTAFRTGYNSDGELGYWDKLEPLYTIFDGVSKTFSGIWTPVKNDFKEFYDLYLSDVEKYNGSGKLFPKTPIPENAFSLSIIPWTSFTGFNLNINNNSNYLLPIITAGKFINKGNSIYLPLSLQVHHSVCDGYHAGLFMNSIQELSDRPNDWLL ->ARGMiner~~~QepA2~~~WP_012372821.1~~~fluoroquinolone unknown +>ARGMiner~~~QepA2~~~WP_012372821.1~~~fluoroquinolone~~~unknown MSATLHDTAADRRKATRREWIGLAVVALPCLVYAMDLTVLNLALPVLSRELQPSSAQLLWILDIYGFFVAGFLITMGTLGDRIGRRRLLLIGAAFFAFASVLAALADTAALLIAARALLGLAGATIAPSTMALVRNMFHDPRQRQFAIGVWIAAFSLGSAIGPLVGGVLLEFFHWGAVFWLNVPVMLLTLALGPRFLPEYRDPDAGHLDLASVLLSLAAVLLTIYGLKQLAEHGAGLASMAALLAGLAVGALFLRRQGHIAYPLLDLRLFAHAPFRAALAAYALAALAMFGVYIFMTQYLQLVLGLSPLQAGLATLPWSLCFVIGSLLSPQLAARWPAARILVVGLSAAAFGFAVLGLGQGLWWLVPATIVMGLGLAPVFTIGNEIIITSAPSERAGAASALSETVSEFSGALGIALFGSVGLVVYRQALTSAALPGLPADALQAAGASLGGAVHLADTLPAWQGAALLAAARAGFTDALQATAWAGAVLVLVAAGLVARLLRKRPALASG ->ARGMiner~~~sul1~~~WP_012312004.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_012312004.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKLALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~tet(L)~~~WP_012218461.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~WP_012218461.1~~~tetracycline~~~unknown MNTSYSQSNLRHNQILIWLCILSFFSVLNEMVLNVSLPDIANDFNKPPASTNWVNTAFMLTFSIGTAVYGKLSDQLGIKRLLLFGIIINCFGSVIGFVGHSFFSLLIMARFIQGAGAAAFPALVMVVVARYIPKENRGKAFGLIGSIVAMGEGVGPAIVGMIAHYIHWSYLLLIPMITIITVPFLMKLLKKEVRIKGHFDIKGIILMSVGIVFFMLFTTSYSISFLIVSVLSFLIFVKHIRKVTDPFVDPGLGKNILFMIGVLCGGIIFGTVAGFVSMVPYMMKDVHQLSTAEIGSVIIFPGTMSVIIFGYIGGILVDRRGPLYVLNIGVTFLSVSFLTAFFLLETTSWFMTIIIVFVLGGLSFTKTVIPTIVSSSLKQQEAGAGMSLLNFTSFLSEGTGIAIVGGLLSIPLLDQRLLPMGVDQSTYLYSNLLLLFSGIIVISGLVTVNVYKHSQRDF ->ARGMiner~~~tet(H)~~~WP_012209823.1~~~tetracycline unknown +>ARGMiner~~~tet(H)~~~WP_012209823.1~~~tetracycline~~~unknown MNKSIIIILLITVLDAIGIGLIMPVLPTLLNEFVSENSLATHYGVLLALYATMQVIFAPILGRLSDKYGRKPILLFSLLGAALDYLLMAFSTTLWMLYIGRIIAGITGATGAVCASAMSDVTPAKNRTRYFGFLGGAFGVGLIIGPMLGGLLGDISAHMPFIFAAISHSILLILSLLFFRETQKREALVANRTPENQTASNTVTVFFKKSLYFWLATYFIIQLIGQIPATIWVLFTQYRFDWNTTSIGMSLAVLGVLHIFFQAIVAGKLAQKWGEKTTIMISMSIDMMGCLLLAWIGHVWVILPALICLAAGGMGQPALQGYLSKSVDDNAQGKLQGTLVSLTNITGIIGPLLFAFIYSYSVAYWDGLLWLMGAILYAMLLITAYFHQRKSD ->ARGMiner~~~catII~~~WP_011751353.1~~~phenicol unknown +>ARGMiner~~~catII~~~WP_011751353.1~~~phenicol~~~unknown MNFTRIDLNTWNRREHFALYRQQIKCGFSLTTKLDITALRTALAETDYKFYPVMIYLISRVVNQFPEFRMAMKDNALIYWDQTDPVFTVFHKETETFSALFCRYCPDISEFMAGYNAVMAEYQHNTALFPQGALPENHLNISSLPWVSFDGFNLNITGNDDYFAPVFTMAKFQQEDNRVLLPVSVQVHHAVCDGFHAARFINTLQMMCDNILK ->ARGMiner~~~tet(C)~~~WP_011645018.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_011645018.1~~~tetracycline~~~unknown MKPNIPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALVQFACAPVLGALSDRFGRRPILLVSLAGATVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~lnuA~~~WP_011645015.1~~~lincosamide unknown +>ARGMiner~~~lnuA~~~WP_011645015.1~~~lincosamide~~~unknown MKNNNVTEKELFYILDLFEHMKVTYWLDGGWGVDVLTGKPQREHRDIDIDFDAQHTQKVIQKLEDIGYKIEVDWMPSRMELKHEEYGYLDIHPINLNDDGSITQANPEGGNYVFQNDWFSETNYKDRKIPCISKEAQLLFHSGYDLTETDHFDIKNLKSIT ->ARGMiner~~~lnuA~~~WP_011645013.1~~~lincosamide unknown +>ARGMiner~~~lnuA~~~WP_011645013.1~~~lincosamide~~~unknown MKNNNVTEKELFYILDLFEHMKVTYWLDGGWGVDVLTGKQQREHRDIDIDFDAQHTQKVIQKLEDIGYKIEVDWMPSRMELKHEEYGYLDIHPINLNDDGSITQANPEGGNYVFQNDWFSETNYKGRKIPCISKEAQLLFHSGYDLTEKDHFDIKNLKSIT ->ARGMiner~~~lnuA~~~WP_011645010.1~~~lincosamide unknown +>ARGMiner~~~lnuA~~~WP_011645010.1~~~lincosamide~~~unknown MKNNNVTEKELFYILDLFEHMKVTYWLDGGWGVDVLTGKQQREHRDIDIDFDAQHTQKVIQKLEDIGYKIEVDWMPSRMELKHEEYGYLDIHPINLNDDGSITQANPEGGNYVFQNDWFSETNYKDRKIPCISKEAQLLFHSGYDLTETDHFDIKNLKSIT ->ARGMiner~~~aadA8~~~WP_011600630.1~~~aminoglycoside unknown +>ARGMiner~~~aadA8~~~WP_011600630.1~~~aminoglycoside~~~unknown MREAVTAEISTQLSEVFSVIERHLEPTLLAVHLYGSAVDGGLKPYSDIDLLVTVTARLNEATRQALLNDLLEASAFPGESETLRAIEVTFVVHDDIIPWRYPAKRELQFGEWQRNDILAGISEPAAIDVDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLKLWNSQPDWAGDERNVVLTLSRIWYTEVTGKIVPKDVAADWAMERLPAQHQPVLLEARQAYLGQKEDRLASRADQLEEFVHFVKGEITKVVGK ->ARGMiner~~~floR~~~WP_011453054.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_011453054.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDMYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSILAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGIGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~sul2~~~WP_011453052.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_011453052.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQARSARGHPLATFMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~lnuA~~~WP_011428879.1~~~lincosamide unknown +>ARGMiner~~~lnuA~~~WP_011428879.1~~~lincosamide~~~unknown MKIDNVTEKDLFYILDLFEKMEVTHWLDGGWGVDVLTGKQQREHRDIDIDFDAQHTQKVIKKLEDIGYKIEVDWMPSRMELKHKEYGYLDIHPINLNDDGSITQANPEGGNYIFQNEWFSETNYKGRKIPCISKEAQLLFHSGYELTEKDHFDIKNLKSIT ->ARGMiner~~~ErmC~~~WP_011382144.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_011382144.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKKNIKDSQNFITSKRNVDKIMTNISLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKVVFESIADESYLIVEYEFAKRLLNTKRSLALLLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISYKDKQKYNYFVMKWVNKEYKKIFTKNQFNKSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~aadA5~~~WP_011342946.1~~~aminoglycoside unknown +>ARGMiner~~~aadA5~~~WP_011342946.1~~~aminoglycoside~~~unknown MGEFFPAQVFKQLSHTRAVIERHLAATLDTIHLFGSAIDGGLKPDSDIDLLVTVSAAPNDSLRQALMLDLLKVSSPPGDGGTWRPLELTVVARSEVVPWRYPARRELQFGEWLRHDILSGTFEPAVLDHDLAILLTKARQHSLALLGPSAATFFEPVPKEHFSKALFDTIAQWNAESDWKGDERNVVLALARIWYSASTGLIAPKDVAAAWVSERLPAEHRPLICKARAAYLGGEDDDLAMRVEETAAFVRYAKATIERILR ->ARGMiner~~~qacH~~~WP_011342944.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_011342944.1~~~fluoroquinolone~~~unknown MSPYIPLSIAIVAEVIATTAMKSSDNFTRLVPSVIVVVGYVVAFYFLSITFKFIPTGIAYAIWAGAGIVLITLMGWIVHKQTLDLAAMLGMGLIVSGVLVINIFSKSGAH ->ARGMiner~~~sul2~~~WP_011270126.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_011270126.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAITQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~floR~~~WP_011266118.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_011266118.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAIRAMLALLNAGFRWHETRPLDQVKTRRSVLAIFASPAFWVYTVGFSAGMGTYFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~aadA2~~~WP_011222095.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~WP_011222095.1~~~aminoglycoside~~~unknown MRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKENHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~APH(3')-Ib~~~WP_011205810.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ib~~~WP_011205810.1~~~aminoglycoside~~~unknown MNDIDREEPCAAAAVPESMAAHVMGYKWARDKVGQSGCAVYRLHSKSGGSDLFLKHGKDAFADDVTDEMVRLRWLAGHISVPSVVSFVRTPNQAWLLTTAIHGKTAYQVLKSDFGARLVVVDALAAFMRRLHAIPVSECSFNSDHACRLARARERIEAGVVDVDDFDKEREGWTAEQVWEAMHRLLPLAPDPVVTHGDFSLDNLLIVEGKVVGCIDVGRAGIADRYQDLAVLWNCLEEFEPSLQERLVAQYGIADPDRRKLQFHLLLDELF ->ARGMiner~~~APH(6)-Id~~~WP_011161217.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_011161217.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLYGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~catI~~~WP_011152976.1~~~phenicol unknown +>ARGMiner~~~catI~~~WP_011152976.1~~~phenicol~~~unknown MEKKITGYTTVDISQWHRKEHFEAFQSVAQCTYNQTVQLDITAFLKTVKKNKHKFYPAFIHILARLMNAHPEFRMAMKDGELVIWDSIHPCYTVFHEQTETFSSLWSEYHDDFRQFLHIYSQDVACYGENLAYFPKGFIENMFFVSANPWVSFTSFDLNVANMDNFFAPVFTMGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRMLNELQQYCNEWQGGA ->ARGMiner~~~ErmX~~~WP_011152925.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmX~~~WP_011152925.1~~~macrolide;lincosamide;streptogramin~~~unknown MSAYGHGRHEHGQNFLTDHKIINSIIDLVKQTSGPIIEIGPGSGALTHPMAYLGRAITAVEVDAKLAAKLTQETSSAAVEVVHDDFLNFRLPATPCVIVGNIPFHLTTAILRKLLHAPAWTDAVLLMQWEVARRRAGVGASTMMTAQWSPWFTFHLGSRVPRSAFRPQPNVDGGILVIRRVGDPKIPIEQRKAFQAMVHTVFTARGRGIREILRRAGLFSSRSETQSWLHSRGIDPATLPPRLHTSDWIDLFQVTGSSSPHHRPISPSGSSQRPPQRKNRSRRR ->ARGMiner~~~aadA22~~~WP_011113049.1~~~aminoglycoside unknown +>ARGMiner~~~aadA22~~~WP_011113049.1~~~aminoglycoside~~~unknown MREAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~ErmT~~~WP_011100845.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmT~~~WP_011100845.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKDSQNFITSKHHINEILRNVHLNTNDNIIEIGSGKGHFTFELAKRCNYVTAIEIDPKLCRITKNKLIEYENFQVINKDILQFKFPKNKSYKIFGSIPYNISTDIIRKIVFESTATESYLIVEYGFAKRLLNTNRSLALFLMTEVDISILSKIPREYFHPKPKVNSVLIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTITYEQVLSIFNSYLLFNGRK ->ARGMiner~~~tet(C)~~~WP_011076165.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_011076165.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAAAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRGWMAFPIMILLASGGIGMPALQAMLSRQVDDDHQGQLQGSLAALTSLTSIIGPLIVTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~sul2~~~WP_011011113.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_011011113.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFSRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~tet(C)~~~WP_010981361.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_010981361.1~~~tetracycline~~~unknown MKSNNALFVILGTVTLDAVGIGLVMPILPGLLRDIVHSDSIASHYGVLLALYALMQFICAPVLGALSDRFGRRPVLLASLLGATIDYAVMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLLQESHKGERRPMPLKAFNPVSSFRWARGMTIVTALMTVFFIMQLVGQVPAALWVIFGEDRFRWNATMIGLSLAAFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRGWMAFPIMILLASGGIGMPALQAMLSRQVDDDHQGQLQGSLAALTSLTAIIGPLIVTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~Staphylococcus intermedius chloramphenicol acetyltransferase~~~WP_010891112.1~~~phenicol unknown +>ARGMiner~~~Staphylococcus intermedius chloramphenicol acetyltransferase~~~WP_010891112.1~~~phenicol~~~unknown MTFNIIKLENWDRKEYFEHYFNQQTTYSITKEIDITLFKDMIKKKGYEIYPSLIYAIMEVVNKNKVFRTGINSENKLGYWDKLNPLYTVFNKQTEKFTNIWTESDNNFTSFYNNYKNDLFEYKDKEEMFPKKAIPENTIPISMIPWIDFSSFNLNIGNNSSFLLPIITIGKFYSENNKIYIPVALQLHHAVCDGYHASLFINEFQDIINKVDDWI ->ARGMiner~~~APH(3')-Ia~~~WP_010891090.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~WP_010891090.1~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNMDADLYGYKWARDNVGQSGATIDRLYGKPDAPELFLKHGKGSVANDVTDEMVRLNWMTEFMPLPTIKHFIRTPDDAWLLTTAIPGKTAFQVLEEYPDSGENIVDALAVFLRRLHSIPVCNCPFNSDRVFRLVQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRLFQKYGIDNPDMNKLQFTLMLDEFF ->ARGMiner~~~APH(3')-Ia~~~WP_010891085.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~WP_010891085.1~~~aminoglycoside~~~unknown MSEWRRQQVCAPIPMPASMATSLEGYQWAPITIGESGSNVYRLYGKPKAPDLFLKRGKYDVADDVTDEMVRLRWLAERIPVPTVVNFVRTLDEAWLLTTAMPGRTVYEELEANPDACLAIADALADFLRRLHEIPTIECPFNSNHIYRLALARKRIEAGMVEVDDFDDERKGWAAEQVWDAMHNLLPFTPDPVTTHGDSALENLFVREGKVTGCIDVGRSGVADRYQDIAILWNRLGEFGSLPQERFVEQYGIADVDRRKLSFHLMLDELF ->ARGMiner~~~APH(3'')-Ib~~~WP_010890156.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3'')-Ib~~~WP_010890156.1~~~aminoglycoside~~~unknown MNRTNIFFGESHSDWLPVRGGESGDFVFRRGDGHAFAKIAPASRRGELAGERDRLIWLKGRGVACPEVINWQEEQEGACLVITAIPGVPAADLSGADLLKAWPSMGQQLGAVHSLSVDQCPFERRLSRMFGRAVDVVSRNAVNPDFLPDEDKSTPLHDLLARVERELPVRLDQERTDMVVCHGDPCMPNFMVDPKTLQCTGLIDLGRLGTADRYADLALMIANAEENWAAPDEAERAFAVLFNVLGIEAPDRERLAFYLRLDPLTWG ->ARGMiner~~~qacH~~~WP_010890145.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_010890145.1~~~fluoroquinolone~~~unknown MKGWLFLVIAIVGEVIATSALKSSEGFTKLAPSAVVIIGYGIAFYFLSLVLKSIPVGVAYAVWSGLGVVIITAIAWLLHGQKLDAWGFVGMGLIVSGVVVLNLLSKASAH ->ARGMiner~~~LRA-1~~~WP_010798607.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~WP_010798607.1~~~cephalosporin;penam~~~unknown MNVILNRRTFLLASAVVSASYSLGTLAGANRDDASFQDRLAKLEQQLNGRLGVCAIDTANGAQLGYRANERFAMNSTFKVMLASAFLARSQDEPGLLEERLTYTRADLVTYSPVTEKHVSTGMTVAELCAAGIQYSDNTAANVLMKKLGGPEAVTAFARSIGDTHFRLDRWETELNSAIPGDPRDTSTPQAMAMSLQRLALGDMLAADKQHQLQAWLKGNTTGGKRIRAGVPAGWQVGDKTGTGDYGSANDVAILWPPRRAPVVLALYSALENQQAEARNDVLADAARIVAEWVTG ->ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~WP_010708502.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ie-APH(2'')-Ia~~~WP_010708502.1~~~aminoglycoside~~~unknown MLEKKRVSFRPMNEDDLVLMLKWLTDDRVLEFYDGRDKKHTQKTIREHYTEQWADEIYRVIIEYDTIPIGYAQIYRIQGELFDEYNYHETEEKIYAMDQFIGEPEYWNMGIGAEYCRVVCQYLRTEMDADAVILDPRKNNLRAVRAYQKAGFKIIKELPEHELHEGKKEDCVLMEWRV ->ARGMiner~~~catB8~~~WP_010635894.1~~~phenicol unknown +>ARGMiner~~~catB8~~~WP_010635894.1~~~phenicol~~~unknown MKNYFNSPFKGELLSEQVKNPNIRVGRYSYYSGYYHGHSFDECARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRHDWASSFPFFYMQEEPAFSRALDAFQRAGDTVIGNDVWIGSEAMIMPGIKIGDGAVIGSRSLVTKDVEPYAIIGGNPAKQIKKRFSDEEISLLMEMEWWNWPLDKIKTAMPLLCSSNIFGLHKYWREFAV ->ARGMiner~~~tetW~~~WP_009305318.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~WP_009305318.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISREKLAREEQQRVQDASLFPVYHGSAKNGLGIQPLMDAVTGLFQPIGEQGGAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYQGEIVILPSDSVRLNDVLGDQTRLPRKRWREDPLPMLRTAIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPSVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLSLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFILYAPQEYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGRSVCLTELKGYQAAVGQPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~tetX~~~WP_008651082.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~WP_008651082.1~~~glycylcycline;tetracycline~~~unknown MTMRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~ErmC~~~WP_007410443.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_007410443.1~~~macrolide;lincosamide;streptogramin~~~unknown MNEKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGHFTLELVKRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIYGNIPYNISTDIIRKIVFDSIANEIYLIVEYGFAKRLLNTKRSLALLLMAEVDISILSMVPREYFHPKPKVNSSLIRLSRKKSRISHKDKQKYNYFVMKWVNKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~tetA(P)~~~WP_006440994.1~~~tetracycline unknown +>ARGMiner~~~tetA(P)~~~WP_006440994.1~~~tetracycline~~~unknown MVNKLSAYKTYLLFSAITAMCFSLVATVMIVYHIETVHLNPLQLILVGTTLEVACFIFEIPTGIVADVYSRKLSIVIGVVLTGVGFILEGSISSFVFVLVAQIVWGLGSTFISGSVEAWIAEEEKDKDLDKIYIKGAQAGQIGSVIGIVLSTVIANLSVRLPIIVSGVLFIILALFLWLYMPENNFKSSAPEDLNTFKKMGYTFKSGLKFIKNKPIIIILLSVTLFYGLSSEGYDRLSNMHFLQDTMLPKLGNLKPVTWFGIFGIAGMILSAIVMHFMEKKLKDDDKNKNGKLLLCINIFYISFMFIFAITKRFNLMLIAYLATSTFRTINEPIFSAWLNGHIDDKARSTVLSINGQINSLGQILGGPIIGIIATNISVSMGIACTSLLVTPVLVLYIVAMIMDKKVVDRVGGIDYEENN ->ARGMiner~~~catI~~~WP_006286297.1~~~phenicol unknown +>ARGMiner~~~catI~~~WP_006286297.1~~~phenicol~~~unknown MEKKITGYTTVDISQWHRKEHFEAFQSVAQCTYNQTVQLDITAFLKTVKKNKHKFYPAFIHILARLMNAHPELRMAMKDGELVIWDSVHPCYTVFHEQTETFSSLWSEYHDDFRQFLHIYSQDVACYGENLAYFPKGFIENMFFVSANPWVSFTSFDLNVANMDNFFAPVFTMGKYYTQGDKVLMPLAIQVHHAVCDGFHVGRMLNELQQYCDEWQGGA ->ARGMiner~~~ACT-18~~~WP_006178946.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-18~~~WP_006178946.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKKLVFCALLLGVSCSALASSLSEKELAKVVKRTVTPLMKAQSIPGMAVAVIYQGQPHYFTFGKADVAANTPVTPQTLFELGSVSKTFTGVLGGDAIARGEISLNDPVTRYWPELTGKQWQGIRLLDLATYTAGGLPLQVPDNVTDPAALLNFYQTWQPQWKPGTTRLYANSSIGLFGALAVKPSGMGFEQAMTTRVLKPLKLDHTWIQVPKSEEQHYAWGYRDGKAVHVSPGMLDGEAYGVKSNAEDMASWVMVNMAPERVQATSLKQGIALAQSRYWRVGSMYQGLGWEMLNWPVDGKTIIDGSDNKIALAALPAREVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYQILNALQ ->ARGMiner~~~catIII~~~WP_005826111.1~~~phenicol unknown +>ARGMiner~~~catIII~~~WP_005826111.1~~~phenicol~~~unknown MNYTKFDVKNWVRREHFEFYRHRLPCGFSLTSKIDITTLKKSLDDSAYKFYPVMIYLIAQAVNQFDELRMAIKDDELIVWDSVDPQFTVFHQETETFSALSCPYSSDIDQFMVNYLSVMERYKSDTKLFPQGVTPENHLNISALPWVNFDSFNLNVANFTDYFAPIITMAKYQQEGDRLLLPLSVQVHHAVCDGFHVARFISRLQELCNSKLK ->ARGMiner~~~vanRC~~~WP_005228837.1~~~glycopeptide unknown +>ARGMiner~~~vanRC~~~WP_005228837.1~~~glycopeptide~~~unknown MAEKIIVVDDEKEIAELVTTFLRNEGFQVEPFYDGTSALAYLEKETVDVAVLDVMLPDIDGFQLLQQIRKTHFFPVLMLTAKGEDLDKITGLSLGADDYVTKPFNPLEVVARVKTQLRRYQRYNQSANPQKIEEYEKEGLLLKINSHQCFLYGKEVFLTPIEFKILLYLFEHQGSVVASETLFEAVWKEKYLDNNNTVMAHIARLREKLNEQPRKPKLIKTVWGVGYIIEK ->ARGMiner~~~lnuA~~~WP_004308783.1~~~lincosamide unknown +>ARGMiner~~~lnuA~~~WP_004308783.1~~~lincosamide~~~unknown MTKKEHTTITELFQVLDLLESLDMQFWLDGGWGVDVLYGQQTRLHRDIDIDFDANYTDQLLDLLQERGYQIETNWLPTRVELYSKELGYIDIHPFVLNADGTSKQADLDGGWYEFQPDYFGTAVFEGRSIPCISAKGQQVFHSGYDLREKDIHDLSIIKQCITTMSLTIR ->ARGMiner~~~sul2~~~WP_003970071.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_003970071.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYHPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~ErmD~~~WP_003183781.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmD~~~WP_003183781.1~~~macrolide;lincosamide;streptogramin~~~unknown MKKKNHKYRGKKLNRGEYPNFSGQHLMHNKKLIEEIVDRANISIDDTVLELGAGKGALTTMLSQKAGKVLAVENDSKFVAILTRKTAQHPNTKIIHQDIMKIHLPKEKFVVVSNIPYAITTPIMKMLLNNPASGFQKGIIVMEKGAAKRFTSKFIKNSYVLAWRMWFDIGIVREISKEHFSPPPKVDSAMVRITRKKDAPLSHKHYIAFLGLAEYALKEPQAPFCVALRGIFTPRQMKHLRKSLKINNEKTVGTLTENQWAVIFNTMTQYVMHHKWPRANKRKPGEI ->ARGMiner~~~ANT(2'')-Ia~~~WP_003159209.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_003159209.1~~~aminoglycoside~~~unknown MDTTQVTLIHQILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAMVEMLGGRVTEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPMDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~PDC-7~~~WP_003132320.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_003132320.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFIPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~PDC-2~~~WP_003093423.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-2~~~WP_003093423.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASTLLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTVTLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFPDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERLMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~TLA-2~~~WP_002977989.1~~~fluoroquinolone;monobactam;cephalosporin unknown +>ARGMiner~~~TLA-2~~~WP_002977989.1~~~fluoroquinolone;monobactam;cephalosporin~~~unknown MKKTTLLFLLISAFSLAQTSLLEQKINSIIKNKKATVGVSVLGFENGFKYDKNGDKKLPMQSVFKFHIAAAVLNAVDQGKLSLDQKIMLNQSNLLENTWSPLRDKYPAGNIEIPLSEVIEYTVAKSDNNGCDILLRLLGGTQVVQKFMDSKGVKGFQIKYNEEDMHKDWNVQYENYSTTKSAADVLKKLYDGKLLSKKSTDYLMKVMLSTSTGLNKMVEQLPKNTPVARKTGASGKNNAGLTGAENEIGIVTLPNGKHYALAVFVSNSMETDAVNCRMISDISKEVWEYFNK ->ARGMiner~~~tetO~~~WP_002872163.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_002872163.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEERQRLAYVRLYGGILHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPMLQTTVEPSKPQQREMLLDALLEISDSDPLLQYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTCFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~ErmF~~~WP_002682030.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmF~~~WP_002682030.1~~~macrolide;lincosamide;streptogramin~~~unknown MTKKKLPVRFTGQHFTIDKVLIKDAIRQANISNQDTVLDIGAGKGFLTVHLLKIANNVVAIENDTALVEHLRKLFSDARNVQVVGCDFRNFAVPKFPFKVVSNIPYGITSDIFKILMFESLGNFLGGSIVLQLEPTQKLFSRKLYNPYTVFYHTFFDLKLVYEVGPESFLPPPTVKSALLNIKRKHLFFDFKFKAKYLAFISCLLEKPDLSVKTALKSIFRKSQVRSISEKFGLNLNAQIVCLSPSQWLNCFLEMLEVVPEKFHPS ->ARGMiner~~~Staphylococcus intermedius chloramphenicol acetyltransferase~~~WP_002489529.1~~~phenicol unknown +>ARGMiner~~~Staphylococcus intermedius chloramphenicol acetyltransferase~~~WP_002489529.1~~~phenicol~~~unknown MTFNIIKLENWDRKEYFEHYFNQQTTYSITKEIDITLFKDMIKKKGYEIYPSLIYAIMEVVNKNKVFRTGINSENKLGYWDKLNPLYTVFNKQTEKFTNIWTESDNNFTSFYNNYKNDLLEYKDKEEMFPKKPIPENTIPISMIPWIDFSSFNLNIGNNSNFLLPIITIGKFYSENNKIYIPVALQLHHAVCDGYHASLFMNEFQDIIHKVDDWI ->ARGMiner~~~tetM~~~WP_002414694.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_002414694.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDRGTTKTDNTLLERQRGITIQTAITSFQWKNTKINIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKIGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELHPNMRVMNFTESEQWDMVIEGNDYLLEKYTSGKLLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~vanHB~~~WP_002317268.1~~~glycopeptide unknown +>ARGMiner~~~vanHB~~~WP_002317268.1~~~glycopeptide~~~unknown MRKSVGITVFGCEQDEANAFRALSPDFHIIPTLISDAISADNAKLAAGNQCVSVGHKSEVSEATILALRKVGVKYISTRSIGCDHIDTTAAERMGISVGTVAYSPDSVADYALMLMLMAIRGAKPTMHAVAQQDFRLDRIRGKELGDMTVGVIGTGHIGQAVVKRLRGFGCHVLAYDNSRKMDADYVQLDELLKNSDIVTLHVPLCADTRHLIGQKQIGEMKQGAFLINTARGALVDTGALVEALESGKLGGAALDVLEGEDQFVYTDCSQKVLDHPFLSQLLRMPNVIITPHTAYYTERVLQDTTEKTIRNCLNFERSLQHE ->ARGMiner~~~tet(L)~~~WP_002294500.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~WP_002294500.1~~~tetracycline~~~unknown MNTSYSQSNLRHNQILIWLCILSFFSVLNEMVLNVSLPDIANDFNKPPASTNWVNTAFMLTFSIGTAVYGKLSDQLGIKRLLLFGIIINCFGSLIGFVGHSFFSLLIMARFIQGAGAAAFPALVMVVVARYIPKENRGKAFGLIGSIVAMGEGVGPAIGGMIAHYIHWSYLLLIPMITIITVPFLMKLLKKEVRIKGHFDIKGIILMSVGIVFFMLFTTSYSISFLIVSVLSFLIFVKHIRKVTDPFVDPGLGKNIPFMIGVLCGGIIFGTVAGFVSMVPYMMKDVHQLSTAEIGSVIIFPGTMSVIIFGYIGGILVDRRGPLYVLNIGVTFLSVSFLTASFLLETTSWFMTIIIVFVLGGLSFTKTVISTIVSSSLKQQEAGAGMSLLNFTSFLSEGTGIAIVGGLLSIPLLDQRLLPMEVDQSTYLYSNLLLLFSGIIVISWLVTLNVYKHSQRDF ->ARGMiner~~~tet(C)~~~WP_001973670.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_001973670.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALVQFACAPVLGALSDRFGRRPILLVSLAGATVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQTMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~dfrA5~~~WP_001749985.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA5~~~WP_001749985.1~~~diaminopyrimidine~~~unknown MKISLMAAKARNGVIGCGSDIPWNAKGEQLLFKAITYNQWLLVGRKTFEAMGALPNRKYAVVSRSGSVATNDDVVVFPSIEAAMRELKTLTNHVVVSGGGEIYKSLIAHADTLHISTIDSEPEGNVFFPEIPKEFNVVFEQEFHSNINYRYQIWQRG ->ARGMiner~~~aadA16~~~WP_001749984.1~~~aminoglycoside unknown +>ARGMiner~~~aadA16~~~WP_001749984.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSQALNVIEHHLGSTLLAVHLYGSALDGGLKPCSDIDLLVTVTAQLDETVRQALFVDFLEVSASPGQSEALRALEVTIVVYGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKARQHSLALAGSAAEDFFNSVPESDLFKALADTLKLWNSQPDWAGDERNVVLTLSRIWYSAATGKIAPKDVAANWVMERLPVQHQPVLLEAQQAYLGQGMDCLASRADQLTAFIYFVKHEAASLLGSTPMMSNSSFKPTPLRGAA ->ARGMiner~~~floR~~~WP_001694495.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_001694495.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSILAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAVMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~tet(L)~~~WP_001574277.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~WP_001574277.1~~~tetracycline~~~unknown MNTSYSQSNLRHNQILIWLCILSFFSVLNEMVLNVSLPDIANDFNKPPASTNWVNTAFMLTFSIGTAVYGKLSDQLGIKRLLLFGIIINCFGSVIGFVGHSFFSLLIMARFIQGAGAAAFPALVMVVVARYIPKENRGKAFGLIGSIVAMGEGVGPAIGGMIAHYIHWSYLLLIPMITIITVPFLMKLLKKEVRIKGHFDIKGIILMSVGIVFFMLFTTSYSISFLIVSVLSFLIFVKHIRKVTDPFVDPGLGKNIPFMIGVLCGGIIFGTVAGFVSMVPYMMKDVHQLSTAEIGSVIIFPGTMSVIIFGYIGGILVDRRGPLYVLNIGVTFLSVSFLTASFLLETTSWFMTIIIVFVLGGLSFTKTVISTIVSSSLKQQEAGAGMSLLNFTSFLSEGTGIAIVGGLLSIPLLDQRLLPMEVDQSTYLYSNLLLLFSGIIVISWLVTLNVYKHSQRDF ->ARGMiner~~~mecI~~~WP_001284656.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_001284656.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MANKQVEISMAEWDVMNIIWGKKSVSANEIVVEIQKYKEVSDKTIRTLITRLYKKEIIKRYKSENIYFYSSNIKEDDIKMKTAKTFLNKLYGGDMKSLVLNFAKNEELNNKEIEELRDILNDISKK ->ARGMiner~~~aad(6)~~~WP_001255866.1~~~aminoglycoside unknown +>ARGMiner~~~aad(6)~~~WP_001255866.1~~~aminoglycoside~~~unknown MRSEKEMMDLVLSLAEQDERIRIVTLEGSRANINIPKDEFQDYDITYFVSDIEPFISNDDWLNQFGNIIMMQKPEDMELFPPEEKGFSYLMLFDDYNKIDLTLLPLEELDNYLKGDKLIKVLIDKDCRIKRDIVPTDIDYHVRKPSAREYDDCCNEFWNVTPYVIKGLCRKEILFAIDHFNQIVRHELLRMISWKVGIETGFKLSVGKNYKFIERYISEDLWEKLLSTYRMDSYENIWEALFLCHQLFRAVSGEVAERLHYAYPEYDRNITKYTRDMYKKYTGKTGCLDSTYAADIEERREQ ->ARGMiner~~~aadA~~~WP_001206315.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~WP_001206315.1~~~aminoglycoside~~~unknown MREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~ErmB~~~WP_001038795.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_001038795.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNTRVTLIHQDILQFQFPNKQRYKIVGNIPYHLSTQIIKKVVFESRASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPKVNSVLIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTITYEQVLSIFNSYLLFNGRK ->ARGMiner~~~ErmB~~~WP_001038790.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_001038790.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNIRVTLIHQDILQFQFPNKQRYKIVGNIPYHLSTQIIKKVVFESHASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPKVNSVLIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTVTYEQVLSIFNSYLLFNGRK ->ARGMiner~~~OXA-70~~~WP_001021789.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-70~~~WP_001021789.1~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDKKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWNGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSNEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQHEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVRPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~Streptococcus suis chloramphenicol acetyltransferase~~~WP_001010387.1~~~phenicol unknown +>ARGMiner~~~Streptococcus suis chloramphenicol acetyltransferase~~~WP_001010387.1~~~phenicol~~~unknown MNFNKIDLDNWKRKEIFNHYLNQQTTFSITTEIDISVLYRNIKQEGYKFYPAFIFLVTRVINSNTAFRTGYNSDGELGYWDKLEPLYTIFDGVSKTFSGIWTPVKNDFKEFYDLYLSDVEKYNGSGKLFPKTPIPENAFSLSIIPWTSFTGFNLNINNNSNYLLPIITAGKFINKGNSIYLPLSLQVHHSVCDGYHAGLFMNSIQELSDRPNDWLL ->ARGMiner~~~tet(C)~~~WP_000841448.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_000841448.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRGWMAFPIMILLASGGIGMPALQAMLSRQVDDDHQGQLQGSLAALTSLTSIIGPLIVTAIYAASASTWNGLAWIVGAALYLVCLPALRRATST ->ARGMiner~~~tet(C)~~~WP_000804064.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_000804064.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~tet(C)~~~WP_000804063.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_000804063.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWRNSSNSRCT ->ARGMiner~~~dfrA15~~~WP_000777554.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_000777554.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733289.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733289.1~~~penam~~~unknown MKKLIFLIVMALVLSACNSNSSHAKELNDLEKKYNAHIGVYALDTKSGKEVKFNSDKRFAYASTSKAINSAILLEQVPYNKLNKKVHINKDDIVAYSPILEKYVGKDITLKELIEASMTYSDNTANNKIIKEIGGIKKVKQRLKELGDKVTNPVRYEIELNYYSPKSKKDTSTPAAFGKTLNKLIANGKLSKKNKNFLLDLMFNNKNGDTLIKDGVPKDYKVADKSGQAITYASRNDVAFVYPKGQSEPIVLVIFTNKDNKSDKPNDKLISETAKSVMKEF ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733283.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_000733283.1~~~penam~~~unknown MKKLIFLIVIALVLSACNSNSSHAKELNDLEKKYNAHIGVYALDTKSGKEVKFNSDKRFAYASTSKAINSAILLEQVPYNKLNKKVHINKDDIVAYSPILEKYVGKDITLKALIEASMTYSDNTANNKIIKEIGGIKKVKQRLKELGDKVTNPVRYEIELNYYSPKSKKDTSTPAAFGKTLNKLIANGKLSKENKKFLLDLMLNNKSGDTLIKDGVPKDYKVADKSGQAITYASRNDVAFVYPKGQSEPIVLVIFTNKDNKSDKPNDKLISETAKSVMKEF ->ARGMiner~~~lnuA~~~WP_000700648.1~~~lincosamide unknown +>ARGMiner~~~lnuA~~~WP_000700648.1~~~lincosamide~~~unknown MKINNVTEKDLFYILDLFEKMEVTYWLDGGWGVDVLTGKQQREHRDIDIDFDAQHTQKVIQKLEDIGYKIEVDWMPSRMELKHKKYGYLDIHPINLNDDGSITQANPEGGNYVFQNEWFSETNYKGRKIPCISKEAQLLFHSGYDLTEKDHFDIKNLKSIT ->ARGMiner~~~tetM~~~WP_000691749.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_000691749.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNVCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_000691746.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_000691746.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_000691743.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_000691743.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAQLPLGSGVQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetM~~~WP_000691729.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_000691729.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYTDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~qacH~~~WP_000679427.1~~~fluoroquinolone unknown +>ARGMiner~~~qacH~~~WP_000679427.1~~~fluoroquinolone~~~unknown MKGWLFLVIAIVGEVIATSALKSSEGFTKLAPSAVVIIGYGIAFYFLSLVLKSIPVGVAYAVWSGLGVVIITAIAWLLHGQKLDAWGFVGMGLIIAAFLLARSPSWKSLRRPTPW ->ARGMiner~~~SAT-4~~~WP_000627290.1~~~nucleoside unknown +>ARGMiner~~~SAT-4~~~WP_000627290.1~~~nucleoside~~~unknown MITEMKAGHLKDIDKPSEPFEVIGKIIPRYENENWTFTELLYEAPYLKSYQDEEDEEDEEADCLEYIDNTDKIIYLYYQDDKCVGKVKLRKNWNRYAYIEDIAVCKDFRGQGIGSALINISIEWAKHKNLHGLMLETQDNNLIACKFYHNCGFKIGSVDTMLYANFENNFEKAVFWYLRF ->ARGMiner~~~FosB3~~~WP_000616116.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_000616116.1~~~fosfomycin~~~unknown MIQSINHICYSVSDLKNSIRFYKNILCGELLVSGKTTAYFNIGGLWVALNEEKDIPRNEVQYSYTHVAFTIDESEFNDWYQWFKENDVNILEGRTRDVRDKQSIYFTDPDGHKLELHTGTLENRLNYYKETKPHMVFYK ->ARGMiner~~~AAC(3)-IIa~~~WP_000557454.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIa~~~WP_000557454.1~~~aminoglycoside~~~unknown MHTRKAITEALQKLGVQTGDLLMVHASLKAIGPVEGGAETVVAALRSAVGPTGTVMGYASWDRSPYEETLNGARLDDEARRTWLPFDPATAGTYRGFGLLNQFLVQAPGARRSAHPDASMVAVGPLAETLTEPHELGHALGEGSPVERFVRLGGKALLLGAPLNSVTALHYAEAVADIPNKRWVTYEMPMLGRDGEVAWKTASDYDSNGILDCFAIEGKPDAVETIANAYVKLGRHREGVVGFAQCYLFDAQDIVTFGVTYLEKHFGTTPIVPPHEAVERSCEPSG ->ARGMiner~~~APH(3')-VIa~~~WP_000422633.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-VIa~~~WP_000422633.1~~~aminoglycoside~~~unknown MELPNIIQQFIGNSVLEPNKIGQSPSDVYSFNRNNETFFLKRSSTLYTETTYSVSREAKMLSWLSDKLKVPELIMTFQDEQFEFMITKAINAKSISALFLTEQELLAIYKETLNQLNAVAIIDCPFISSIDHRLKESKFFIDNQLLDEIDQDDFEAELWGDHKTYISLWNELNETRVEERLVFSHGDITDSNIFIDKSGEIYFLDLGRAGLADEFVDISFVERCLREDVSEETAKIFLKHLKNDMPDKRNYFLKLDELN ->ARGMiner~~~vanXA~~~WP_000402348.1~~~glycopeptide unknown +>ARGMiner~~~vanXA~~~WP_000402348.1~~~glycopeptide~~~unknown MEIGFTFLDEIVHGVRWDAKYATWDNFTGKPVDGYEVNRIVGTYELAESLLKAKELAATQGYGLLLWDGYRPNRAVNCFMQWAAQPENNLTKESYYPNIDRTEMISKGYVASKSSHSRGSAIDLTLYRLDTGELVPMGSRFDFMDERSHHAANGISCNEAQNRRRLRSIMENSGFEAYSLEWWHYVLRDEPYPNSYFDFPVK ->ARGMiner~~~ANT(2'')-Ia~~~WP_000381803.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_000381803.1~~~aminoglycoside~~~unknown MDTTQVTLIHQILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAMVEMLGGRVTEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~floR~~~WP_000214122.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_000214122.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGVLIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTYFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~ANT(9)-Ia~~~WP_000067268.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(9)-Ia~~~WP_000067268.1~~~aminoglycoside~~~unknown MSNLINGKIPNQAIQTLKIVKDLFGSSIVGVYLFGSAVNGGLRINSDVDVLVVVNHSLPQLTRKKLTERLMTISGKIGNTDSVRPLEVTVINRSEVVPWQYPPKREFIYGEWLRGEFENGQIQEPSYDPDLAIVLAQARKNSISLFGPDSSSILVSVPLTDIRRAIKDSLPELIEGIKGDERNVILTLARMWQTVTTGEITSKDVAAEWAIPLLPKEHVTLLDIARKGYRGECDDKWEGLYSKVKALVKYMKNSIETSLN ->ARGMiner~~~dfrA19~~~WP_000019304.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA19~~~WP_000019304.1~~~diaminopyrimidine~~~unknown MSHPQLELIVAVDSKLGFGKGGKIPWKCKEDMARFTRISKEIRVCVMGKHTYTDMRDMQLEKDGAEERIKEKGILPERESFVISSTLKQEDVIGATVVPDLRAVINLYENTDQRIAVIGGEKLYIQALSSATKLHMTIIPREFDCDRFIPVDPIQNNFHIDSSASETVEATVDETQERIHFATYVRNNQ ->ARGMiner~~~APH(3')-Ia~~~WP_000018329.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~WP_000018329.1~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNMDADLYGYKWARDNVGQSGATIYRLYGKPDAPELFLKHGKGSVANDVTDEMVRLNWLTEFMPLPTIKHFIRTPDDAWLLTTAIPGKTAFQVLEEYPDSGENIVDALAVFLRRLHSIPVCNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRLFQKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~emrA~~~WP_024204537.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_024204537.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDGAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~vanB~~~WP_002418672.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_002418672.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGGGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGSAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMMAAAGITLPALIDSLITLALKR ->ARGMiner~~~adeB~~~WP_000987612.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987612.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEVVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFTGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGSFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSAFGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~emrA~~~WP_032750955.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_032750955.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIVAVAYGIYWFLVLRHFEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAQTQLAASVRQTRQQMINSKQLQASIDVKKTALSQAQTDLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTKIVSPISGYVSRRSVQPGAQISSTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELNEKQLAEHPLRIGLSTLVEVNTTDRGGEMLASQVRSSPVYESNAREIGLEPVNKLINDIIQANAG ->ARGMiner~~~BcI~~~WP_026580461.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_026580461.1~~~cephalosporin;penam~~~unknown MKLWFSTLKLKKAAAVLLFSCVALAGCANNQTNASQPAEKNEKTEMKDDFAKLEEQFDAKLGIFALDTGTNRTVAYRQDERFAFASTIKALTVGVLLQQKSIEDLNQRITYTRDDLVNYNPITEKHVDTGMTLKELADASLRYSDNAAQNLILKQIGGPESLKKELRKIGDEVTNPERFEPELNEVNPGETQDTSTAKALVTSLRAFALEDKLPSEKRELLIDWMKRNTTGDALIRAGVPDGWEVADKTGAASYGTRNDIAIIWPPKGDPVVLAVLSSRDKKDAKYDDKLIAEATKVVMKTLNMNGK ->ARGMiner~~~tolC~~~WP_024231618.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_024231618.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNMLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mexH~~~WP_055314246.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_055314246.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRGVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAISAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLAQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~nalC~~~WP_003109635.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_003109635.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~sdiA~~~WP_023622078.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023622078.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCFLRFQALTSASEVYQELQRQTQALEFDYYALCVRHPVPFTRPRISVHATYPQRWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFADAEALWNGARDHGLRKGITQCLMLPNHALGFLSVSCTSVQAGAITSEELELRLQMLLQMALTSLLRFEDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~tolC~~~WP_016157485.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_016157485.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFTTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTDNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLGLTASTGVSDTSYNGSKTNTSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPIPTSPDNVAPQNPQQDAAVNDFNSNGNMPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~tolC~~~AIY26267.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~AIY26267.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFISAALGGLSTSVWADTLADIYNQAKDNDPQLLSAAARRDAAFEAITSSRSSLLPQINLTAGYNINRSNIDPRESDKLTAGINFSQELYNRSSWITLDTAEKSARQADSTYAATQQSLILRVAQSYFEVLRAQDNLEFVRAEKAAVARQLEQTKQRFEVGLSAITDVHDAQAQYDAVLADEVLAENSLVNSYEALREITGQEHTNLNVLDTDRFSASKTATSMNTLLEEAQQKNLNLLTARIAQDVAKDNISLASSGHLPSLTLDAGYNYGDESNDHNASSNLDAYNDFNIGVNLVVPLYTGGNISSQTKQAEFAYVAASQDLEKTYRSVVKDVRAYNNNISASIGALRAYEQSVISAKSALEATEAGFDVGTRTIVDVLDSTRRLYDANKSLSNARYDYLLSVLQLRQAVGTLSEQDILDINAGLKAAG ->ARGMiner~~~sdiA~~~WP_032658638.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032658638.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCSLRFQELTSADEVYRELEQQTQALEFDYYALCVRHPVPFTRPKISLQTTYPKPWMAQYQSANYFAIDPVLKAENFIQGHLPWTDALFAEAQELWHSAQDHGLRAGITQCLMLPNHALGFLSVSRTSVLEGPFASEEIELRLQMLVQMALTSLMRFEDGMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mdtP~~~WP_001654865.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001654865.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSLLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~adeG~~~YP_106067~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~YP_106067~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRAAQGNARA ->ARGMiner~~~MexF~~~WP_057431811.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_057431811.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKRSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARAQRLQNLPAEMH ->ARGMiner~~~macB~~~WP_023185565.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023185565.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEPVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGAYRVAGQDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGIERKKRQARARELLLRLGLSDRVDYLPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLVAAQAERIIEIHDGKIVHNPSAQEKKREQSIAAATVSTASGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQFRQVLKYNDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFSEGNTFNAVQQRDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKQSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVNSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFMLQLFLPGWEIGFSLTALASAFLCSTFIGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_062865166.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_062865166.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYDMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVIERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtE~~~WP_001081975.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001081975.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTLAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~norA~~~WP_029056449.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_029056449.1~~~fluoroquinolone;acridinedye~~~unknown MRKQLFTLYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGILVAVFALAQMIISPFGGGLADKLGKKLIICIGLVLFSISEFMFAMGHSFSILIISRVLGGLSAGMVMPGVTGLIADISPSQDKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYFAGGLGIIAFIMSLIVIHNPKKVTTAGFPQYDPELLTKINWKVFLTPVILTLVLAFGLSAFETLFSLYTSDKIGYTPKDISIAITGGGIFGALFQVFFFDKFMKYTSELNFIACSLLYSAIVLVMLIVAQGYWTIMLISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFMGPLVAGALFDVNIEFPLYMAIAVSLSGIVIIFIEKVVRKNITQK ->ARGMiner~~~nalD~~~WP_033978962.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_033978962.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTHGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~FOX-2~~~WP_058407068.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-2~~~WP_058407068.1~~~cephalosporin;cephamycin~~~unknown MELIYADARIDCASHGVGVTYIHHETHQMAKATTRSLLVLSTLLLSPLSHAKEAEPLTALVDGAIQPLLKEHRVPGMAVAVLKEGKAHYFNYGVADRESGARVSEQTLFEIGSVSKTLTATLGAYAAVKGGFQLEDKASQHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSTDKMRAYYRQWTPAYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPVRVNPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAVVKSAIALTHTGFYYVGEMTQGLGWESYAYPVTEQTLLAGNSSAVIYNANPVKSVATSQEMGGARLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPNEARVKAAHAILSQLAE ->ARGMiner~~~TEM-1~~~ANG23007.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG23007.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLSGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~WP_039060165.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_039060165.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQMKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~tolC~~~WP_023331319.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023331319.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPESVAPENPDQVAAVDNFNANGSAPAAQQAAARSTAPVSSGSNPFRH ->ARGMiner~~~mdtH~~~WP_006819444.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_006819444.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMAVAHEPWLLWVSCFLSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAVLFILCAAFNAWYLPAWKLSTVKTPVREGLARVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEAAISLTLLYPIARWSEKRFRLEQRLMAGLVVMSLSMLPIGLTSNLSQLFTLICTFYIGSIIAEPARETLSAQLADSRARGSYMGFSRLGLAFGGAFGYAGGGWLFDTGKAFNQPELPWLMLAIVGLITFVALWWQFSQKRASSRMLEPRL ->ARGMiner~~~ykkC~~~CBI42519.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~CBI42519.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MFTHGLVREKTHMRTYSRICTRREKSPGESISCETTIRAFLYCPKTERVKNMKWGLVVLAAVFEVVWVIGLKHADSALTWSSTIVGIIISFYLLIKATNSLPVGTVYAVFTGLGTAGTVLSEILLFKEQADPVKIVLIGVLLIGVIGLKLVTQDTPETKEEKS ->ARGMiner~~~tolC~~~WP_052992011.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_052992011.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIAGGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~adeB~~~WP_049067803.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_049067803.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVIEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPNVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKAMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFPSKKKISS ->ARGMiner~~~mdtF~~~WP_001662771.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001662771.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGGRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mecI~~~gi:60392649:sp:O54281.1:MECI_STASR~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~gi:60392649:sp:O54281.1:MECI_STASR~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQRDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKK ->ARGMiner~~~L1 beta-lactamase~~~WP_049409560.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049409560.1~~~cephalosporin~~~unknown MRCSLLAFALAAAVPVAHASAAEAPLPQLRAYTVDTSWLQPMAPLQIADHTWQIGTENLTALLVETAEGAVLLDGGMPQMAGHLLDNMKVRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVVANAESAVLLARGGSNDLHFGDGITYPPTSADRIIMDGEVVTVGGIVFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLEGNPRYPRLIEDYKRSFTTVRGLPCDLLLTPHPGASNWNYAAGSKAGAKALTCSAYADVAEKTFDAQLAKETAAHR ->ARGMiner~~~tolC~~~WP_059358358.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_059358358.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGSAPAAQPAAARSTAPASSGSNPFRN ->ARGMiner~~~mdtN~~~WP_053882393.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_053882393.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGKFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~emrA~~~NC_002695.1.914737.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~NC_002695.1.914737.p01~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQQMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~emrA~~~WP_062814406.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_062814406.1~~~fluoroquinolone~~~unknown MSVNEEKNPPQAPIRNKKRTRRNVLLLLTFIFILAGLAYTAYWFMVLRHHETTDNAYVTGNQIMVMPQISGSVTTVYVDNTDYVKAGEPLVQLDDSDEILALDKAKTALANSVRQMHQQIINGRQLKANIVLRETELAKLQNDLRRREVLGERNVIGKEELQHAREAVATAKAALDVAKEQYNANQAIILTTPIAQQPSVLQATTEVRNAWLALQRTKILSPAEGYVSRRSVQVGAQVAPGKPLMAVVPVTGMWIDANFKETQLANMRIGQPAKITTDFYGKKVIYHGRVQGLDMGTGSAFSLLPAQNASGNWIKVVQRLPVRISLDEKEVAEKPLRIGLSTEVTVDTVNLDGKVLSQSERQAPAYHTDALTIDMSEINSLINEIIEQNAG ->ARGMiner~~~L1 beta-lactamase~~~WP_033835092.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_033835092.1~~~cephalosporin~~~unknown MRFTLLAFALAVALPAAHASAAEAPLPQLRAYTVDASWLQPIAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAVNAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASAEALTCNAYADAAEKKFDAQLARETAGTR ->ARGMiner~~~novA~~~WP_030125200.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030125200.1~~~aminocoumarin~~~unknown MKPDEPAWTPPAADAGQPPAELRRIFRLFRPYRGRLTLVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFSEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLTLQSGATAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFARIFEYLDLEVDITEPAKPVRLEKIRGEIAFEDVTFHYDEKSGPTLSGVEVTVPAGNSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATDEEIEAATRTAQIHDHIAGLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSKGRTTLTIAHRLSTVRDADQIIVLDDGAVAERGTHEELLEQDGRYAALIRRDSHLAPAAT ->ARGMiner~~~tolC~~~WP_048213240.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048213240.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLSLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNSLLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLGLTASTGVSDTSYSGSKTNTSQYDDSNQGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNIKSALGTLNEQDLVALNNTLGKPISTAPDAVAPENTQQDAAADGYTANSAAPAVKPATARTTSSSNGNNPFRN ->ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP000647.1.gene4257.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP000647.1.gene4257.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNKILLVDDDRELTSLLKELLDMEGFNVLVAHDGEQALALLDDSVDLLLLDVMMPKKNGIDTLKELRQTHQTPVIMLTARGSELDRVLGLELGADDYLPKPFNDRELVARIRAILRRSHWSEQQQTTEAGSPTLEVDALSLNPGRQEANFDGQTLELTGTEFTLLYLLAQHLGQVVSREHLSQEVLGKRLTPFDRAIDMHISNLRRKLPERKDGHPWFKTLRGRGYLMVSAS ->ARGMiner~~~macA~~~WP_001201760.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201760.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~TEM-1~~~ANG10578.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG10578.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTIRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-1~~~ANG14588.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG14588.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQTTMDERNRQIAEIGASLIKHW ->ARGMiner~~~cmeB~~~WP_002920107.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002920107.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDIADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMIGIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKSKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~ykkD~~~WP_003327389.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkD~~~WP_003327389.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MAAWISLLFAGLLEMLGVTLMNQFQKDKSLKWLLCIIVGFAASFSLLSYAMETIPMGTAYAVWTGIGAAGGALVGILFYGEPKDAKRIFFIALILSSAVGLKILS ->ARGMiner~~~Escherichia coli ampC~~~WP_052979841.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_052979841.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLAPYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPPEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLTPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAIKPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAACQILNALQ ->ARGMiner~~~emrA~~~WP_053889610.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_053889610.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALVKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~floR~~~WP_039023559.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_039023559.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDLYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSILAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~macA~~~WP_042949788.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_042949788.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRLGATP ->ARGMiner~~~APH(6)-Ia~~~WP_030704520.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Ia~~~WP_030704520.1~~~aminoglycoside~~~unknown MSSSDHIHVPDGLAESYSRSGGEEGRAWIAGLPALVARCVDRWELKRDGGVRSGEASLVVPVLRADGTRAALKLQMPREETTAALIGLRAWGGDGMVRLLDHDEESSTMLLERLDGSRTLASVEDDDEAMGVLAGLLNRLHSVPAPPGLRGLGEIAGAMVEEVPSAVDSLADPEDRSRLRGWASAVAELVGEPGDRVLHWDLHYENVLAAEREPWLAIDPEPLVGDPGFDLWPALDTGWDRIEATGDARRVVRRRFDLLTEALELDRGRAAGWTLARLLQNTLWDIEDGLTAIAPSQIAVAEALAKP ->ARGMiner~~~sdiA~~~WP_017900234.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_017900234.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMAAAQEVYNLLQRETETLEYDFYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWEDGLFRDTQALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPNAYAEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~macA~~~WP_024236900.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_024236900.1~~~macrolide~~~unknown MKKRKTVKKLYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtE~~~NC_002695.1.915750.p01~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~NC_002695.1.915750.p01~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENTAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVKLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~mdtH~~~OBU08589.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~OBU08589.1~~~fluoroquinolone~~~unknown MSLVRQARTLGKYWLLADNLLVVLGFFVVFPLISIRFVEQLGWAGIVVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIVIGMFLRAGGFALMAMADEPWILWLSCVLSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALLGSWLLMYDFHLVCWVGAGIFVITALCNAWLLPAYRISTTRTPIKEGMSRVLNDKIFSRYVLTLTGYFILSVQVMLMFPIIVNELAGTPAAVKWMYAIEAALSLTLLYPLARWSEKHFRLETRLMAGLFLMSLSMFPVALTHSLHLLFVIIGLFYFGSIIAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWLYDLGKEMQLPELPWFLLGTIGLITLFTLYRQFNPKKVSPAVLPEN ->ARGMiner~~~sdiA~~~WP_045903201.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_045903201.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCFLRFQALTSASEVYQELQRQTQALEFDYYALCVRHPVPFTRPRISVHATYPQRWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFADAEALWNGARDHGLRKGITQCLMLPNHALGFLSVSCTNVQAGAVTSEELELRLQMLLQMALTSLLRFEDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~FosB~~~WP_061186397.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_061186397.1~~~fosfomycin~~~unknown MKVKGINHLLFSVSHLYTSIDFYQKVFDAKLLVKGKTTAYFDLNGIWLALNEEPDIPRNDIKASYTHIAFTIEDQEFEEMSAKLKTLHVNILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMDFYD ->ARGMiner~~~mdtP~~~WP_001669103.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001669103.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSSSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFPQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~cmlA6~~~WP_001724981.1~~~phenicol unknown +>ARGMiner~~~cmlA6~~~WP_001724981.1~~~phenicol~~~unknown MSSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWALQSVLGFIAPMWLVGIGVATAVSVAPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIFSLLPRNTAWPVVVYCLTLATVVLGLSCVSRVKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~macA~~~WP_032050198.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032050198.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCFAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDKVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~lsaA~~~WP_048942695.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_048942695.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIEPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLETLILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~CepS beta-lactamase~~~WP_043121260.1~~~cephalosporin unknown +>ARGMiner~~~CepS beta-lactamase~~~WP_043121260.1~~~cephalosporin~~~unknown MKQTRALPLLALGTLLLAPFSLAVPVDPLKAVVDDAIRPVLKQHRIPGMAVAVLKGGQAHYFNYGLADVATGTKVNEQTLFEIGSVSKTYTATLGAYAVVKGGFKLDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPEEVDSSDTMRAYYRHWTPAYQPGSHRQYSNPSIGLFGHLAANSLQQPFAKLMEQTLLPTLGLEHTYLQVPEAAMARYAFGYSKEDKPIRVNPGMLADEAYGIKTGSADLLAFVKANISGVDDKALQQAIALTHTGFYRVGEMTQGLGWESYAYPVSEQTLLAGNSPAVSLKANPVTKFETPVAPGAMRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPIEARVSAAHAILSQLAP ->ARGMiner~~~vanRA~~~WP_001281111.1~~~glycopeptide unknown +>ARGMiner~~~vanRA~~~WP_001281111.1~~~glycopeptide~~~unknown MSDKVLVVEDEREIADLVELYLKNEDYTVFKYYTAKEALECIDKTEFDIAILDIMLPDASGLTICQKIREKHTYPIIMLTAKDTEIDKITGLTIGADDYITKPFRPLELIARVKAQLRRYKKYNGVTAQNKNVIVHSGLVINISTHECSLNEKPLSLTPTEFSILRILCENKGNVVSSEQLFHEIWGDEYFSKSNNTITVHIRHLREKMNDTIDNPKYIKTVWGIGYKIEK ->ARGMiner~~~MexF~~~WP_057422848.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_057422848.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVSEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARAQRLQNLPAEMH ->ARGMiner~~~TEM-1~~~ANG14509.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG14509.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLVTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~norA~~~WP_031875473.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031875473.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGISGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITAGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~ErmC~~~AIU96746.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~AIU96746.1~~~macrolide;lincosamide;streptogramin~~~unknown MITKLKRVIMNEKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKIVFDSIADEIYLIVEYGFAKRLLNTKRSLALFLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVNKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~Klebsiella pneumoniae OmpK36~~~AF336095.1.gene1.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK36~~~AF336095.1.gene1.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKVKVLSLLVPALLVAGAANAAEIYNKDGNKLDLYGKIDGLHYFSSDDSVDGDQTYMRIGVKGETQINDQLTGYGQWEYNVQANNTESSSDQAWTRLAFAGLKFGDAGSFDYGRNYGVVYDVTSWTDVLPEFDGDTYGSDNFLQSRANGVATYRNSDFFGLVDGLNFALQYQGKNGSVSGEDQTNNGRGFQKQNGEGFGTSVTYEIWDGISAGFAYSSSKRTDEQNNSTYFSKSYQRTYGVLGEGDHAETYTGGLKYDANNIYLATQYTQTYNATRTGDIGFANKAQNFEVVAQYQFDFGLRPSVAYLQSKGKDMGRYGDQDILKYVDLGATYYFNKNMSTYVDYKINLLDDNKFTKDASISTDNVVALGLVYQF ->ARGMiner~~~tet(59)~~~AMJ32665.1~~~tetracycline unknown +>ARGMiner~~~tet(59)~~~AMJ32665.1~~~tetracycline~~~unknown MNKPAVIALVITLLDAMGIGLIMPVLPSLLREYLPEADVANHYGILLALYAVMQVCFAPLLGRWSDKLGRRPVLLLSLAGAAFDYTLLALSNVLWMLYLGRIISGITGATGAVAASVVADSTAVSERTAWFGRLGAAFGAGLIAGPAIGGLAGDISPHLPFVIAAILNACTFLMVFFIFKPAVQTEEKPAEQKQESAGISFITLLKPLALLLFVFFTAQLIGQIPATVWVLFTESRFAWDSAAVGFSLAGLGAMHALFQAVVAGALAKRLSEKTIIFAGFIADATAFLLMSAITSGWMVYPVLILLAGGGIALPALQGIISAGASAANQGKLQGVLVSLTNLTGVAGPLLFAFIFSQTQQSADGTVWLIGTALYGLLRAICLLIRKPAPVAATC ->ARGMiner~~~mdtH~~~WP_061098586.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_061098586.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGVMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~mdtP~~~WP_001612185.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001612185.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDMLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATLFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~ACT-29~~~WP_065365843.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_065365843.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKFLCCALLLSTSCSVLAAPMSEKQLADVVERNVTPLMKAQGIPGMAVAVIYQGQPHYFTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVSKYWPDLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANSSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMANMAPDALQDSSLKQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVNPPVPLVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~nalD~~~WP_059309422.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_059309422.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGRASSAP ->ARGMiner~~~tolC~~~WP_020245441.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_020245441.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLHARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~Escherichia coli ampC~~~WP_004988656.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_004988656.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQMPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLTPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAIKPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAACQILNALQ ->ARGMiner~~~emrA~~~WP_053895302.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_053895302.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPANKLIDDIVKANAG ->ARGMiner~~~mexY~~~WP_061180994.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061180994.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRANQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSDAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~macB~~~WP_021531156.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_021531156.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLMRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_064173626.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064173626.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGISNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTVAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~cmeB~~~WP_002870772.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002870772.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGVIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSATLAAISMYSSDGSMSAVDVYNYIALNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDIADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALVLAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMIGIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFNRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~mdtH~~~WP_047349012.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047349012.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEAALSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALHQPELPWMMLGAVGFMTLLALWWQFSPKRSASGMLEPGA ->ARGMiner~~~mdtA~~~CTX12516.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~CTX12516.1~~~aminocoumarin~~~unknown MCPPFRRFPIVFHNSSIFLPYWLATLVSFRETFQEEKLLTMKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLLFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLSSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~mdtH~~~WP_062729839.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_062729839.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGALLFILCALFNALFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMTGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALSQPELPWVMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~acrB~~~WP_021577089.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_021577089.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHIVDHH ->ARGMiner~~~nalC~~~WP_058165306.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_058165306.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGPLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMTLLETRLKLSVDIIACYLEHLSQSPAQG ->ARGMiner~~~Sed1 beta-lactamase~~~WP_012905508.1~~~cephalosporin;penam unknown +>ARGMiner~~~Sed1 beta-lactamase~~~WP_012905508.1~~~cephalosporin;penam~~~unknown MFKNRFRRTVLIAATMMPFVVGSASLWAQAQPDAQQVQQKLAALEKQSGGRLGVALINTADNSQLLYRGDERFAMCSTSKVMVAAAVLKQSETRPAILQQKIPIKKADLTNWNPVTEKYVGKEMTLAELSAATLQYSDNTAMNKLIAHLGGPGKVTAFARSTGDTTFRLDRQEPELNTAIPGDERDTSSPRAMADSLRNLTLGDALADTQRAQLVAWLKGNTTGGQSIRAGVPAHWVVGDKTGAGEYGTTNDIAIIWPENRPPLILVTYFTQRQQDAKWRKDVLAAAAKIVTEGR ->ARGMiner~~~macA~~~CP000647.1.gene911.p01~~~macrolide unknown +>ARGMiner~~~macA~~~CP000647.1.gene911.p01~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~emrB~~~WP_001333029.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001333029.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNSPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~FosB~~~EFU39596.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~EFU39596.1~~~fosfomycin~~~unknown MNLQVINHLCFSVSELERSIAFYRDVFEAKLLVKGRKLAYFDLNGLWIALNEEDVDRSTANRTYTHIAFSMEEHDYEPTLLRLEALQVEILPGRSRDEKDKKSIYFLDPDGLYV ->ARGMiner~~~mepA~~~WP_031875468.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031875468.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMELCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~emrA~~~WP_015963310.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_015963310.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPANKKGTRKRALMLLTLLFVIIAVAYGIYWFLVLRHFEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDQTDAQQAFEKAQTALASTVRQMRQTMINSKQLQASIDVKKTALAQAQTDLNRRIPLGSANLIGREELQHARDAVASAQAELDVAIQQYNANQAIILGTRLEDQPTVKQAATEVRNAWLALQRTKIVSPMTGYVSRRQVQPGAQISPTTALMAVVPANNLWVDANFKETQLAHMRIGQPVTVISDIYGDDVEYHGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDEKQLAEHPLRIGLSTLVKVDTSNRDGQILASQVRTSPAYESNAREISLDPVNKLINDIVQANAN ->ARGMiner~~~rmtC~~~WP_057056299.1~~~aminoglycoside unknown +>ARGMiner~~~rmtC~~~WP_057056299.1~~~aminoglycoside~~~unknown METNDNYIEEVTAKVLTSGKYSTLYPPTVRRVTERLFDRYPPKQLEKEVRKKLHQAYGAYIGGIDGKRLEKKIEKIIHEIPNPTTDEATRTEWEKEICLKILNLHTSTNERTVAYDELYQKIFEVTGVPTSITDAGCALNPFSFPFFTEAGMLGQYIGFDLDKGMIEAIEHSLRTLNAPEGIVVKQGDILSDPSGESDLLLMFKLYTLLDRQEEASGLKILQEWKYKNAVISFPIKTISGRDVGMEENYTVKFENDLVGSDLRIMQKLKLGNEMYFIVSRL ->ARGMiner~~~mdtH~~~WP_045333976.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045333976.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPSA ->ARGMiner~~~macA~~~WP_045354443.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045354443.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSETLPGAAK ->ARGMiner~~~arnA~~~Q8FFM1~~~peptide unknown +>ARGMiner~~~arnA~~~Q8FFM1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKSASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~FosA2~~~WP_045357408.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_045357408.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNSGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVAEADFEPFSNKLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGNLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~macA~~~WP_045134670.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045134670.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVILVGGFWLWQVLNAPVPQYRTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDNRYKVKVLRNGETRDREVVIGARNDTDVVVVKGLEEGEEVVVSENLPGAAK ->ARGMiner~~~MexF~~~WP_016568324.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_016568324.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTDLDNAQVQVQNRVTRSEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVSEEEFENVVVRAGADGEITRLKDIARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVAATHKAMAEVTGPIIATALVLCAVFVPAAFISGLSGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRMLGSWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWMGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVQDAIAFPGLSINGFTNSPNNGVVFVTLKPFDERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAAPGYSSGQAQAAVEKLLREELPTGMIYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPAFYVLIRNYVERQEARKAARVNSQQNLPAEMH ->ARGMiner~~~MexB~~~NC_011595.7057907.p01~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~NC_011595.7057907.p01~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MLSKFFIQRPIFANVLAIIVMAFGIFSVMNLPVERYPDIAPPKITVSANYSGADAQTVEQSVTQILEQQIQGIDHLLYFSSSSDSSGRSRITISFDNGTNPDTAQVQVQNSISGVIRRLPDEVQRQGVTVSKSLGDTFMVIGLYDSTGKTGNIELSDYLTTHVVDNLNRIEGVGETDIFGSQYAMRIWLNPDKLKQYNLMPSDVANAITAQNTQVAAGAIGDLPVIDGQYLNTKVTAGSRLKTVEDFKNIVVKSNKTASYVYLKDIARVELGAENYQSFNTINGYPAAGLGISLSSGANAIQTSKLIHQTLDQLTTKLPAGYKIVYPRDNTPFVQESIKEVVKTLVEAIILVILVMFLFLQSWRATLIPSITVPVVILGTFAVLYVLGFSINTLTLFALVLAIGLLVDDAIVVVENVERLMHEQHLSPKEAAIESMGEISGALVGITLVLTAVFIPMSFLGGSIGVIYRQFSITLVAAMALSLIVALILTPALCALILKPNPQPQRWAVWFNQKIEQLKNQYIKLVQTSIHYSKSVIVIFVALIAVFTLFYNGLKSGFIPKEDQGILSVQIKLVDSAPISQSQKIGEQVRQYFLTQEDKNVDLVLIRYGRNYSGTGQNLAQGFIALKPWDVRTGKENSAEAIQKRAMKYFSHFNNAQINVTLPASVNGLGQTDGLDLWIQDLNGQGQDFLDSAFRQLQAQSKNYSTFENFDKQSTNSKANLNIKIDQKQALANGLQLSAINNTLSSAWGGTYVNDFIDRGRIKRVMIQGDAEFRSKPEDLYNWSVRNDQNEMVPFSSFANFSWGGAPEIVKRYMGYSALQLQADVASGSSSGQAMKDVEQLVNQQKDIGLAWTGLSFEEQKSTNQAVWLYLISAGFIFLCLAALYESLSIPAAVMTSIPLGVGGSVIFSYIFGLPNDVYFQIALLTTIGLSCKNAILIVEFAALAQEKGKNAIQAALEGASLRLRPILMTSLAFGAGVIPLVFAQGAGAVSRQEIGISILGGVMFGTVLVLFFIPVMYVLLRSLFKSKAST ->ARGMiner~~~macA~~~WP_000746455.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746455.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKAGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~tet(C)~~~WP_050918514.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_050918514.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALVQFACAPVLGALSDRFGRRPILLVSLAGATVDYAIMATVPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHTPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITAPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~tet(L)~~~WP_012210985.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~WP_012210985.1~~~tetracycline~~~unknown MVLNVSLPDIANDFNKPPASTNWVNTAFMLTFSIGTAVYGKLSDQLGIKRLLLFGIIINCFGSVIGFVGHSFFSLLIMARFIQGAGAAAFPALVMVVVARYIPKENRGKAFGLIGSIVAMGEGVGPAIGGMIAHYIHWSYLLLIPMITIITVPFLMKLLKKEVRIKGHFDIKGIILMSVGIVFFMLFTTSYSISFLIVSVLSFLIFVKHIRKVTDPFVDPGLGKNIPFMIGVLCGGIIFGTVAGFVSMVPYMMKDVHQLSTAEIGSVIIFPGTMSVIIFGYIGGILVDRRGPLYVLNIGVTFLSVSFLTASFLLETTSWFMTIIIVFVLGGLSFTKTVISTIISSSLKQQEAGAGMSLLNFTSFLSEGTGIAIVGGLLSIPLLDQRLLPMEVDQSTYLYSNLLLLFSGIIVISWLVTLKCI ->ARGMiner~~~PmpM~~~WP_002227462.1~~~ unknown +>ARGMiner~~~PmpM~~~WP_002227462.1~~~~~~unknown MLLDLNRFSFSVFLKEVRLLTALALPMLLAQVAQVGIGFIDTVMAGGAGKEDLAAVALGSSAFATVYITFMGIMAALNPMIAQLYGAGKTDEVGETGRQGIWFGLFLGVFGTVLMWAAITPFRNWLTLSDYVEGTMAQYMLFTSLAMPAAMVHRALHAYASSLNRPRLIMLVSFAAFVLNVPLNYIFVYGKFGMPALGGAGCGLATMAVFWFSALALWIYIAKENFFRPFGLTAKFGKPDWAVFKQIWKIGAPIGLSYFLEASAFSFIVFLIAPFGEDYVAAQQVGISLSGILYMIPQSVGSAGTVRIGFSLGRREFSRARYISGVSLVLGWMLAVITVLSLVLFRSPLVSMYNNDPAVLSIAATVLLFAGLFQPADFTQCIASYALRGYKVTKVPMFIHAAAFWGCGLLPGYLLAYRFDMGIYGFWTALIASLTIAAIALVWCLELCSREMVRSHKAV ->ARGMiner~~~macA~~~WP_002931964.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002931964.1~~~macrolide~~~unknown MKKKIVLIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSNSSSSSSSSSNSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADDLAPKMKLRF ->ARGMiner~~~adeG~~~WP_004700481.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_004700481.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMFLHENADAKAAPTSAQQAATVDISNVISKTITDWQEYSGRLEAIDQVDIRPQVSGKLISVHFKDGSLVKKGDLLFTIDPRPFQAELNRAQAQLASAEAQVTYTGSNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFSREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRSAILISPTAISVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNAQITANSTTPQPQPTEKTSTPAKG ->ARGMiner~~~mexY~~~WP_058168171.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_058168171.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGTKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~mdtF~~~ENB51840.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~ENB51840.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATGLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~tet(V)~~~CRZ18264.1~~~tetracycline unknown +>ARGMiner~~~tet(V)~~~CRZ18264.1~~~tetracycline~~~unknown MQHSVAKGEPPVDQTGGWRVLAPFRIREYRLLIAAVTLSIFAEGMWSVVMALQVIAIDNDPASLSLVATCLGLGLVAFVLVGGITADRVNQRTIIIAVETVNLVTVTIVAALGLLDLLKIWHLAVAAGILGIAAAFFFPAYSALLPRILPPEQLLAANGVEGVVRPVFQRSVGPAVAGMVIAATFPSLGAAVVAVLFGLGLALLVATRPTVDSVAAQDDDERPHVLRDLREGFAFMVRTPWLLWTLLFASMFVLVVLGPIEVLLPFIAQDRFADGARAYGFILAFFGFGSALGALTVSSRRMPRRYLTTMMLMWGLGSVPLVIVGVTSSFPLMALATFCIGVTDGAGMVIWGTLLQRRVPTEMLGRVSSLDFFVSLAFMPLSFAIVGPLSKVVSMEAIFLVAGLLPALLAAVAVTAARMPRDELAHPLR ->ARGMiner~~~emrA~~~WP_016232279.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_016232279.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIISPMTGYVSRRAIQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~macA~~~WP_023212628.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023212628.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~tolC~~~WP_049005060.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049005060.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGISDTTYSGSKTNTSQYDDSNMGQNKVGLNFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPDQVAAVDNFNANSSAPAAQPAAARSTKPASNGSNPFRN ->ARGMiner~~~emrB~~~WP_008914998.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_008914998.1~~~fluoroquinolone~~~unknown MTNTPLTGAKLAWMTIALSLATFMQVLDSTIANVAIPTIAGNLGASNTQGTWVITSFGVANAISIPITGWLARRIGEVRLFLWSTGLFALTSWLCGISNSLEMLILFRVLQGLVAGPLIPLSQSLLLNNYPPLKRNMALALWSMTIVVAPICGPILGGYISDNYHWGWIFFINVPFSIAIIFAIMRTLKGRETQISIRPIDTVGLVLLVVGIGALQIMLDQGKELDWFNSTEIIVLTIIAVVAIAFLIVWELTDEHPVIDLSLFKERNFTIGCIALSLAYMLYFGTIVLIPQLLQMVYGYTATWAGLASAPVGLLPLLITPIIGRFGNRIDMRYLVTFSFIIYALCYYWRAYTFEPGMSFAEAAWPQFVQGLAIACFFMPLTTITLSGLPPERMASASSLSNFTRTLAGAIGTSITTTMWTQREAMHHETLTEFVNPYNPNSQHMLSELEKIGMNEMQRSAYLAKTITDQVLIISANEIFWLSAGVFILLMVVIWFAKPPFSPGSKSEGGGAH ->ARGMiner~~~macB~~~WP_060615977.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_060615977.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGRTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_021521592.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_021521592.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYASLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~bcr-1~~~WP_033870271.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_033870271.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIAVLMLSLFILKETRPAAPTTSDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMLTSQVLFLAAGITLTVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~tet(30)~~~WP_056540658.1~~~tetracycline unknown +>ARGMiner~~~tet(30)~~~WP_056540658.1~~~tetracycline~~~unknown MNKALVVILSTVALDAIGAGLIFPILPNLLVEVTGGGDIGLLYGIMLAVYAIMQFVFSPVLGALSDRFGRRPVLLLSLAGTLLDYLVMALSPLGWVLVVGRAMAGITSANMAVASAYITDITPAEQRAQRFGTVGAVMSLGFIIGPVIGGVMGAWWLRSPFLVAALFNGLNLLMALFVLPESRKASRGKFAFKELNPLAPLVWLWNFKSLLPLVTVSVVFGLVAAIPGTIWVLYGAERFGWDSVHMGLSLSAFGISGALAQAFLVGPLSRRFGDLGTLMIGIAFDMLAYVLMAFASQSWMGYAVAPLFALGGVAMPALQSLVTSRVSDDQQGQLQGVLASLMSLAGVVGPVLTTAVFFSTKSIWIGTIWLVGAALYLLALPLFATVKAPKAAAA ->ARGMiner~~~hmrM~~~WP_005127195.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_005127195.1~~~fluoroquinolone;acridinedye~~~unknown MQKYVSEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQIRQGFWLAGIVSVLIMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPAMVMGFLGLLVNIPVNYVFIYGHLGMPELGGVGCGVATAAVYWVMFAAMLSYVKRARSMRDIRNERGFQKPDIAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGVCMAMMTAIFTVTLREHIALLYNNNPEVVTLAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRFLQRQPSAVILQRAAR ->ARGMiner~~~OXA-10~~~gi:210060768:pdb:2RL3:A~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-10~~~gi:210060768:pdb:2RL3:A~~~cephalosporin;penam~~~unknown MGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKXIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFHLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGII ->ARGMiner~~~norA~~~WP_049375221.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049375221.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPQKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYTAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~hmrM~~~ESL82444.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~ESL82444.1~~~fluoroquinolone;acridinedye~~~unknown MNEARQLLALAIPVIVAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMVVLWNAGHIIRAMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYVFIYGHFGMPELGGVGCGVATAAVYWVMFFSMLTFVKRARSMRDIRNENRFSTPDWNIMTRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVNVAGHQIALNFSSLMFVLPMSLAAAVTIRVGFRLGQGSTLDAQTAARTGLGVGVCMAICTALFTVALREQIALLYNDNPEVVALASHLMLLAAIYQISDSIQVIGSGVLRGYKDTRSIFFITFIAYWVLGLPCGYILALTDLVVDRMGPAGFWMGFIIGLTSAAIMMMLRMRFLQRQPSTLILQRAAR ->ARGMiner~~~tolC~~~WP_000735295.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735295.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTNQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~GES-11~~~AFK78219.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-11~~~AFK78219.1~~~carbapenem;cephalosporin;penam~~~unknown MRFHHALLLAGIAHSAYASEKLTFKTDLEKLEREKAAQIGVAIVDPQGEIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMGDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGARNDIGFFKAQERDYAVAVYTTAPKLSAVERDELVASVGQVITQLILSGEKK ->ARGMiner~~~macB~~~WP_000188133.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188133.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtF~~~WP_025238134.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_025238134.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIILMLAGGLAIMNLPIAQYPQIAPPTITISATYPGADAQTVENSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEEVQQQGISVNKSSSSILMVAAFISENGSLNQYDIADYVASNIQDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALETARAVKDELNHLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNIRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEDKLPPREATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKATPESGHKPNALFARFNHLFEQSTQHYTDSTRSLLRCTGRYMVVYLLIGAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGSTMVNTTKVLQQVTDYYLTKEKKNVESVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSRINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPAMEILGEAAAGKSTGDAMKFMAELVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVIGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVIGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~blaF~~~WP_019347821.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_019347821.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGTGVGGAAPAFAAPIDDQLAELERRDNVLIGLYASNLDSGRRITHRADQMFAMCSTFKGYAAARVLQMAERGEISLDNRVFVDADALVPNSPVTETRAGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAILAGDALSPSQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPDGQRLLLVMMTRSQAHDPKAENLRPLIGELTALVLPSLL ->ARGMiner~~~mexH~~~WP_019396911.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_019396911.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRGVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~macB~~~WP_031605176.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_031605176.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKHEQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB3~~~WP_053020033.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_053020033.1~~~fosfomycin~~~unknown MIKGINHITYSVSNIAKSIEFYRDILGADILVESETSAYFNLGGIWLALNEEKNIPRSEIKYSYTHIAFTISDNDFEDWYIWLKENEVNILEGRDRDIRDKKSIYFTDLDGHKLELHTGSLEDRLNYYKEAKPHMNFYI ->ARGMiner~~~tolC~~~WP_000735270.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735270.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNDYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNYLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~acrB~~~WP_023491039.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_023491039.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVIAIIIMLAGLLSIVKLPIAQYPTIAPPAVTIAANYPGADAKTVQDSVTQVIEQNMNGVDNLLYMSSSSDSAGNVQITLTFDSGADPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVAGFISEDGSMTQEDIADYVGSNIKDPISRTPGVGTVQLFGAQYAMRIWLDPNKLNKFQLTPSDVIAAIKVQNNQIAGGQLGGTPPVKGQQLNASIIAQTRLSSPEEFSRIQLKVNADGSQVRLSDVAKVELGGESYNVIARYNGKPASGIGIKLATGANALNTAAAVKAELGKLQPFFPASLQVVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAVFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERIMAEEGLSPKEATKKSMEQIQGALVGIALVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPIPKGDHGINTGFFGWFNRLFEKSTHHYTDSVANILRSTGRYLMVYLLIVVGLAVLFMRLPSSFLPEEDQGMLLTMVQMPAGATQERTQKVLEEVNHYFLTAEKDNVNSVFTVNGFGFSGQGQNTGLAFVSLKDWSERSGAANKVPAIVGRAMGSFSQIKDGLVFAFNLPAIIELGTASGFDFQLIDQANLGHEKLTEARNQLLGMAAQHPDLLTGMRPNGQEDTPQFKLDIDQEKAQALGVSLNDINSTISAALGGAYVNDFLDRGRVKRVYVQADAPFRMLPEDINKWYVRGSSGQMVPFSAFASAHWSFGSPRLERYNGLPSMEIIGQAVPGRSTGEAMDMMEQLASKLPSGIGYDWTGMSYQERLSGSQSTSLYVISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSFRGLENDVYFKVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIESTLEAVRMRLRPILMTSLAFILGVMPLVVSSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSKKSEDLDHSHPIDRQ ->ARGMiner~~~OprJ~~~WP_023100243.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprJ~~~WP_023100243.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MRKPAFGVSALLIALTLGACSMAPTYERPAAPVADSWSGAAAQRQGAAIDTLDWKSFIVDAELRRLVDMALDNNRSLRQTLLDIEAARAQYRIQRADRVPGLNAAATGNRQRQPADLSAGNRSEVASSYQVGLALPEYELDLFGRVKSLTDTALQQYLASEEAARAARIALVAEVSQAYLSYDGALRRLALTRQTLVSREYSFALIDQRRAAGAATALDYQEALGLVEQARAEQERNLRQKQQAFNALVLLLGSDDAAQAIPRSPGRRPKLLQDIAPGTPSELIERRPDILAAEHRLRARNADIGAARAAFFPRISLTGSFGTSSAEMSGLFDGGSRSWSFLPTLTLPIFDGGRNRANLSLAEARKDSAVAAYEGTIQTAFREVADALAASDTLRREEKALRALANSSNEALKLAKARYESGVDNHLRYLDAQRSSFLNEIAFIDGSTQRQIALVDLFRALGGGWDEGRSLVVHRGGRS ->ARGMiner~~~mdtP~~~WP_032304306.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032304306.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVFEKK ->ARGMiner~~~catB2~~~WP_011073960.1~~~phenicol unknown +>ARGMiner~~~catB2~~~WP_011073960.1~~~phenicol~~~unknown MKNYFETPFKGKPLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLLPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRHDWVTSFPFFYMNEEPAFSEAVDTFQTTGDTVIGNDVWIGSEAMILPGVKVGHGAVIGSRALVTKDVEPYTIVGGNPAKPIKKRFSEQEISMLLEMAWWDWPLEQIEQAMPLLCSSDIAGLYRIWQSSNA ->ARGMiner~~~bcr-1~~~WP_032197292.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_032197292.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAMVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~hmrM~~~WP_023321121.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_023321121.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFIEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQVRQGFWLAGFVSILIMVVLWNAGYIISSMHNIDPAMAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGIGCGVATASVYWVMFFCMLSWVRRARSMRDIHNAERFSKPDFAVIQRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTLEAQTSARTGVGVGVCMAVITAVFTILMREQIALLYNDNPEVVALASQLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDIVVPRMGPAGFWCGFIIGLTSAAVMMMLRMRFLQRQPSSVILQRAAR ->ARGMiner~~~macB~~~WP_001519630.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001519630.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDVAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_002894393.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002894393.1~~~macrolide~~~unknown MKKKIVLIILIAILGSVEAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLATKMKLRF ->ARGMiner~~~vanXD~~~WP_016295755.1~~~glycopeptide unknown +>ARGMiner~~~vanXD~~~WP_016295755.1~~~glycopeptide~~~unknown MEKNFVFLDEMLPGIRWDAKYATWDNFTGKPVDGYEVNRIVGTKELGAALRKAQKAAEKLGYGLLLWDGYRPQCAVDCFLTWASLPENNLTKKRYYPNIKRNEMITKGYVASQSSHSRGSAVDLTIFRLDTGMLVSMGGDFDFMDVRSHHAASGLSEEEAGNRERLRDIMERSGFEAYRYEWWHYVLADEPYPDTYFDFYIA ->ARGMiner~~~mdtO~~~WP_021541510.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021541510.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLVSEINKLQHTIAEGQCWQSDWRLSEDEAVAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVVLERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPAKEQAQGATQ ->ARGMiner~~~novA~~~WP_023543283.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_023543283.1~~~aminocoumarin~~~unknown MKSALSTWKPSDRPPDPTQPEPPAQLRRIFRLFRPYRGRLAVVGLLVGASSLVAVASPFMLREILDTAIPQGRTGLLSLLALGMIVTAVLSSVFGVVQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKRITLQRQKQMATMAATVTESLSVSGILLGRTMGRADSLTRSFAEESERLVDLEVRSNMAGRWRMSVIGIVMAAMPAVIYWAAGFVLQSGGTVVSIGTLVAFVSLQQGLFRPAVSLLATGVQMQTSLALFQRIFEYLDLPVDITEPERPVALDKVRGEVRFDGVDFSYEEKDGNTLHGLDLTVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVLLDGVDVRDLAFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEKAARAAQIHDHIVTLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLVLDEATSALDTRTEHAVQQAIDSLTEGRTTITIAHRLSTVRDADQIVVLDAGRIAERGTHEELIDRDGRYAALVRRDGAPAPAPVPAQDGRVGAA ->ARGMiner~~~arnA~~~WP_023212356.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_023212356.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSVLPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQRFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLDWEPSIAMRDTVEETLDFFLRSVDIAERAS ->ARGMiner~~~mepA~~~ZP_03986195~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~ZP_03986195~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVALPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMSNKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGVVIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~acrB~~~WP_001571349.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001571349.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MFSRFFVRRPVFAWVIAILIMLAGILAIRTLPVAQYPDVAPPTIKISATYTGASAETLENSVTQVIEQQLTGLDNLLYFSSTSSSDGSVSINVTFEQGTDPDTAQVQVQNKIQQAESRLPSEVQQTGVTVEKSQSNFLLIAAVYDTTDKASSSDIADWLVSNVQDPLARVEGVGSLQVFGAEYAMRIWLDPAKLASYSLMPSDVQSAIEAQNVQVTAGKIGALPSPNTQQLTATVRAQSRLQTVDQFKNIIVKSQSDGAVVRIKDVARVEMGSEDYTAIGKLNGHPSAGVAVMLSPGANALNTATLVKDKIAEFQRNMPQGYDIAYPKDSTEFIKISVEDVIQTLFEAIVLVVCVMYLFLQNLRATLIPALAVPVVLLGTFGVLALFGYSINTLTLFAMVLAIGLLVDDAIVVVENVERIMRDEGLPAREATEKSMGEISGALVAIALVLSAVFLPMAFFGGSTGVIYRQFSITIISAMLLSVVVALTLTPALCGSVLQHVPPHKKGFFGAFNRFYRRTEDKYQRGVIYVLRRAARTMGLYVVLGGGMALMMWKLPGSFLPTEDQGEIMVQYTLPAGATAARTAEVNRQIVDWFLINEKANTDVIFTVDGFSFSGSGQNTGMAFVSLKNWSQRKGTENTAQAIALRATKELGTIRDATVFAMTPPAVDGLGQSNGFTFELLANGGTDRETLLQMRNQLIEKANQSPELHSVRANDLPQMPQLQVDIDSNKAVSLGLSLNDVTDTLSSAWGGTYVNDFIDRGRVKKVYIQGDSEFRSAPSDLGKWFVRGSDNAMTPFSAFATTRWLYGPERLVRYNGSAAYEIQGENATGFSSGDAMTKMEELANSLPAGTTWAWSGLSLQEKLASGQALSLYAVSILVVFLCLAALYESWSVPFSVILVIPLGLLGAALAAWMRDLNNDVYFQVALLTTIGLSSKNAILIVEFAEAAVAEGYSLSRAALRAAQTRLRPIIMTSLAFIAGGMPLAIATGAGANSRIAIGTGIIGGTLTATLLAIFFVPLFFVLVKRLFAGKPRRQE ->ARGMiner~~~mdtG~~~WP_032942948.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032942948.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFILTLFFIRERFQPVSKKEMLHIREVVASLKNPKLVLSLFITTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPKLGKLGDRIGPEKILIVALIVSVLLLIPMSFVHTPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNALYSWNSLRRRRSTEVVG ->ARGMiner~~~sdiA~~~WP_001563516.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001563516.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCTAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~TEM-1~~~ANG12696.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG12696.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTLGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~acrB~~~WP_051124425.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_051124425.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPXXPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~VIM-26~~~gi:753534206:pdb:4UWS:B~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-26~~~gi:753534206:pdb:4UWS:B~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGXAVLELSSTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~CTX-M-3~~~ADA62521.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~ADA62521.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~bcr-1~~~YP_002295271~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~YP_002295271~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~Escherichia coli ampC~~~WP_001681940.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001681940.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPGNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~macA~~~WP_003702998.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_003702998.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERVMGGPPRR ->ARGMiner~~~FosB~~~WP_031305161.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_031305161.1~~~fosfomycin~~~unknown MANNNILGINHLLFSVSDLSVSISFYEKVFDAKWLVKAEKTAYFDLNGIWLALNEEKDIKRQEIHDSYTHIAFSIQQEDLPFWEKKLCDLSVNVLKGRKRHEGDKDSIYFSDPDGHKFELHTGSVFDRLQYYQNEKQHLSFHEGHIKALYDRNK ->ARGMiner~~~norA~~~WP_064134851.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_064134851.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYYSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~mdtM~~~WP_032267527.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032267527.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAISLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVFRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~FosA2~~~ESM32414.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~ESM32414.1~~~fosfomycin~~~unknown MGHVMLQSLNHLTLAVSDLQKSVTFWHELLGLALHAHWNTGAYLTCGDLWVCLSYDEARQFVPPQESDYTHYAFTVAEADFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~sdiA~~~WP_047653716.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_047653716.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDIDFFTWRRECVLRFQQMTCADEVYQELQRQTQALEFDYYALCVRHPVPFTRPRTSVHSSYPQQWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFTDAQQLWDGARDHGLRKGITQCLMMPNHALGFLSVSCTSLQAHSISSEEVELRLQMMVQMALTTLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKIQIACYAAATGLI ->ARGMiner~~~dfrA12~~~WP_032151894.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA12~~~WP_032151894.1~~~diaminopyrimidine~~~unknown MNSESVRIYLVAAMGANQVIGNGPNIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRHTLVISRQANYRATGCVVVSTLSHAIALASELGNELYVAGGAEIYTLALPHAHGVFLSEVHQTFEGDAFFPMLNETEFELVSTETIQAVIPYTHSVYARRNG ->ARGMiner~~~Escherichia coli ampC~~~WP_048969621.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_048969621.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDDVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLTHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~mdtF~~~Q8FCI8~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~Q8FCI8~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~TEM-1~~~ANG29794.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG29794.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLLDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~WP_052283603.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_052283603.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARTSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDSNGVNSNVTSGSLQLTQSLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVESLRQVTGNYYPELASLNVDGFKTDKPQGVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLDLTASTGVSNTSYSGSKTNGTGNYNDNDAGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLLALNNTLGKPVPTSNASIAPENSQQDATADGYTSAATSKARIR ->ARGMiner~~~emrB~~~WP_001516928.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001516928.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPYNPNAQAMYHQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFARPPFGAGGGGGGAH ->ARGMiner~~~emrB~~~WP_015370067.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_015370067.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFVWSTVAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNYTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPFNPNAQQMYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWVSAGIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~mdtP~~~WP_000610581.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610581.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~macA~~~WP_025758846.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_025758846.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLAIGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSLQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMGGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~Escherichia coli acrA~~~ADA72786.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~ADA72786.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MTNLKSDTRGLHMNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQGYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATVLATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~macA~~~WP_050157472.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050157472.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQAAYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERVMGGPPRR ->ARGMiner~~~FosA2~~~WP_023334348.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_023334348.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFSSDEA ->ARGMiner~~~FosB3~~~WP_002391188.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_002391188.1~~~fosfomycin~~~unknown MIKGINHITYSVSNIAKSIEFYRDILGANILVESETLAYFNLGGIWLALNEEKNIPRSEIKYSYTHIAFTISDNDFEDWYNWLKENEVNILEGRDRDIRDKKSIYFTDLDGHKLELHTGSLEDRLSYYKEAKPHMNFYI ->ARGMiner~~~macB~~~WP_048218206.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048218206.1~~~macrolide~~~unknown MTALLELSHIRRSYPSGEGLVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPPQKPSGGRDIAEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSARAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASEVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_000735300.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735300.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGANSAQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPPTPEQNAIADGYAPDSPAPVVQQTSARTTNSNGHNPFRN ->ARGMiner~~~macB~~~YP_002269553~~~macrolide unknown +>ARGMiner~~~macB~~~YP_002269553~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFMTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanWG~~~WP_021418925.1~~~glycopeptide unknown +>ARGMiner~~~vanWG~~~WP_021418925.1~~~glycopeptide~~~unknown MYKLTQRKRLTQLFPFLLPLRKWQRKKYFYFKMKFDGNRYAKKTSEKLLPNTVFETSSLMLNENSGFDMKYQINKVHNLKLAAKTINKVIIEPKETFSFWQLVRWADRHEKYKDGLNLVNGKIVGSYGGGLCQLSNMLFWLFLHTPLVIVERHGHAVESFPSTTEDLPCGTDATINEGWLDLKLRNDTDNTFQIEISFDDNFMYGRILSQSSVNIEYTVFNSSVSYFKREEKVYQIASVCRTEKDKMTGSQTEKELYVNQCEIAYKLPDDVKIEERGV ->ARGMiner~~~Bacillus subtilis mprF~~~WP_050964278.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_050964278.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIVEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~mdtE~~~WP_001590854.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001590854.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGTLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQEFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASIESKQ ->ARGMiner~~~mdtG~~~WP_063145761.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_063145761.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADNYGLRPVFFITASVLFLCFIVTLLCIRENFTPVAKKEMLHAREVLTSLKNPRLVLSLFVTTMIIQVATGSIAPILTLYVRDLAGNVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSMVQTPWQLGLLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGAGISASFGFRAVFIVTAGVVLFNAIYSWFSLSRALRPATE ->ARGMiner~~~vanRA~~~WP_016078505.1~~~glycopeptide unknown +>ARGMiner~~~vanRA~~~WP_016078505.1~~~glycopeptide~~~unknown MSDKVLIVEDEREIADLVELYLKNENYTVFKYYTAKEALECIDKNVLDLAILDIMLPDVSGLTICQKIRDKHTYPIIMLTAKDTEVDKITGLTIGADDYITKPFRPLELIARVKAQLRRYKKYNGVTAQNENVIVHSGLVINISKHECSLNEKPLSLTPTEFSILRILCENKGNVVSSEQLFHEIWGDEYFSKSNNTITVHIRHLREKMNDTVDNPKYIKTVWGIGYKIEK ->ARGMiner~~~FosB~~~WP_044742103.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_044742103.1~~~fosfomycin~~~unknown MPIGSINHLTFSVSDLEKSVRFYQQVFGAKLLIKGRNLAYFDLNGMWLALNVQKDIPRNEIRHSYTHIAFSVKEEDFDDLVQRLKELDVAIIPSRERDERDKRSVYFTDPDGHKFEFHTGSLNDRLAYYKSEKHHMQFFT ->ARGMiner~~~bcr-1~~~WP_032223202.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_032223202.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAIMGIAVLMLSLFILKETRPAAPAASDKSRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLTVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGISAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~msbA~~~WP_000597235.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597235.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRLFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~otr(B)~~~CAB12101~~~tetracycline unknown +>ARGMiner~~~otr(B)~~~CAB12101~~~tetracycline~~~unknown MDTTTAKQASTKFVVLGLLLGILMSAMDNTIVATAMGNIVADLGSFDKFAWVTASYMVAVMAGMPIYGKLSDMYGRKRFFLFGLIFFLIGSALCGIAQTMNQLIIFRAIQGIGGGALLPIAFTIIFDLFPPEKRGKMSGMFGAVFGLSSVLGPLLGAIITDSISWHWVFYINVPIGALSLFFIIRYYKESLEHRKQKIDWGGAITLVVSIVCLMFALELGGKTYDWNSIQIIGLFIVFAVFFIAFFIVERKAEEPIISFWMFKNRLFATAQILAFLYGGTFIILAVFIPIFVQAVYGSSATSAGFILTPMMIGSVIGSMIGGIFQTKASFRNLMLISVIAFFIGMLLLSNMTPDTARVWLTVFMMISGFGVGFNFSLLPAASMNDLEPRFRGTANSTNSFLRSFGMTLGVTIFGTVQTNVFTNKLNDAFSGMKGSAGSGAAQNIGDPQEIFQAGTRSQIPDAILNRIIDAMSSSITYVFLLALIPIVLAAVTILFMGKARVKTTAEMTKKAN ->ARGMiner~~~emrB~~~WP_052924500.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_052924500.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQTMYDKLEGSGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~FosB3~~~WP_038429037.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_038429037.1~~~fosfomycin~~~unknown MEIKGINHLLFSVSHLDTSIDFYQKVFGAKLLVKGRTTAYFDMNGIWLALNEEPDIPRNDIKLSYTHIAFTIEDHEFEEMSAKLKRLHVNILPGRERDERERKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMHFYDETAF ->ARGMiner~~~TEM-1~~~ANG24801.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG24801.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRIALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERSRQIAEIGASLIKHW ->ARGMiner~~~mdtB~~~WP_001197885.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_001197885.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDQGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKVEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALMIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~msbA~~~WP_031796015.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031796015.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTHSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~sdiA~~~KDF14438.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~KDF14438.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLLRFQEMATAEDVYNELQQQTQHLEFDFYALCVRHPVPFTRPKTSLHTTYPKAWVAHYQSENYFAIDPVLKPENFSQGHLPWNDTLFRDAQPLWDAARNHGLRKGMTQCLMLPNRALGFLSVSRASIRNSRFANDEVELRMQLLVRESLSVLTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~emrA~~~ESH53118.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~ESH53118.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMVVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP000675.2.gene1535.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP000675.2.gene1535.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSSSILIIDDDTELTDLLTQYLEPEGFNVVCVHDGENGVKKALNQVFDAIILDVMLPKLNGFEVLKAIREHLETPVLMLTARGDDIDRIVGLEIGADDYLPKPCNPRELVARLRAILRRTQKIPTPKPIIEQHNIIVDCSKRHVTMGGKFLELTNAEFNILEMLIKSPGQAFSKEELTEYALGRKYTAYDRSIDVHISNLRNKLGDNPQGEPLVKTVRGFGYMFNA ->ARGMiner~~~mdtF~~~WP_001526415.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001526415.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKGYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~dfrA23~~~WP_001142080.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA23~~~WP_001142080.1~~~diaminopyrimidine~~~unknown MPTIEIIVAVDPDGGFGRNGQIPWTCKEDMKRFTTISKEIRVCVMGKNTYKDMLDMQMKKDGAEERIKEKGILPERESYVVSSTLKPEDVIGATVVPDLRAVLNRYHDSDQRIAVIGGEKLYVQALASAKKVHLTVMPRSYKCDRTLPMSYIDSKFAIGQGSITIQTTVDGETHPVKFITYERVQP ->ARGMiner~~~FosB~~~WP_036121297.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_036121297.1~~~fosfomycin~~~unknown MTIQSINHLLFSVSNLEQSIAFYENVFDAKLLVKGNSTAYFDVNGLWLALNVEKNIPRNDIQYSYTHIAFTISEDEFDKMYDKLVQLKVHILDGRQRDERDKKSIYFTDPDGHKFEFHTGTLQDRLDYYMKEKLHMEFFNH ->ARGMiner~~~MexE~~~WP_058162118.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_058162118.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARITAAQEARAAVAATQAQLDAARLNLSFTRVTAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAATKDNATRNEPRG ->ARGMiner~~~SRT-2~~~WP_026142361.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_026142361.1~~~cephalosporin~~~unknown MTKINRLAAALLAAMILPAGHAADKAEIDAIIQPLMQKYGVPGMAIAVSVDGKQQFYDYGVASKQTGKPITNQTLFEVGSLSKTFTATLAAYAQNEGKLSFAEPASHYLPELRGSAFDRVSLLNLATHTSGLPLFVPDEVTNDAQLMAYYKNWQPPHAVGSYRVYSNLGIGMLGMITAKSLNQPFALAMEKQLLPALGMNHSYVNVPAAQMDNYAQGYNKKDQPVRVTPGPLDAESYGIKSNAEDLIRYLEANMQVAKVGDKWRKALAATHTGYYRAGVFTQDLMWESYAYPEKLTTLTEGNNAGMIMNGAPATAITPPKQDQGAAWYNKTGSTGGFSTYAVFIPSKKIAVVMLANKWFPNDDRVAATYQLVQALDKR ->ARGMiner~~~CepS beta-lactamase~~~WP_049046164.1~~~cephalosporin unknown +>ARGMiner~~~CepS beta-lactamase~~~WP_049046164.1~~~cephalosporin~~~unknown MKQTRALPLLALGTLLLAPLSLAAPVDPLKVVVDDAIRPVLKQHRIPGMAVAVLKGGQAHYFNYGLADVATGTKVNEQTLFEIGSVSKTYTATLGAYAVVKGGFKLDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSSDTMRAYYRHWTPAYQAGSHRQYSNPSIGLFGHLAANSLQQPFSTLMEQTLLPALGLEHTYLQVPEAAMARYAFGYSKEDKPIRVNPGMLADEAYGIKTGSADLIAFVKANISGVDDKPLQQAIALTHTGFYRIGEMTQGLGWESYAYPVSEQTLLAGNSPAVSLKANPVTKLETPAAPGAMRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPIEARVSAAHAILSQLAP ->ARGMiner~~~macA~~~WP_031975766.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_031975766.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAKDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~norA~~~WP_061733222.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061733222.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITTAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~sul1~~~WP_039113376.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_039113376.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPVPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~emrA~~~WP_064372453.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_064372453.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIVAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAQTQLASSVRQTRQQMINSKQLQASIEVKKTALSQAQTDLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTKIVSPISGYVSRRSVQPGAQITTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRGGEMLASQVRSAPVYESNAREIGLEPVNKLINDIIQANAG ->ARGMiner~~~mdtA~~~ESM14988.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~ESM14988.1~~~aminocoumarin~~~unknown MGLCRVALRLPGLRFEDLVGRVRRSRHPAKQRTTLRPFLTVFHISSIFPLCYLTKLVSFPESVSGRERITMKGSNKSRWAIAVGLIVVVLAAAWYWHSQSANSTAPAGANSPSQRPTGGGRHGMRGAALAPVQAATAVNKAVPRYLSGLGTITAANTVTVRSRVDGQLMAIHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLVKTNLVSRQELDTQQSLVSESQGTIKADEAAVASAQLQLDWSRITAPIDGRVGLKQVDIGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVIQAQKAGKALVVEAWDRTNKQKLSEGSLLSLDNQIDTTTGTIKLKARFNNQDDALFPNQFVNARMLVATEENAVVIPTAALQMGSEGNFVWVLNSENKVSKHLVKTGIQDSQTVVISAGLSAGDRVVTDGIDRLTEGAQVEVVEAQNTGAKA ->ARGMiner~~~tet(C)~~~WP_057523151.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_057523151.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWRNSSNSQPVPVTPRSSLRDRVDRHPP ->ARGMiner~~~tolC~~~ETQ77221.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~ETQ77221.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEVTLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~mtrE~~~WP_002242937.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~WP_002242937.1~~~macrolide;penam~~~unknown MDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANGSRQGSLSGGNVSSSYNVGLGAASYELDLFGRVRSSSEAALQGYFASVANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREATYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAAHAAFFPSIRLTGSVGTGSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYESAVQSAFQDVANALTAREQLDKAYAALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~CTX-M-30~~~AIC64451.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64451.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILATYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mexH~~~WP_058165238.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058165238.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVHALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~emrA~~~WP_009308476.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_009308476.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKGDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALSQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~bacA~~~YP_001124322~~~peptide unknown +>ARGMiner~~~bacA~~~YP_001124322~~~peptide~~~unknown MDWMELLKAVILGMVEGLTEFAPVSSTGHMIIVDDLWLKSTEFLGKYAANTFKVVIQLGSILAAVVVFKDRFLELLGIRGRHPGGKPRLTLLHVIIGLLPAGVLGVLFEDYIDEHLFSTKTVLIGLVLGALLMIVADRFAKKAARAQTVDQITYKQAFLVGLVQCLSLWPGFSRSGSTIAGGVLVGMSHRAAADFTFIMAVPIMAGASGLSLLKNWQYVTVADIPFFIAGFLSAFVFALLAIRFFLHLINRIRLVPFAVYRIALAFIIYFLYF ->ARGMiner~~~emrK~~~WP_033804165.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_033804165.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLAVVLFIAFSGAYAYWSMELEDMISTDDAYVTGNAEPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQAYKANKALIMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTKNEDIAEMPELASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~mdtC~~~WP_029396025.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_029396025.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIIVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAVSNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVSVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGMVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~imiH~~~WP_029304661.1~~~carbapenem unknown +>ARGMiner~~~imiH~~~WP_029304661.1~~~carbapenem~~~unknown MKGWMKCGLAGAVVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYVQENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRQPVLEVINTNYHTDRAGGNAYWKSIGAKVVATRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDQQVLYGNCILKEKLGNLSFADVKAYPQTLERLKSMKLPIKTVVGGHDSPLHGPELIDHYEALIKAAPQS ->ARGMiner~~~smeR~~~WP_005180005.1~~~aminoglycoside;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~smeR~~~WP_005180005.1~~~aminoglycoside;cephalosporin;cephamycin;penam~~~unknown MKHVMLVEDEVELAQLVRDYLEAAGFEVSMFHDGQEAYNSFLQRKPSLMILDLMVPRMDGLTICRKVREQSDLPIIMVTARTEEIDRVLGLNMGADDYICKPFSPKELVARVQAVLRRLDRKAEPETNDLFRMDKAQQRIWYQQKALNLTPTEFRLLELFLEHVGQVYSRAQLLDHINPDSFDVADRVIDSHIKNLRRKISEAAETGNRHEWIQAVYGVGYRFEYPED ->ARGMiner~~~TEM-1~~~ANG24201.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG24201.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYMELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macB~~~WP_024248430.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024248430.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVIERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATRILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mecR1~~~gi:295443907:dbj:BAJ06377.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~gi:295443907:dbj:BAJ06377.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTHYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~CTX-M-113~~~AFH89469.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-113~~~AFH89469.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSEGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKLSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~macA~~~WP_059362006.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_059362006.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLCLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISESLPGAAK ->ARGMiner~~~amrA~~~WP_006476058.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_006476058.1~~~aminoglycoside~~~unknown MNNKRTLWRRTRLAPFALAALLAVAGCGKGDKDAAPETAKQATVVTVRPTAVPMTVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKQGAVLFRIDPAPLKAARDAAQGALAKAQAAALAATDKRRRYDDLVRDRAVSERDHTEAVAADTQAKAEVASAKAELARAQLQLDYATVTAPIAGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVDALRRAVKSGRATGIAQHDVAVTLLRADGTAYPLKGKLLFSDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDTAVDQRAILVPRDALLRTADRTSVRVVGANGKVKDVEVTADQMSGRDWRITRGLAGGERVIVDDAAQFAPDTAVKPVEKAPPSKAAPAAAASQAAARQT ->ARGMiner~~~bacA~~~Q4KC13~~~peptide unknown +>ARGMiner~~~bacA~~~Q4KC13~~~peptide~~~unknown MDLWTAAQALILGIVEGLTEFLPISSTGHQIIVADLLDFGGERAMAFNIIIQLGAILAVVWEFRRKILDVVIGLPTQPKAQRFTINLLIAFLPAVVLGVIFADLIHAYLFNPITVATALVVGGLIMLWAERRQHQVHAETVDDITWKDALKVGCAQCLAMIPGTSRSGSTIIGGLLFGLSRKTATEFSFFLAMPTMVGAAVYSGYKYRHLFQPDDFPVFAIGFVTAFVFAMIAVKGLLKFIASHSYAAFAWYRIAFGLLILATWQFGWVDWTAAKP ->ARGMiner~~~sdiA~~~WP_001157164.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001157164.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDFYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSVSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~CTX-M-30~~~AIC64438.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64438.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWDVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~Erm(41)~~~AKP16679.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~AKP16679.1~~~macrolide;lincosamide;streptogramin~~~unknown MSGQRSRRQWGWYPLTDDWAARIVAESGVRSGEFVVDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRVAEADILAFRWPRRPFRVVASPPYHVTSALIRSLLTPESRLLAADLVLQRGAVHKHAKRAPVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~blaF~~~WP_036445106.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_036445106.1~~~penam~~~unknown MTGLSRRNVLIGSLVTAAAVGAGVGNAAPALATPLDDQIADLERRHNALIGLYASNLDSGRTLAHRPDEMFAMCSTFKGYAAARVLQMVGRRQISLDNRVFVDREAIVPNSPITETHVGAEMTLAELCQAALQRSDNTAGNLLLKTIDGPAGITAFARSIGDERTRLDRWEVELNSAIPGDPRDTSTPAALAAGYRQVLAGDALSPPQRRQLEDWMRANQTSSVRAGLPEGWTTADKTGSGDYGSTNDVGIAYGPDGQRLLLAVMTRSQADDPKADNLRPLIGELTAAVLPSLL ->ARGMiner~~~arnA~~~WP_042002901.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_042002901.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~cmlB~~~CP000647.1.gene4115.p01~~~phenicol unknown +>ARGMiner~~~cmlB~~~CP000647.1.gene4115.p01~~~phenicol~~~unknown MLVLLVAVGQMAQTIYIPAIADMAVALNVREGAVQSVMAAYLLTYGISQLFYGPLSDRVGRRPVILVGMSIFMLATLVAITTHSLPVLIAASAMQGMGTGVGGVMARTLPRDLYEGAQLRHANSLLNMGILVSPLIAPLLGGILDTLWSWRACYAFLLVLCAGVTFSMAKWMPETRPEGAPRTKLLASYKTLFGTGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMAVSILFILPIPAAFFGAWFAGRPNKRFPTLMWQSVICCLLAGLMMWIPGLLGNMTVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMMPQTGQGSLGLLMMLMGLLILLCWLPLASRFTHHQQPV ->ARGMiner~~~adeG~~~WP_032019894.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032019894.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLKAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAKQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~tolC~~~WP_064147020.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064147020.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTSYNGSKTNTPLAYNDSDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~mexY~~~WP_058169301.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_058169301.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPAAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGTSPQIPTEQA ->ARGMiner~~~emrB~~~WP_024212479.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_024212479.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPYNPNAQAMYHQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWISAGIFLVLLGLVWFARPPFGAGGGGGGAH ->ARGMiner~~~mdtH~~~WP_059370759.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_059370759.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMTPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~mdtN~~~WP_044721456.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_044721456.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVEQAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDVQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETELKNIRSGTPATIRLMSNSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~novA~~~WP_053176464.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_053176464.1~~~aminocoumarin~~~unknown MPHDEPKWIPSKDPLDPTRPAPAEQPRELRRIVGLFRPYRGRLAVVGLLVGASSLVGVASPFMLREILDVAIPEGRTGLLSLLALGMILTAVVTSVFGVLQTFISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTLVSLLLLPVFVWISRRVGHERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTSAFSAESEKLVGLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQTGTPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERPDPVRLDRAKGEVTLEDVQFTYDAKNGPTLSGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVALDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEITDAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDNLSAGRTTITIAHRLSTVRDADQIVVLDAGRIAERGTHEELLKADGRYAALVRRDRDAALAPEPPEGLQLAPVNV ->ARGMiner~~~mdtH~~~WP_065392391.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_065392391.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIHFVEQLGWAALVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVTGMLLRALGFALIALATEPWILWLACILSALGGTLFDPPRTALVIKLTRPHERGRFFSLLLMQDSAGAVIGALIGSWLLQYDFQLVCWTGAGVFVLAAIWNALFLPAYRISTTRTPIWEGMQRVIKDRRFFTYVLTLTGYFMLSVQVMLMFPIIVNEIAGTPAAVKWMYAIEATLSLTLLYPLARWSEKRFRLEQRLMAGLFLMSLSMFPVGLIGEINTLFGLICLFYLGTVTAEPARETLSASLADPRARGSYMGFSRLGLALGGALGYTGGGWLYDTGHALHMPQLPWFLLGIIGLITLYALHRQFNQRKIESAMLSGN ->ARGMiner~~~emrB~~~WP_001187302.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001187302.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVVCFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~MexB~~~WP_006387476.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_006387476.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MAKFFIDRPVFAWVIAIVLMMAGALSILKLPVSQYPNIAPPAIGIAVTYPGASAQTVQDTVVQVIEQQMNGLDGLEYISSGSNSDGSMSITLTFRQGTNPDTAQVQVQNKLSLAQPLLPQEVQQQGIRVTKATKNFLIVAGFVSTDGTMTKDDLADYVASYVQDPISRTQGVGDFQLFGSQYAMRIWLDPAKLVNYGLTTVDVVNAIKEQNVQVSSGQLGGLPAVRGQQLNATIIGPSRLEKPEDFGRILLKVNADGSQVRLANVARIELGGQTYAIDSYYNGKPASGLAIKLAPGANALDTAQAVRDTINNLKPYFPPGMDVVYPYDTTPFVSLSIEEVFKTLVEAIILVFLVMYLFLQNFRATLIPTLAVPVVLLGTFGVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLTPKQATRKSMTQITGALIGIAMVLAAVFIPMAFFGGSTGVIYRQFSITIVSSMVLSVIVAIVFTPALCATLLKPIPKGHHGTKRGFFGWFNRAFDRSSHGYANTVARGLGRTKRLMVVYLAIVIAMGWMFTRIPTAFLPAEDQGILFAQIQTPAGATAERTKAVIDEATNYLLTEEKDAVTSVFAVNGFNFGGRGQNASILFIKLRDWEDRGDAKLKAAAVAARANAHFRKTERDAMLFVVPPPSVMELGNVDGFDFQLMDRAGVGHEKLLAARNQLLGEAAQSKILQGVRPNGIEDAPQYQLDIDREKARALGVAVSDINSTLSTAWGSSYVNDFIDRGRVKKVFAQGEASARMLPEDLDKWYVRNKDGDMVPFSAFAKATWSFGPQKLNRYNGVPSYNIQGQAAPGYSSGAAMEEMERIASKLPVGVGFEWTGMSFEERLSGAQAPALYAISLIVVFLCLAALYESWTIPSAVMLVVPLGIIGALGATMLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKEHYEAGASLTESAIHAARQRLRPILMTSLAFILGVVPLAISSGAGSGSQNAIGTGVIGGMLTATFLAIFFVPAFFVIMLRLFKVERMSARRDPHDPSANDAQDVSVEGKPQ ->ARGMiner~~~sdiA~~~SAD77836.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~SAD77836.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MILLYVLGYIHHNNDYLYQRLHSASMRDIDFFTWRRECVLRFQEMTCADEVYQELQRQTQALEFDYYALCVRHPVPFTRPRTSVHSSYPQQWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFTDAQQLWDGARDHGLRKGITQCLMLPNHALGFLSVSCTSLQAHSISSEEIELRLQMLVQMALTTLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKIQIACYAAATGLI ->ARGMiner~~~AER-1~~~WP_020563735.1~~~penam unknown +>ARGMiner~~~AER-1~~~WP_020563735.1~~~penam~~~unknown MQGVSISNNTAANLALDAIGGASALTAFMRSIGDNQARLDRRKPDLNEATPGDIRDTTTPLAASRSLQTLLLGDIFSVPARDELTQWIFEDQVADALLRSGLPKDWRIVDKSGAGGHGSRSIIAVVWPPKRSAVVIAIYITQTNMMASNQTVSRIGSALAKALQ ->ARGMiner~~~FosB~~~WP_000911702.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000911702.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENSILFYERVLEGKLLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQKDFERLLHRLEENNVHILQGRERNVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~macB~~~WP_048221086.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048221086.1~~~macrolide~~~unknown MTALLELSNICRSYPSGEGQVEVLKNVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTNGTYQVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPSQKPSAGRGIAEPTVTTASGWRQFASGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLVAIQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGNTFNAEQLNGRAQVVVLDSNTRRQLFPNKAQVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLSLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPFALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanHB~~~Q47748~~~glycopeptide unknown +>ARGMiner~~~vanHB~~~Q47748~~~glycopeptide~~~unknown MRKSMGITVFGCEQDEANAFRTLSPDFHIIPTLISDAISADNAKLAAGNQCISVGHKSEVSEATILALRKVGVKYISTRSIGCNHIDTTAAERMGISVGTVAYSPDSVADYALMLMLMAIRGAKSTIHAVAQQNFRLDCVRGKELRDMTVGVIGTGHIGQAVVKRLRGFGCRVLAYDNSRKIEADYVQLDELLKNSDIVTLHVPLCADTRHLIGQRQIGEMKQGAFLINTGRGALVDTGSLVEALGSGKLGGAALDVLEGEDQFVYTDCSQKVLDHPFLSQLLRMPNVIITPHTAYYTERVLRDTTEKTIRNCLNFERSLQHE ->ARGMiner~~~sdiA~~~WP_016242211.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_016242211.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARTHGLRRGVTQYLMLPNRALGFLSFSRSSAREIPILSDELQLKMQLMVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~bacA~~~P94507~~~peptide unknown +>ARGMiner~~~bacA~~~P94507~~~peptide~~~unknown MTLWELFVAAILGIVEGLTEYAPVSSTGHMIIVDDIWLKSSNLMSEEAANSFKVVIQLGSILAVAIVFKDRILNLLGLKKNITSDQEQGHKLSIAQIAVGLVPAAVLGFLFEDYIDEYLFSVKTVAIGLIAGAILMLFADWVNKRKTATDTLDRISYKQAIAVGLFQCLSLWPGFSRSGSTISGGVILGLNHRAAADFTFIMAMPIMMGASFLSLVKHWDSLSSDLMPFFIVGFICAFVVALFVVRFFLRLINKIKLVPFAIYRIILGVILLLIMM ->ARGMiner~~~macB~~~WP_063135291.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063135291.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLNKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_047347827.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047347827.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNQGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNGDSNTPAAQPAAARTTTPASKGNNPFRN ->ARGMiner~~~lsaA~~~WP_010730861.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010730861.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEEKAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILVIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~msbA~~~WP_049310020.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_049310020.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALSEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPLILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_047457825.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047457825.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEEQVEVLKGISLQIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRIAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKRRLERAEELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGDEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIHDGEIVRNPPSQKTQAGQGIAEPVVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLNAIQKQPWVTSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGNTFNREQLNGRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGIGLSMLIAFTLQMFLPGWEIGFSPVALLTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_032345395.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032345395.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli ampC~~~WP_063080527.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_063080527.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVSVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQINLKPLDINEKTLQQGIQVAQSRYWQTGDMYQGLGWEMLDRPVNPDIIVNGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~norA~~~WP_001041279.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041279.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFTGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~OCH-8~~~WP_061347329.1~~~monobactam;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~OCH-8~~~WP_061347329.1~~~monobactam;cephalosporin;cephamycin;penam;penem~~~unknown MRKSTIFLIGFLATAAIIPNSGALAASKVNDDDLRRIVDETVRPLMAEQKIPGMAVAITIDGKSHFFGYGVASKESGQKVDADTIFEIGSVSKTFTATLSGYGLATGAFSLSDPATKWAPELAGSSFDKITMLDLGTYTPGGLPLQFPDSVTDDSSMLAYFKKWKPDYPAGTQRRYSNPSIGLFGYLAARSMDKPFDVLMEQKLLPAFGLKNTFVNVPESRMKNYAYGYSKADKPIRVSGGALDAQAYGIKTTALDLARFVELNIDSSSLEPDFQKAVAATHTGYYHVGANNQGLGWEFYSYPTALKTLLAGNSSDMALKSHKIGKFDQPLQLSADVLVNKTGSTSGFGAYAAFIPAKKTGIVLLANRNYPIDERVKAAYRILQALDRKQ ->ARGMiner~~~MexF~~~WP_058441292.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_058441292.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALLLVFLGMIAIGTLPVSQYPAIVPPTIQVTTNYPGADAKTLINTVALPIEQQVNGVENMLYMQSTSTNSGNYTLIVTFAIGTDLNFAQVLVQNRVQAAMAQLPEAVQQQGVVVQQKSTAILQFITLTSEHGEYDGLFLDSYAAINMQNELARLPGVGNVIIFGSGTYAMRVWLDPRKMRAFSLTPRDVLNAISSQNKDVSAGQISSPPTIGKQAYQFTVNVPGQIADPNEFANIIVKSSGTQPDENADASSSAQIVRIGDVGRVELGSSSYSQLAKLNGKPTAAIGIFQLPGANALEVAQEVRKTVAKMAKKFPPGLKYSIPFDTTIFVKASVNEVYKTLFEAGILVLLVIIVFLQNFRATLVPATTVPVTIIGTFFAMFLLNYSINLLTLFALVLAIGIVVDDAIVIVEGVTQHIERGKPPKEAAIQTMQELFGPILGITLVLMAVFVPAGFMPGLTGAMYAQFALVIAATAFISAINAMTLKPTQCALWLKPIDPNKPKNIFFRTFDQLYNPLEAKYVGFIDRLVHHSGRTCIIGAILVAIAIFGLTRIPTGFIPLEDQGYLILNVLLPDGATLDRTEAVLDELSTKVAKIGGIENVIAIDGISLLDNNASLANAGVLYVMFKDWSVRGKDEDLRALYTKLNDIASKTLNAKVLVVVPPPIQGLGLSGGFQMQVELQDGTFDYTKLQKVTDQLIAHGTQQPALQKLMTSFRASVPQVLAPFDRTKVESLGVGIGDAFDTLQTYLGSSYVNLFTKFGQVFQVYVQADASSRMSIEDVRNYYVKNKQGEMVPLGTLTDITQDLGPALISLYNLYPSSSINGIAATGFSSGQAIQSLEDLAHNLLPAGLSFEWTSTAYQEKVAGNMSYFIFLLSLVLVYMILAGQYENWLTPAAVIFSVPLTLMGTVIALSSLGLDNNMYTQIGLLLLIALAAKNAILIVEVAREQRLIHNKPILEAAVLGAKTRFRPILMTSFAFIMGVMPLVFATGAGANARRSIGIAVCSGMLASTCLAVVFVPVFYVLLQSWQEKRHAKKQEAHTVTNNPLEQ ->ARGMiner~~~mdtH~~~CRL62776.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~CRL62776.1~~~fluoroquinolone~~~unknown MALVTQARTLGKYFLLIDNMLVVLGFFVVFPLISIRFVEQLGWAGVIVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIITGMLLRALGFALMAIADQPWILWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLQYDFHLVCWVGAGVFVIAALFNAWLLPAYRISTTRTPIKEGLKRVFLDKRFVSYVLTLTGYFVLSVQVMLMFPIIVNDIAGTPTAVKWMYAIEALLSLTLLYPIARWSEKRFRLEQRLMAGLFLMSISMFPVGMIHSLQSIFLIIGLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWMYDLGNQFDMPELPWFLLGTVGLITLYALHRQFNRKKIETAMLTP ->ARGMiner~~~macA~~~WP_002240343.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002240343.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSAPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~msbA~~~WP_031892831.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031892831.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFVIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~bcr-1~~~WP_042005109.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_042005109.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYSTIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~macA~~~WP_001655331.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001655331.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQEQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macA~~~WP_001654151.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001654151.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQIPTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtH~~~WP_049581793.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_049581793.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIHFVEQLGWAALVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVAGMLLRALGFALIALATEPWILWIACILSALGGTLFDPPRTALVIKLTRPHERGRFFSLLLMQDSAGAVIGALIGSWLLQYDFQFVCWTGAGVFVLAAIWNALFLPAYRISTTRTPIWEGMERVIKDRRFFTYVLTLTGYFMLSVQVMLMFPIIVNEIAGTPAAVKWMYAIEATLSLTLLYPIARWSEKRFRLEQRLMAGLFLMSLSMFPVGLVGEINTLFGLICLFYLGTVTAEPARETLSASLADPRARGSYMGFSRLGLALGGALGYTGGGWLYDTGHALHMPQLPWFLLGIIGLITLYALHRQFNQRKIESAMLSGN ->ARGMiner~~~bcrA~~~WP_016121371.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_016121371.1~~~peptide~~~unknown MPPINTIIKTTNLTKVYENQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLVKPTSGNIEIFGEDLLRNPKEILRRIGSIVEVPGFYENLTARENLLINAKIIGVHKRNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQDRNITILISSHILAEVEQLVDRMGIIHEGRLLEEVSLDTLRKANRKYIEFQVNNDNKAAMLLEKHFQIFDYEVHDEGNIRVYSHFGQQGHINRMLVRNDVEVLKIVMSEDRLEDYFTKLVGGGAIG ->ARGMiner~~~lsaB~~~WP_036161732.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_036161732.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPGSFDPIFEGVNFQLDTDWKLGFIGRNGRGKTTFFHLLLGHYEYSGKINASVDFTYFPYPVADRNKFTYEIFEEICPQAEDWEYLREISYLHVDAEVMYRPFNTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKMVANYLKKKKGFILISHDRTFLDGCVDHILSINRANIDVQSGNYSSWKLNFDRQQEHEEATNHRLQKDIERLKHSSKRSAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRSKHIESRQQKAIDEKSKLLKNVEKTESLKLEPLTFQSKQLMTLTDVSVRYDDQMINQPLSFKVEQGDRIVLDGKNGSGKSSILKLILGNDIQHTGNIHVGSGLIISYVQQDTSHLKGSLSDFIEDNGIDETLFKSILRKMDFDRIQFEKDIAHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRLQIEELIQSFNPTMVFVEHDQAFQQTVATKIISM ->ARGMiner~~~cphA2~~~WP_043125254.1~~~carbapenem unknown +>ARGMiner~~~cphA2~~~WP_043125254.1~~~carbapenem~~~unknown MKGWIKCGLAGAVVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYVQENSMVYFGAEGVTVVGATWTPDTARELHKLIKRVSSKPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPDYPDLPLVLPNVVHEGDFTLQGGKLRAFYAGPAHTPDGIFVYFPDQQVLYGNCILKEKLGNLSFADVKAYPQTLERLRAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAAPQS ->ARGMiner~~~tolC~~~WP_023568331.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023568331.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVIQQTSARTTTSNGHNPFRN ->ARGMiner~~~msbA~~~WP_047936044.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_047936044.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDVGPIIVVGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~vanRB~~~WP_060475095.1~~~glycopeptide unknown +>ARGMiner~~~vanRB~~~WP_060475095.1~~~glycopeptide~~~unknown MSIRILLVEDDDHICNTVRAFLAEAGYQVDACTDGNEAYTKFYENTYQLVILDIMLPGMNGHELLREFRAKNDTPILMMTALSDDENQIRAFDAEADDYVTKPFKMQILLKRVEALLRRSGALAKEIRVGRLTLLPEDFTVLCDGTELPLTRKEFEILLLLVQNKGGTLTHEIILSRIWGYDFEGDGSTVHTHIKNLRAKLPENIIKTIRGVGYRLEES ->ARGMiner~~~MexD~~~WP_023103521.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_023103521.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALIFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~ykkC~~~OAZ59366.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~OAZ59366.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MFIHGLVREKTHMRTYSRICTRREKSPGESISCETTIRAFLYCPEQERVKNMKWGLVVIAAVFEVVWVTGLKHADSALTWSATITGIIISFYLLIKATDSLPVGTVYAVFTGLGTAGTVLSEILFFKEQADPVKIVLIGVLLIGVIGLKLVTQDKPETKEEKA ->ARGMiner~~~TEM-189~~~ANG18325.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-189~~~ANG18325.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSVQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtH~~~WP_048988408.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_048988408.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRSRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~APH(6)-Ia~~~WP_018093204.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Ia~~~WP_018093204.1~~~aminoglycoside~~~unknown MSPSERIDVPEALARSYTRNGGEEEQAWIAGLPALVTEFLDRWELTRDGGLSSGEASLVVPVLRVDGTRAVLKLQRPREETTAALIGLRTWNGNGMVRLLDHDPVSSTMLLERLDGARTLASVEDDDVAMRILAELLARLHSVPVPGGLRGLGDIAGDMLKEVPQAVTSLTAPTDRQRLHRWASAVDELAGEPGDRMLHWDLHYDNVLAAEREPWLAIDPEPLVGDPGFDLWPALDTGWEQIAATGDALRVVRRRFDLLTEALELDRGRATGWTLGRLLQNTLWDIEDGRTTIDPSQIAVADALLER ->ARGMiner~~~sdiA~~~WP_032441341.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032441341.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMATGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWKDSLFRDAPALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPGGYPEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~MexF~~~WP_042917070.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_042917070.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGMVLAIGILVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~sul2~~~WP_001756235.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_001756235.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLTPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~acrF~~~WP_023291164.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~WP_023291164.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MSKFFIHRPVFAWVLAIIMMIAGGLAILQLPIAQYPTIAPPAVAISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFKSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLLVAGFISDNPVTTQDDISDYVASNVKDPISRLNGVGDVQLFGAQYAMRIWLDGNLLNKYNLTPVDVINALQVQNDQIAAGQLGGTPALKGQQLNASIIAQTRLKDPQEFGKVTLRVNADGSVVHLKDVARVELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPYFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNMRATLIPTIAVPVVLLGTFAVLSMFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMVEEKLSPKEATEKSMSQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALVLTPALCATLLKPASAEHHEKKGFFGWFNARFDQSVNHYTNSVSGILRGTGRYLVIYLLIVVGMAVLFMRLPTSFLPDEDQGVFLTMIQLPSGATQERTQKVLDTVTDYYLHNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEARSGDKNSVESIIKRATVAFSQIKDAMVFPFNMPAIIELGTATGFDFELIDQGGLGHTALTQARNQLLGMVKQHPDQLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAHFRMLPSDINNMYVRSANGEMVPFSAFVTSRWIYGSPRLERYNGLPSMEILGEASPGKSTGEAMALMEALASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGIIEATLEASRMRLRPILMTSLAFILGVMPLVISHGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVRRRFTRHAE ->ARGMiner~~~macA~~~WP_001201751.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201751.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~lsaB~~~WP_000061798.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061798.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYSVSDKNKFTHEILEEICPQAADWELLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNAGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEKATNERLQKDIGRLKQSSKRSASWSNDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELVTLVDVSVKYNDQIVNEPISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVTLGTGLIISYVQQDTSHLKGSLSGFIEEHKIDETLFKSILSKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKIFQQTVATKTISI ->ARGMiner~~~macB~~~WP_063144441.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063144441.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAKMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKASVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_041910641.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_041910641.1~~~macrolide~~~unknown MNLKGKRRTLFLLLALVIVAGGFWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVEKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~macB~~~WP_054624864.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_054624864.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGETDVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLNDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVGYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDAARLPEQPQDAPALGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSSEAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~mexH~~~WP_058163506.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058163506.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtH~~~WP_047053107.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047053107.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGTALFIACAAFNAWYLPAWKLSTVKTPIREGLGLVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTAAMLPIGLTSTLQQLFTLICIFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKVLNQPELPWLMLGIIGFATFIALWWQFSQKRSASGMLEPRT ->ARGMiner~~~L1 beta-lactamase~~~WP_049444987.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049444987.1~~~cephalosporin~~~unknown MRPHMLALMLAAVLPITHASAAEAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTQDLTALLVQTADGAVLLDGGMPQMASHLISNMKVRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAKIAANAESAVLLARGGSNDLHFGDDITYPPANVDRIIMDGEVVTVGGITFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYKLQENARYPRLVEDYRRSFTTVRGLPCDLLLTPHPGASNWDYAAGAKAGAKALTCKAYADAAEQKFDAQLAKEIAGAR ->ARGMiner~~~mefA~~~WP_008976876.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_008976876.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAIVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPIWMVMVVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGDQVQSLKPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMEYFNGTPMYISITEIAYASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADRIGVNHWFLLSGILIICIAIVCPMITEIRKFDLK ->ARGMiner~~~EXO beta-lactamase~~~WP_047470813.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_047470813.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAAALVPGTAHASSGGRGRGHGSASDAERRLAGLERASGARLGVYAYDTGSGRTVAYRADELFPMCSVFKTLSSAAVLRDLDRDGEFLSRRIFYTQDDVEQADGAPETGKPENLANGMTVEELCKVSITASDNCAANLTLRELGGPAAVTRFARSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLVLGDALNPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRPPIVLTVLTAKTEQDAVRDDALVADAARVLAETLG ->ARGMiner~~~macB~~~WP_000188124.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188124.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGKDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~nalD~~~WP_012613742.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_012613742.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPHTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~macB~~~WP_052991191.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_052991191.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGNEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTHTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~OXY-3-1~~~WP_064720082.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXY-3-1~~~WP_064720082.1~~~monobactam;cephalosporin;penam~~~unknown MFKKIFLRSVVMVVSLISLFASSTLWAQDNSAIEQQLAALEKSTQGRLGVALINTADNSQITYRGNERFAMASTSKVMAVAALLKESEQKTDLLDKNISIKQSDLVAYSPIVEKHLTTGMTLAQLSAATLQYSDNTAMNKILAHLGGPEKVTQFARSINDITYRLDRSEPELNTAIHGDPRDTTSPLAMAKSLQALTLGDALGQYQRNQLITWLKGNTTGDNSIKAGIPKQWIVGDKTGSGDYGTTNDIAVIWRENQAPLILVIYFTQQEQNAKPRKDIIVKATEIVTKPFIRQN ->ARGMiner~~~norA~~~WP_061738168.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061738168.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGISGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~lmrB~~~ERH50104.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~ERH50104.1~~~lincosamide~~~unknown MENAQPSKQYKVMPIMISLLTAGFIGMFSETALNIALTDLMKELHITPATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFIVSLAFSIIGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALLFGIAYMQNVSDITKPKIDVLSIILSTIGFGGIVFGFSNAGEGSDGWSSPTVIGSLTVGAIALILFSIRQLTMKQPMMNLRAFRYPMFILGVVIVFICMMVILSTMLLLPMYLQSGLMLTAFTSGLILLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVITATMLSFFSNINGASTALLIVALHTCLMIGISMIMMPAQTNGLNQLPPEFYPDGTAIMNTLQQMASAIGTAVAVSIMAAGQKNYMSTAKNPNDPSAYSHALIAGVQHAFIFAMIVAVIGLISAFFMKRVKVNHS ->ARGMiner~~~floR~~~WP_058100717.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_058100717.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTYFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNDDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~macB~~~WP_032974230.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032974230.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGETDVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLSDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTARAARQARARELLARLGLEARVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDAGGLPAQPQDAPAFGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAGEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSGEAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIALALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~sul1~~~WP_033896017.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_033896017.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGIFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~Escherichia coli acrA~~~WP_003831044.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_003831044.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPEVGVVTLKTEPLQITTELPGRTSAFRIAEVRPQVSGIILKRNFTEGGDIEAGVSLYQIDPATYQAAYESAKGDLAKAQAAANIAQLTVKRYQKLLGTKYISQQDYDSALADAQQANAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVELVTNDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGINPTALLVPQQGVTRTPRGDASALVIGADNKVETRQIVAAQAIGDKWLVTDGLKPGDRVIVTGLQKVRPGAQVKAQEITADNNQQGQSGSQPEQSKS ->ARGMiner~~~msbA~~~WP_053873428.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_053873428.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSSRFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~MexB~~~WP_039009457.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_039009457.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSNFFIDRPIFAWVIALVIMLAGVLSIRALPINQYPAIAPPTIAISVTYPGASASTVQDTVVQVIEQQLNGLDGLRYISSESNSDGSMTITVTFEQGTNPDIAQVQVQNKLQLATPLLPQEVQQQGIRVTKSVRNFLVIVGLISKDKNMTREDLSNYIVSNIQDPLSRTPGVGDFQVFGSQYAMRVWLDPARLNSYQLAPLDVSTAIKAQNVQVASGQLGGLPAVQGQQLNATVIGKTRLQTAEQFGNILLKVNADGSQVRLKDVADVTLGGQDYTINAQYNGRPASGIAIRLAIGANALDTVRNIRKTLDHLEPFFPPGMESVFPYDTTPVIAGSIKEVVKTLMEAIALVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEDGSSPKEAARKSMGQIQGALVGIATVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMVLSVLVALILTPALCATMLQPIEKGDHGEHKGGFYGWFNRGFIATTRNYEGGVKRILRRRLPFLLAYLAIVVLMGWLFTRIPTSFLPEEDQGVLFAQVQTPPGATAERTQKVLTQMREYLLNDERGVVSSLFTVSGFNFAGRGQSSGFAFILLKPWEERTGDDTSVFGLADRAQKKFRTFRDSLAFAFAPPAVQELGNATGFDFYMQDQAGLGHQALMGARDKFLQLASKSPVLQRVRPNGLNDEPQYVLEIDDEKAQALGVSPADINSTVAIAWGSNYVNDFIDRGRVKRVYLQGRPNARMNPEDLGKWFLRNDKGAMVPFSAFATGQWSFGSPKLQRYNGVAAVEILGEPAAGRSSGEAMKAIEEIMKQMPPGIGYSWTGLSFEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATLARSLSNDVFFQVGLLTTVGLSAKNAILIVEFAKALHEQGKSLVESAVEACRMRLRPIVMTSLAFMLGVFPLAVSTGAGAGSQHAIGTGVIGGMITATVLAIFWVPLFFVAVSALFKKSKDKPKEDDASVEKGALP ->ARGMiner~~~vanB~~~WP_010815429.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_010815429.1~~~glycopeptide~~~unknown MNKIKVAIIFGGCSEEHDVSVKSAIEIAANINTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAIFSPDRKTHGLLVMKEREYETRRIDVAFPVLHGKCGEDGAIQGLFELSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIEKGDKPEARTLTYPVFVKPARSGASFGVTKVNSTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMIIVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMAAAAGITLPALIDSLITLAIER ->ARGMiner~~~mdtM~~~WP_023204860.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_023204860.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTVATLLQRVRQHEAAELAAEK ->ARGMiner~~~sdiA~~~WP_020078446.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_020078446.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDIDFFSWRREMLQQFQSTHDGDSVYNLLQQQTEELEYDYYALCVRHPVPFTRPKLTLQSTYPQAWMSHYQAENYFAIDPVLRRENFLRGHLPWNDQLFCETPELWNGARDHGLNKGVTQCLTLPNHALGFLSVSAKNAQPGPYHEDELELRLRTLTELSLLALLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~mdtH~~~WP_000092223.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092223.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAQEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVRTPVREGMARVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPVGMVSSLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAQQPELPWMMLGIIGIITFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~tetO~~~WP_021137831.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_021137831.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEERQRLAYVRLYGGILHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPMLQTTVEPSKPQQREMLLDALLEISDSDPLLQYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTSFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~adeG~~~WP_015602647.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_015602647.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAVAVVVIAGLGTFGAIRVNASAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAADAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNQDGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIAGGLAAGDRIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRAQAAQGNARA ->ARGMiner~~~mdtM~~~WP_001672347.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001672347.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHTATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~OprN~~~WP_031639304.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_031639304.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLAPALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLNGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSESSRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~cmeB~~~WP_002926925.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002926925.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPNQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMIGIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEVIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~efmA~~~X92946.gene.p01~~~macrolide;fluoroquinolone unknown +>ARGMiner~~~efmA~~~X92946.gene.p01~~~macrolide;fluoroquinolone~~~unknown MNTSVPPNWRKNFYLFLIGQLLTGVTSMIVQYAIIWYLTLETGEESVLAIATLVGMLPMALLSPFVGPFIDRINKKFLLISYDAVVAVIALGLFIYGINNDVYPLWMVFVTIGIRAVAQTAQMPTVQSIMPTMVPEDEITRVNGQFGIIQSLIVIVSPGIGAFMVATMPIHWVILLDVIGFILGAGMLLLVRIPEVASQGEKISVIRDTLEGFKILRENKPMWKMTLIGALFMLLFMPAMSLYPLVTTKYFGGTIVHAGWVEVLFAAAMLIGSFAVGIFGKTKDRMPWIIAAYLIIGLSIGGSGFLPGNMNGFWVFLVLNVFAGIVGQIYTTMNMAITQQSFEAQYLGRVMGIVSALMSIAGPVGLIFAAPVAESIGVQNMLVIAGFGGILAAALLYCTPSVRNYDKHLQRKLENEGQ ->ARGMiner~~~FosB~~~WP_036128605.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_036128605.1~~~fosfomycin~~~unknown MTIQHINHLLFSVSNLEESIAFYENVFDAKLLVKGKSTAYFDVNGLWLALNVERDIPRNEIHHSYTHIAFTICEDDYNKMYDKLLQLKVNILTGRQRDEKDKKSIYFTDPDGHKFEFHTGTLQDRLAYYKQEKPHMTFFDN ->ARGMiner~~~sul1~~~WP_040079219.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_040079219.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFCSRDARDRGLDHA ->ARGMiner~~~mdtM~~~WP_001365595.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001365595.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFSLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGATTISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGIIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~ACT-6~~~WP_033144454.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-6~~~WP_033144454.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMTKSLCCALLLSTSCSVLAAPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKAEESHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMANWVMVNMKPDSLQDSSLRKGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~TEM-1~~~ANG12686.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG12686.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKLLESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macB~~~WP_003953942.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_003953942.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKHPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDYFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~nalD~~~WP_058133601.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_058133601.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLMRDWGQASSAP ->ARGMiner~~~mdtP~~~WP_000610564.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610564.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~adeJ~~~WP_032016505.1~~~macrolide;fluoroquinolone;lincosamide;carbapenem;cephalosporin;tetracycline;rifamycin;diaminopyrimidine;phenicol;penem unknown +>ARGMiner~~~adeJ~~~WP_032016505.1~~~macrolide;fluoroquinolone;lincosamide;carbapenem;cephalosporin;tetracycline;rifamycin;diaminopyrimidine;phenicol;penem~~~unknown MAQFFIHRPIFAWVIALVIMLAGILTLTKMPIAQYPTIAPPTVTIAATYPGASAETVENTVTQIIEQQMNGLDGLRYISSNSAGNGQASIQLNFEQGVDPDIAQVQVQNKLQSATALLPEDVQRQGVTVTKSGASFLQVIAFYSPDNNLSDSDIKDYVNSSIKEPLSGVAGVGEVQVFGGSYAMRIWLDPAKLTSYQLTPSDIATALQAQNSQVAVGQLGGAPAVQGQVLNATVNAQSLLQTPEQFKNIFLKNTASGAEVRLKDVARVELGSDNYQFDSKFNGKPAAGLAIKIATGANALDTAEAVEQRLSELRKNYPTGLADKLAYDTTPFIRLSIESVVHTLIEAVILVFIVMFLFLQNWRATIIPTLAVPVVVLGTFAVINIFGFSINTLTMFAMVLAIGLLVDDAIVVVENVERVMSEDHTDPVTATSRSMQQISGALVGITSVLTAVFVPMAFFGGTTGVIYRQFSITLVTAMVLSLIVALTFTPALCATILKQHDPNKEPSNNIFARFFRSFNNGFDRMSHSYQNGVSRMLKGKIFSGVLYAVVVALLVFLFQKLPSSFLPEEDQGVVMTLVQLPPNATLDRTGKVIDTMTNFFMNEKDTVESIFTVSGFSFTGVGQNAGIGFVKLKDWSKRTTPETQIGSLIQRGMALNMIIKDASYVMPLQLPAMPELGVTAGFNLQLKDSSGQGHEKLIAARNTILGLASQDKRLVGVRPNGQEDTPQYQINVDQAQAGAMGVSIAEINNTMRIAWGGSYINDFVDRGRVKKVYVQGDAGSRMMPEDLNKWYVRNNKGEMVPFSAFATGEWTYGSPRLERYNGVSSVNIQGTPAPGVSSGDAMKAMEEIIGKLPSMGLQGFDYEWTGLSLEERESGAQAPFLYALSLLIVFLCLAALYESWSIPFSVLLVVPLGVIGAIVLTYLGMIIKGDPNLSNNIYFQVAIIAVIGLSAKNAILIVEFAKELQEKGEDLLDATLHAAKMRLRPIIMTTLAFGFGVLPLALSTGAGAGSQHSVGFGVLGGVLSATFLGIFFIPVFYVWIRSIFKYKPKTINTQEHKS ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071129.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071129.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGEVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFASMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~mdtN~~~WP_049091836.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_049091836.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPFEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKAHAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~sdiA~~~WP_001157168.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001157168.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~CTX-M-3~~~AIC64340.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64340.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQHSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mdtG~~~WP_003768662.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_003768662.1~~~fosfomycin~~~unknown MENWKKNLYVVWVGCFLTGTGLNLIMPFLPLYIEELGVHNPDQVSLWSGVALSSTFLVSAIMSPIWGKLADQKGRRIMLLRAALGMAIAMILMGLVSNVYQFVGLRLLMGIFSGYISTANALIATQVPRHRSGWALGALSTAAVSGVLIGPLIGGALSDSFGVRPVFYITGALLLGSFFLTLFFVKEKFTPVEKKEMRSGKEVFLSLKNPGLIISLFITTMMIQIASNSVNPILTLYVRDLAGNAQNIAFISGMIASVPGVAALIAAPRLGRWGDRIGSERILLGALIGSMLLQIPMAFAQNPMQLGVLRFLLGLTDGALLPAVQSLLTKNTPREISGRIFGYNQSFQYIGNVIGPLVGSSVAAHFGYGDVFLVVAGFIFINVLISFYFNRKMHREKGNHAN ->ARGMiner~~~macA~~~WP_055321762.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_055321762.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQVQAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISESLPGAAK ->ARGMiner~~~MexB~~~WP_023896298.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_023896298.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MLSKFFIQRPIFANVLAIIVMAFGIFSVMNLPVERYPDIAPPKITVSANYSGADAQTVEQSVTQILEQQIQGIDHLLYFSSSSDSSGRSRITISFDNGTNPDTAQVQVQNSISGVIRRLPDEVQRQGVTVSKSLGDTFMVIGLYDSTGKTGNIELSDYLTTHVVDNLNRIEGVGETDVFGSQYAMRIWLNPDKLKQYNLMPSDVANAITAQNTQVAAGAIGDLPVIDGQYLNTKVTAGFRLKTVEDFKNIVVKSNKTASYVYLKDIARVELGAENYQSFNTINGYPAAGLGISLSSGANAIQTSKLIHQTLDQLTTKLPAGYKIVYPRDNTPFVQESIKEVVKTLVEAIILVILVMFLFLQSWRATLIPSITVPVVILGTFAVLYVLGFSINTLTLFALVLAIGLLVDDAIVVVENVERLMHEQHLSPKEAAIESMGEISGALVGITLVLTAVFIPMSFLGGSIGVIYRQFSITLVAAMALSLIVALILTPALCALILKPNPQPQRWAVWFNQKIEQLKNQYIKLVQTSIHYSKSVIVIFVALIAVFTLFYNGLKSGFIPKEDQGILSVQIKLVDSAPISQSQKIGEQVRQYFLTQEDKNVDLVLIRYGRNYSGTGQNLAQGFIALKPWDVRTGKENSAEAIQKRAMKYFSHFNNAQINVTLPASVNGLGQTDGLDLWIQDLNGQGQDFLDSAFRQLQAQSKNYSTFENFDKQSTNSKANLNIKIDQKQALANGLQLSAINNTLSSAWGGTYVNDFIDRGRIKRVMIQGDAEFRSKPEDLYNWSVRNDQNEMVPFSSFANFSWGGAPEIVKRYMGYSALQLQADVASGSSSGQAMKDVEQLVNQQKDIGLAWTGLSFEEQKSTNQAVWLYLISAGFIFLCLAALYESLSIPAAVMTSIPLGVGGSVIFSYIFGLPNDVYFQIALLTTIGLSCKNAILIVEFAALAQEKGKNAIQAALEGASLRLRPILMTSLAFGAGVIPLVFAQGAGAVSRQEIGISILGGVMFGTVLVLFFIPVMYVLLRSLFKSKAST ->ARGMiner~~~norA~~~WP_031895695.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031895695.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGISGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIETPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~AAC(3)-Ia~~~WP_034065477.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~WP_034065477.1~~~aminoglycoside~~~unknown MLWSSNDVTQQGSRPKTKLGGSSMGIIRTCRLGPDQVKSMRAALDLFGREFGDVATYSQHQPDSDYLGNLLRSKTFIALAAFDQEAVVGALAAYVLPKFEQPRSEIYIYDLAVSGEHRRQGIATALINLLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREEVMHFDIDPSTAT ->ARGMiner~~~mdtA~~~WP_000678970.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~WP_000678970.1~~~aminocoumarin~~~unknown MKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLTNARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLLFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSTTTSEEKATSREYAKKGARS ->ARGMiner~~~mdtP~~~WP_001670066.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001670066.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSSSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mdtE~~~WP_001082007.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001082007.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGTMLTACDDKSTENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQADLNSAKGTLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~mgrA~~~WP_037566449.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_037566449.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDHLNLKEQVCFSLYNAQRQVNRYYSNKIFKKYNLTYPQFLVLEILWNQSPVNVKKVVTDLALDTGTVSPLLKRMEQIDLIKRERSEIDQREVYVHLTEKSESMKPELENASKTVAEASSLDPDEIKELNRLLDKIITAFSESK ->ARGMiner~~~sdiA~~~WP_032200101.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032200101.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTLVACYAAATGLI ->ARGMiner~~~macB~~~WP_001480338.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001480338.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASAPPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~CTX-M-3~~~AIC64388.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64388.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVSGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~cmeB~~~WP_002908777.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002908777.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGVIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSATLAAISMYSSDGSMSAVDVYNYIALNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFKITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDIADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYNIPYDTTKFVIESIKEVIKTFIEALVLVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGDPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLNKAVPSSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVTAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDTLKNIFVRSNDGKMIPLNSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLVGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~MexE~~~WP_034054718.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_034054718.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFIPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~tolC~~~WP_015703513.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_015703513.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKNQNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTSKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASTGVSNNRYSGSKNISQDADVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPTSPDSVAPENPQQDAAADGYANTASAQPAAARTTKTSGSNPFSH ->ARGMiner~~~novA~~~WP_030880307.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030880307.1~~~aminocoumarin~~~unknown MKPDEPAWTPPAADAGQPPAELRRIFRLFRPYRGRLTVVGVLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFSEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLTLQSGATAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFARIFEYLDLEVDITEPAKPVRLEKVRGEIAFEDVTFHYDVKSGPTLSGVEVTVPAGSSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATDEEIEAATRTAQIHDHIAGLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSKGRTTLTIAHRLSTVRDADQIIVLDDGAVAERGTHEELLEQDGRYAALIRRDSHLAPAAT ->ARGMiner~~~mdtM~~~WP_001674825.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001674825.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEERGFLRDPAVRLYS ->ARGMiner~~~norA~~~WP_049376965.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049376965.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPHKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNIEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~macB~~~WP_063420886.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063420886.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGEVDVLKGITLSIAAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRIRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSPEQGGVLRAEARAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_046596462.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_046596462.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGVIFQGYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAMLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mecI~~~WP_049436147.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_049436147.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKENLSQDEIEELRNILNKK ->ARGMiner~~~mdtH~~~WP_021526277.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_021526277.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIHFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~mdtG~~~WP_008500833.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_008500833.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTIAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLMADSYGLRPVFFITACVLFLCFVVTLLCIRENFTPVAKKEMLHAREVLTSLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSMVHSPWQLGLLRFLLGAADGALLPAVQTLLVYNSTNQIAGRVFSYNQSFRDIGNVTGPLLGASISASFGFRAVFIVTAGVVLFNAIYSWFSLSRALRPVAE ->ARGMiner~~~mdtN~~~WP_064525655.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_064525655.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLHRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIHAGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mdtH~~~WP_016247032.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_016247032.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETSSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~vanXO~~~WP_026403570.1~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~WP_026403570.1~~~glycopeptide~~~unknown MNDDFVYVDDRVPGVRWDAKYATWDNFTGKPVDGYLANRIVGTRSLCAALERARERAASLGFGLLLWDGYRPQRAVDCFLRWSAQPEDGRTKPRHYPNIDRHQMFEHGYVAAKSGHSRGGAVDLTLYHLATGELAPMGGGHDLMDPISRHRARGITPTEARNREHLRSIMEDCGFDRYDCEWWHYTLRNEPYPDVYFDFPIT ->ARGMiner~~~mtrE~~~WP_002232913.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~WP_002232913.1~~~macrolide;penam~~~unknown MDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREATYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGSVGTGSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDAAKLRQQAQIVAYEAAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~vanYB~~~CCJ27774.1~~~glycopeptide unknown +>ARGMiner~~~vanYB~~~CCJ27774.1~~~glycopeptide~~~unknown MEKSNYHSNADHHKRHMKQSVEKRAFLCAFIISFTVCTLFLGWRLASVLEATQIPPITATHTGSSTDVVENLEENALATAKEQGDEQEWSLILVNRQNPIPAQYDVELEQLSNGERIDIRISPYLQDLFDAARTDGVYPIVASGYRTTEKQQEIMDEKIAEYKAKGYTSAQAKAEAETWVAVPGTSEHQLGLAVDINADEIHSTGNEVYRWLDENSYRFGFIRRYPPDKTEITGVSNEPWHYRYVGIEAATEMYNQGVCLEEYLKPEK ->ARGMiner~~~hmrM~~~WP_032434648.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032434648.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARTMRDIRCVERFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGICLAVFTAIFTVLMRKQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~y56 beta-lactamase~~~WP_050097703.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050097703.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITLPLTHFALPAWATPPESLDKQLAELERKSNGLLGIAMINTANGRKIQYRGTQRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHIKYHESDLLSYAPITRKNLAQGMSVSELCAATIQYSDNTAANLLIKELGGIAAVNEFARSIGDHTFRLDRLEPDLNTALPNDPRDTTTPAAMAASLNKLVLGDALPAAQREQLAIWLKGNTTGGETIRAGAPADWIVGDKTGGGDYGTTNDIAVLWPPTGAPIILVIYFTQREKDAKVRRDVLAEATKMVLSHLS ->ARGMiner~~~msbA~~~WP_031770219.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031770219.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKNTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_023307824.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023307824.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNIDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSSEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_050951558.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_050951558.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYSDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPPAARANSNNGNPFRH ->ARGMiner~~~tolC~~~WP_047173610.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047173610.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSNTPAAQPAAARTTTSASKGNNPFRN ->ARGMiner~~~CTX-M-3~~~AIC64477.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64477.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVAWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~L1 beta-lactamase~~~WP_053451316.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_053451316.1~~~cephalosporin~~~unknown MRSSLLALTLAAALPAAHAAAAETPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTENLTALLVQTSEGAVLLDGGMPQMAGHLLDNLKARGVAAQDLRLILLSHAHADHAGPVAELKRRTGARVVANAESAVLLARGGSDDLHFGDDITYPPASTDRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTHEGKPVRIAYADSLSAPGYQLRGNVRYPRLIEDYTRSFATVRGLPCDLLLTPHPGASHWDYAAGSKAGAKALTCKAYADEAEKKFDAQLAKETVRAR ->ARGMiner~~~msrC~~~WP_002287458.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrC~~~WP_002287458.1~~~macrolide;streptogramin~~~unknown MENLAVNITNLQVSFGNQLELSIDSLRVYQQDRIGIIGENGVGKSTLLKLIAGELFPDHGKIQTEITFNYLPQLTYLAEAKDLNLELASHFQLRLEETSERKWSGGEERKIELIRLLSSYEQGMLLDEPTTHLDRKSIDRLIEELRYYYGTLVFVSHDRYFLDELASKIWEVKDGEIREFSGNYSAYLTQKELEKKTQLREAESIMKEKKRLEKSIQEKKKQAEKLEKVSSKKKKQQIRPDRLSSSKQKDSVQKAIQKNAKTLERRLQKIGETTKPQQMKQIRFPVPKSLELHSRYPIMGQNVQLERSGRTLLVNGDFQFSLGKKIAIVGENGSGKTTLLEHIRKQGEGILLSPKVSFQVYQQKGYQMTSEESIIRFVMRQTEFSESLVRSLLNHLGFAQETLTKPLCTLSGGEATRLTIALLFTKPSNVLLLDEPTNFIDMATIEALEKLMQIYPGTILFTSHDSYFVERTADEVYEIKGQKIKKVLTRNF ->ARGMiner~~~MexF~~~WP_028383500.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_028383500.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALFLVFIGLIAIYILPVAQYPAIVPPTIQVTANYPGADAKTLINTVALPIEQQVNGVEDMLYMQSTSTNSGTYNLIVTFAIGTDLNYAQVLVQNRVQAALAQLPESVQKQGVVVQQKSTAILQFITLTSKNNEYDGVFLDSYAEINMQDELSRLPGVGNVVVFGAGSYAMRIWLDPGKMQAFSLNPSDVINAISYQNKEVSAGQLGAPPTMGDQAYQLTVNVPGQLADVNQFANIIIKTIDTSSNETSNASSTAQIVRIKDVGRVELGSSSYSQLAKFNGKPTAAIGIYQLPGANALDVASEVRKTVEKMSKKFPPGLQYDIPFDTTMFVKASISEVYKTLIEAGILVLIVIVVFLQNFRATLVPATTVPVTIIGTFFALLLMGYSVNLLTLFGLVLAIGIVVDDAIVIVEGVTQHIEKGMAPKEASIKAMKELFGPIMGITMVLMAVFVPAGFMPGLTGAMYAQFALVIAATAFISAINAMTLKPTQCALWLKPVDPSKPKNIFFRTFDRIYNPLEEAYVGFIDRLVHHSGVVCLVGALLVLLAIFGLTRIPTGFIPIEDQGYLVLNIQLPDGASLGRTNKVTDELRDKISRIDGIENIITIDGISLLDNNSSLSNAGVMYLIFKDWSLRGKSEDLMALYTKLNAIAKETLDAKVMVVVPPSIQGLGLSGGFQMQIELQDGSFDYNKLQQVTDQFINQGMLQPAVQNLITSFRSKVPQVSAPINRTKAEALGVQVGDAFDALQTYLGSSYVNLFTKFGQVFQVYVQADAKSRGTAEDLRNYYVKNQSGSMVPLGTLTDVSPAVGPAIISLYNLYPSSNINGISAKGFSSGQGIQAMEQTAKEQLPPGLSYEWTSTAYQEKIAGNMSYYIFALSLVLVYLILSGQYENWLIPTSIIFSVPLTLIGTVLALSSLGMDNNMYTQIGLLLLIALATKNAILIIEVAHEHRQIHKKSIFESAVLGAKTRFRPILMTSFAFIMGVMPLVFATGAGANARRSIGIAVSSGMIASTCLAVVFVPVFYVLLETWQEKRKEKRTNKTGDIEPIKQE ->ARGMiner~~~cmeA~~~WP_002785287.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002785287.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MNLFQKNTLLLLSALFLFSACSKEEAPQKQTPPQSVSTMSAKAENLPLNFTYPAKLVSDYDVIIKPQVSGVIVEKLFKAGDLIKKGQTLFIIEQDKFKASVNSAYGKALMARANFDNASKDYNRSKTLYNKGAISQKEYDSALANFNNTKANLTSARADLENARIDLAYTEIKAPFDGIVGDALINIGDYVSSSSTELVRITNLNPIYADFYISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQDQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEVGAQ ->ARGMiner~~~OprJ~~~CEI15469.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprJ~~~CEI15469.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MRKPAFGVSALLIALTLGACSMAPTYERPAAPVADSWSGAAAQRQGAAIDTLDWKSFIVDAELRRLVGVALDNNRSLRQTLLDIEAARAQYRIQRADRVPGLNAAGTGNRQRQPADLSAGNRSEVASSYQVGLALPEYELDLFGRVKSLTDAALQQYLASEEAARAARIALVAEVSQAYLSYDGALRRLALTRQTLVSREYSFALIDQRRAAGAATALDYQEALGLVEQARAEQERNLRQKQQAFNALVLLLGSDDAAQAIPRSPGRRPKLLQDIAPGTPSELIERRPDILAAEHRLRARNADIGAARAAFFPRISLTGSFGTSSAEMSGLFDGGSRSWSFLPTLTLPIFDGGRNRANLSLAEARKDSAVAAYEGTIQTAFREVADALAASDTLRREEKALRALANSSNEALKLAKARYESGVDNHLRYLDAQRSSFLNEIAFIDGSTQRQIALVDLFRALGGGWDEGRSLVVHRGGRS ->ARGMiner~~~acrB~~~WP_052961960.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_052961960.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAIVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~FosB3~~~O31817~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~O31817~~~fosfomycin~~~unknown MEIKGINHLLFSVSHLDTSIDFYQKVFGAKLLVKGRTTAYFDMNGIWLALNEEPDIPRNDIKLSYTHIAFTIEDHEFEEMSAKLKRLHVNILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMHFYDETAF ->ARGMiner~~~FosB~~~WP_046197601.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_046197601.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVRGRKLVYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGILQDRLNYYREDKPHMTFY ->ARGMiner~~~lsaA~~~WP_016618095.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_016618095.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQDVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSLNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLSVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~macA~~~WP_003712515.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_003712515.1~~~macrolide~~~unknown MVIFIVGSLPPWMGQGLAVESASDLWDWRIQRIENMAKMMKWAAVAAVAAAAVWGGWSYLKPEPQASYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSAPTIIQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEMTAAEQQESSERAMGGPPR ->ARGMiner~~~macB~~~WP_034494292.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_034494292.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDEQVEVLKGINITIEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGSYKVAGQDVALLDSDALATLRREHFGFIFQRYHLLSHLNATQNVEVPAVYAGTARVYRQQRARALLMRLGLAERVDYLPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMATLKQLREQGHTVIIVTHDPSIAAQAQRVIEIRDGEIISNPPSVAQESAAQKEVKTQPINSAFQQTISSFREAFAMAWLALAANKMRTLLTMLGIIIGIASVVSIVIVGDAAKQLVLQDIRSIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAISQQPWVSSATPALSSNLRVRYGNVDAAASVNGVSSQYFNVYGMSFSEGNTFNEEQLTGRAQVVVLDSNTKRQLFPNKANVVGEIILVGNMPATVIGVAQEKQSMFGSSKILRVWLPYNTMAGRVMGQSWLNSITVRVNEGYNSHEAEQQLNRLLQLRHGKKDFFTYNMDGLLKTAEKTTRTLQMFLTLVAVISLLVGGIGVMNIMLVSVTERTKEIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLLIAFTLQLVLPGWEIGFSPMALLTAFACSTATGIIFGWLPARNAARLNPIDALARE ->ARGMiner~~~emrA~~~WP_061153581.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_061153581.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALEPVNKLIDGIIQANAG ->ARGMiner~~~norA~~~WP_061745393.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061745393.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYEVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~ANT(2'')-Ia~~~X04555.1.orf0.gene.p01~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~X04555.1.orf0.gene.p01~~~aminoglycoside~~~unknown MRSRNWSRTLTERSGGNGAVAVFMACYDCFFVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTKLGRMDTTQVTLIHKILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAIVEMLGGRVMEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~tolC~~~WP_063145594.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063145594.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNSSQQYDDSNVGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPESVAPENPDQVAAVDNFNGNSNTPTAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~arnA~~~WP_001683081.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001683081.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTQVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~emrB~~~WP_042962729.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_042962729.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASTWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~vanWB~~~SAM71689.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~SAM71689.1~~~glycopeptide~~~unknown MDRKRLTQRFPFLLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIRPGETFSFWWLVRHADKDTPYKDGLTVTNGKLTTMSGGGMCQMSNLLFWMFLHTPLTIIQRRGHEVKEFPEPNSDEIKGVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVFADKEPQALYKITNRSIQYVRESGGIYEYAQVKRMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEENQ ->ARGMiner~~~lmrP~~~KHK22997.1~~~macrolide;lincosamide;streptogramin;tetracycline unknown +>ARGMiner~~~lmrP~~~KHK22997.1~~~macrolide;lincosamide;streptogramin;tetracycline~~~unknown MFRELHPNIRARILIQFLSKVIGSMIFPFMAIYFSREINSSVAGFLLMINVLAQFLAGMYGGHLADIIGRKKLMVTGELLKVFAFLGMVLCNSPMFHSPWITFVMLLIIGVAQGLINPAGEAMLIDVSTPENRSFMYSVSYWANNLSIMIGIMVGGWFFVDYLFPLLVVLFIMSFVTAWLTISLISETLQQKEMPHKGSYGLMGMLKNYGQVLHDYRFLLYTIGGIAIMSIEFQRSNYISVRLAEDVKALLVHLGPLGNISLNGVQIVSVLTAVNTLFIVLFTVPIARFVTKRAQQPIMYVGFTLFALGFAVCAFANNLTVLLLATMVLSIGELLYVPTRQTILAAIVDDERRGAYMAFNGIIFQIGKMIGSVSIVFAPFIGKYGMGAFTITLGVLSIVFSAVALKSGWEKVLVK ->ARGMiner~~~FosB3~~~WP_057485193.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_057485193.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNQIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~FosA2~~~KHG43314.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~KHG43314.1~~~fosfomycin~~~unknown MPPLRRQPPAVYGEHGVVYVHLFTVPRPARKTKGLVMLQSLNHLTLAVSDLQKSVTFWHELLGLPLHARWNTGAYLTCGDLWVCLSFDEARQYVPPQESDYTHYAFTVTEEDFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYSGMVFTSDEA ->ARGMiner~~~OXA-4~~~AGY30813.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-4~~~AGY30813.1~~~cephalosporin;penam~~~unknown MKNTIHINFAIFLIIANIIYSSASASTDISTVASPLFEGTEGCFLLYDVSTNAEIAQFNKAKCATQMAPDSTFKIALSLMAFDAEIIDQKTIFKWDKTPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVKNSAIENTIENMYLQDLENSTRLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYVFVSALTGNLGSNLTSSIKAKKNAITILNTLNL ->ARGMiner~~~bacA~~~Q8XL56~~~peptide unknown +>ARGMiner~~~bacA~~~Q8XL56~~~peptide~~~unknown MGIDFLFILKALIIAIVEGLTEFVPVSSTGHMILVGDLIHFNTQSGGFPEMYEVVIQLGAILAVVVLYWRKISSSVVEFLSYIFSFIGLKTSGDKRKYEKRLAESETGFRFGINVIIGTIPAAILGLLFHDEIKEYLFSTKTVAIGFIVGGILLIVIENNFRKRAKRSKIVKDIDKMTYGQSLLVGCFQCLSLWPGMSRSASTIMGGWISGLSTTVATEFTFFLAIPAMVGASGLDLFKFDYSQMNATNWISLILGFIVAFIVSLVVIDKFINYLKKKPMRVFAIYRVFAGIVLAILIFTKVIS ->ARGMiner~~~CTX-M-3~~~AIC64483.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64483.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVVMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRLDVLASAAKIVTDGL ->ARGMiner~~~FosX~~~WP_039175606.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_039175606.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLREIFNAEEIYSSGDQTFSLSQEKFFLIAGLWICIMEGDSLQEQTYNHIAFRIQSEEVDEYIERIKSLGVEIKPERPRVQGEGSSIYFYDFDNHLFELHTGTLEERLKRYHE ->ARGMiner~~~abeM~~~ETQ77597.1~~~fluoroquinolone;acridinedye;triclosan unknown +>ARGMiner~~~abeM~~~ETQ77597.1~~~fluoroquinolone;acridinedye;triclosan~~~unknown MLPILITQFAQAGFGLIDTIMAGHLSAADLAAIAVGVGLWIPVMLLFSGIMIATTPLVAEAKGARNTKQIPVIVRQSLWVAVILGVLAMLILQLMPFFLHVFGVPESLQPKASLFLHAIGLGMPAVTMYAALRGYSEALGHPRPVTVISLLALVFLIPLNMIFMYGLGPIPALGSAGCGFATSILQWLMLITLAGYIYKASAYRNTSIFSRFDKINLTWVKRILQLGLPIGLAVFFEVSIFSTGALVLSPLGEVFIAAHQVAISVTSVLFMIPLSLAIALTIRVGTYYGEKNWASMYQVQKIGLSTAVFFALLTMSFIALGREQIVSVYTQDINVVPVAMYLLWFAMAYQLMDALQVSAAGCLRGMQDTQAPMWITLMAYWVIAFPIGLYLARYTDWGVAGVWLGLIIGLSIACVLLLSRLYLNTKRLSQT ->ARGMiner~~~macA~~~WP_058107050.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_058107050.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAVQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~TEM-1~~~ANG34252.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG34252.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVREVCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~norB~~~WP_014931776.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_014931776.1~~~fluoroquinolone~~~unknown MTSTAYKGTNKLIVGIVFGVITFWLFAQSMVNIVPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGSTLLIIGRIIQGLSAACIMPATLALMKTYFDGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGATFGWTSPITITMLVVFLVSAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSGITAIGIALMALTFIPGTLYTVLVFIGFALFGIGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLISVAITTPSAKKALELKAAKE ->ARGMiner~~~macB~~~WP_048290339.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048290339.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERSARLARAHELLLRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~arnA~~~WP_001631608.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001631608.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFPLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macA~~~WP_003753824.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_003753824.1~~~macrolide~~~unknown MVIFIVGSLPPWMGQGLAVESASDLWDWRIQRIENMAKMMKWAAVAAVAAAAVWGGWSYLKPEPQASYITETVRRGDIIRTVSATGEISPSNLVSVGAQASGQIKKLYVRLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLASAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~emrK~~~WP_032281367.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_032281367.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLAVVLFIAFSGAYAYWSMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQAYKANKALVMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTKDEDIAELPDLASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~tolC~~~WP_028014444.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_028014444.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGSAPAAQPAAARSTAPASSGSNPFRN ->ARGMiner~~~FosB~~~WP_002141399.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002141399.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENAIMFYERVLAGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQEDFERLLQRLEENKVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~mdtH~~~OBU11336.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~OBU11336.1~~~fluoroquinolone~~~unknown MSLVRQARTLGKYWLLADNLLVVLGFFVVFPLISIRFVEQLGWSGIVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVIGMFLRAGGFALMAMADEPWILWLSCVLSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALLGSWLLMYDFHLVCWVGAGIFVITALCNAWLLPAYRISTTRTPIKEGMSRVLNDKRFSRYVLTLTGYFILSVQVMLMFPIIVNELAGTPAAVKWMYAIEAALSLTLLYPLARWSEKHFRLETRLMAGLFLMSMSMFPVALTHSLHVLFLIIGLFYLGSIIAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWLYDLGKEMQLPELPWFLLGTIGLITLFTLYRQFNPKKVSPAVLPEN ->ARGMiner~~~macB~~~WP_058145168.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_058145168.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRMAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLAGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Bacillus subtilis mprF~~~WP_031896197.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_031896197.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRREMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~L1 beta-lactamase~~~WP_065198476.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_065198476.1~~~cephalosporin~~~unknown MHPCLSSLALAATLLALDATAADAPLPQLQAYTVDASWLQPMAPLQIADHTWQIGTQDLTALLVQTDQGAVLLDGGMPQMADHVLANMTARGVAPRDLRLILLSHAHADHAGPVAELKRRTGAHVAANAESAVLLARGGSDDLHFGNDITFPPVTADRIVMDGEVVSIGGIAFTAHFMPGHTPGSTAWTWTDTRDGLPVRIAYADSLSAPGYQLQGNARYPRLIDDYRRSFATVRALPCDLLLTPHPGASHWNYAAGRNAGAQALSCKAYADEAEKRFDAQLAKETAARR ->ARGMiner~~~nalD~~~WP_044264215.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_044264215.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLPPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~mdtH~~~WP_059686713.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_059686713.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLARVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALCYAGGGWLFDAGKALSQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~emrB~~~WP_032184969.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032184969.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGAGGAH ->ARGMiner~~~novA~~~WP_008742581.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_008742581.1~~~aminocoumarin~~~unknown MRPEEPKWTPSKDPLDPTRPVPAEQPRELRRIVGLFRPYRGRLAVVGALVCASSLVGVASPFLLREILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTGVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIASVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITFQRQKQMAAMAATVTESLSVSGILLGRTMGRADSLTSSFAEESEKLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGFALQTGSPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITEREDPVRLDRAKGEVRLEDVHFEYDAKSGPTLSGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVALDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEIIEAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQRAIDNLSQGRTTITIAHRLSTVRDADQIVVLDKGRIAERGTHEELLAAEGRYAALVRRDRDTVLTPETVRTTRFGEPTPVKV ->ARGMiner~~~arnA~~~WP_001520903.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001520903.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVKRIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMHETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtH~~~WP_052972099.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_052972099.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKPIRPQQRCRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSALLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRATRRLLERDA ->ARGMiner~~~Escherichia coli mdfA~~~EEX02869.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~EEX02869.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MYKLMKNIQTTALNRTTLMFPLALVLFEFAVYIGNDLIQPAMLAITEDFGVSATWAPSSMSFYLLGGASVAWLLGPLSDRLGRKKVLLSGVLFFALCCFLILLTRQIEHFLTLRFLQGIGLSVISAVGYAAIQENFAERDAIKVMALMANISLLAPLLGPVLGAFLIDYVSWHWGFVAIALLALLSWVGLKKQMPSHKVSVTKQPFSYLFDDFKKVFSNRQFLGLTLALPLVGMPLMLWIALSPIILVDELKLTSVQYGLAQFPVFLGLIVGNIVLIKIIDRLALGKTVLIGLPIMLTGTLILILGVVWQAYLIPCLLIGMTLICFGEGISFSVLYRFALMSSEVSKGTVAAAVSMLLMTSFFAMIELVRYLYTQFHLWAFVLSAFAFIALWFTQPRLALKREMQERVAQDLH ->ARGMiner~~~lmrB~~~OCB92939.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~OCB92939.1~~~lincosamide~~~unknown MENAQPSKQYKVMPIMISLLTAGFIGMFSETALNIALTDLMKELHITPATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFIVSLAFSIVGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPATGPTFSGLVLEHLNWHWIFWISLPFLVLALLFGIAYMQNVSDITKPKIDVLSIILSTIGFGGIVFGFSNAGEGSGGWSSPTVIGSLTVGAIALILFSIRQLTMKQPMMNLRAFRYPMFILGVVIVFICMMVILSTMLLLPMYLQSGLMLTAFTSGLILLPGGILNGFMSPVTGRLFDKYGPRWLVIPGFVITAAVLWFFSNITGASTALLIVVLHTCLMIGISMIMMPAQTNGLNQLPPEFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQKDYMSTVKNPNDPSAYSHALIAGVQHAFIFAMIVAVIGLISAFFMKRVKVNHS ->ARGMiner~~~acrB~~~WP_033642107.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_033642107.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVIAIIVMLAGVLAIMKLPIAQYPTIAPPAVSISANYPGADAKTVQDTVTQIIEQNMNGIDNLMYMSSTSDSSGSVTITLTFESGTDPDIAQVQVQNKLSLATPLLPQEVQQQGLKVEKSSSSFLMVAGFVSDDPNMTQDDIADYVASNIKDPISRSSGVGEVQLFGAQYAMRIWLDPNKLNNYQLTTTDVTSAITEQNNQIAAGQLGGLPPVPGQQLNASIIAQTRLTSPEEFGKILLKVNTDGSQVRLRDVAHIERGAESYAVTARYNGKPAAGLGIKLATGANALNTAKGVKDELAKMAPFFPQGMKVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIPKGDHGVKTGFFGWFNRMFEKSTHHYTDSVGNILRSTGRYLIIYLLIVVGMGLLFLRLPSSFLPDEDQGILLTMVQLPAGATESRTNKVLEEVSDYFLNKEKDNVVSVFTVAGFGFNGNGQNNGLAFVSLKDWGERPGAGNKVEAIAGRAMGAFSQIKEGLVFPFNLPAIIELGTATGFDFELIDQGGLGHEKLTEARNQLLGMVAQHPDVLVGVRPNGLEDTPQFKLIVDQEKAKALGVSITTINSTLSTALGGSYVNDFIDRGRVKKVYVQADAPFRMLPEDINKWYVRGTSGQMVPFSAFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMNLMEQLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISILVVFLCLAALYESWSVPFSVMLVLPLGVIGALLAATMRGMNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSKKNEDLEHSHPVEHH ->ARGMiner~~~FosB3~~~CRV27548.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~CRV27548.1~~~fosfomycin~~~unknown MIQSINHVTYSVSDIKKSTIFYKDILKAKILVESDKTVYFTLGGLWLALNEEKDIPRNEIQYSYTHMAFTIDENEFDEWYQWLKDNDVNILEGRTRDVRDKQSIYFTDPDGHKLELHTGTLQDRLDYYKEEKPHMKFYI ->ARGMiner~~~mdtE~~~WP_001501509.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001501509.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDPARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~MexF~~~WP_031690281.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_031690281.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQKILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~macB~~~WP_004191149.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_004191149.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLLRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtA~~~CRL63205.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~CRL63205.1~~~aminocoumarin~~~unknown MNKNKNAKKRVSLIIALIVVIAGGYAYWQFNAAKTASPENKVAQATNSQSRSTSGSRRPPLPPVQVATSTQEDVPQFLSALGTVKATNSVTVTSRVEGQLMALHFTEGQHVQQGDLLAEIDSRPFEVQLAQAKGQLAKDQATLANARLDLARYQKLAKTNLVSQQELDNQQALVKQSEASIRIDEATISNAQLQLTYSKITAPISGQVGLKQVDVGNYISGGSSTPIVVINQMDPVDVLFTLPEQDLAKVIQARKNSADLPVTALDRNNQFELAQGKLFSVDNQIDATTGTIKLKARFPQQETTLFPNQFVNVRLYVTTLEKAVVIPNAALQMGNEGHFVWVVDNENKVSKLRVEVALQNAEKVVIASGLSADQRVVTDGVDRLTQGAKVEIVTPTAPKTKENNRVVAEKA ->ARGMiner~~~macA~~~WP_005079109.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_005079109.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEAAKKPQGNGARLERLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~mepA~~~WP_001627648.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_001627648.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGITAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~Escherichia coli ampC~~~WP_001423265.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001423265.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDIYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVDAAWQILNALQ ->ARGMiner~~~bacA~~~Q7VXA0~~~peptide unknown +>ARGMiner~~~bacA~~~Q7VXA0~~~peptide~~~unknown MTDSTLHLLKAFFLGIVEGLTEFIPVSSTGHLIVIGDWINFASSSGKVFEVVIQFGSILAVMWIFRARLWQLIRGTLTGVRQEVNFTRNLLLAFLPAAVIGAIFIKSIKQVFYHPGVVAVTLVVGGFIMLWVERRAPHTPGDAPGAADDTASDERASAHTLEQISAKQALGVGVAQCVAMIPGVSRSGATIIGGMIAGIQRKTATEFSFFLAMPTMLGAAVYDLYRNIGLLSQHDMSAIAVGFVAAFLSALVVVRAVLRFVANHTYRVFAWYRIALGLVVAAWIYAK ->ARGMiner~~~mdtH~~~WP_021325095.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_021325095.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIHFVEQLGWAALVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVTGMLLRALGFALIALATEPWILWLACILSALGGTLFDPPRTALVIKLTRPHERGRFYSLLLMQDSAGAVIGALIGSWLLQYDFHLVCWTGAAIFVLAAIWNAWQLPAYRISTTRTPIWEGMGRVTKDRRFFTYVLTLTGYFMLSVQVMLMFPIIVNEIAGTPAAVKWMYAIEATLSLTLLYPIARWSEKRFRLEQRLMAGLFLMSLSMFPIGLIGEINTLFGIICLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLALGGALGYTGGGWLYDTGHTLNMPQLPWILLGIIGFITLYALHRQFNQRKIESAMLSGS ->ARGMiner~~~mdtN~~~WP_033558791.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_033558791.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRASTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~macB~~~WP_043016897.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_043016897.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPSHKPSGGRDIVEPTVKAASGWGQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~acrB~~~WP_001132492.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132492.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKYQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~OXA-46~~~gi:291191121:pdb:3IF6:A~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-46~~~gi:291191121:pdb:3IF6:A~~~cephalosporin;penam~~~unknown MAIRFFTILLSTFFLTSFVYAQEHVVIRSDWKKFFSDLQAEGAIVIADERQAKHTLSVFDQERAAKRYSPASTFKIPHTLFALDADAVRDEFQVFRWDGVNRSFAGHNQDQDLRSAMRNSTVWVYELFAKDIGEDKARRYLKQIDYGNVDPSTIKGDYWIDGNLKISAHEQILFLRKLYRNQLPFKVEHQRLVKDLMITEAGRSWILRAKTGWEGRFGWWVGWIEWPTGPVFFALNIDTPNRTDDLFXREAIARAILRSIDALPPN ->ARGMiner~~~arnA~~~WP_021823865.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021823865.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVALPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_001643240.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001643240.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKHPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexA~~~ERZ17546.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~ERZ17546.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~adeB~~~WP_000987605.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987605.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKAEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~Escherichia coli mdfA~~~KFH94025.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~KFH94025.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MQNKLASGARLGRQALLFPLCLVQYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIITCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLLLMVIFLKDKQMGNSHEG ->ARGMiner~~~OXA-1~~~EGK39883.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-1~~~EGK39883.1~~~cephalosporin;penam~~~unknown MFLLYDASTNAEIAQFNKAKCATQMAPDSTFKIALSLMAFDAEIIDQKTIFKWDKTPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIGLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVKNSAIENTIENMYLQDLDNSTKLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYVFVSALTGNLGSNLTSSIKAKKNAITILNTLNL ->ARGMiner~~~mdtF~~~WP_001753174.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001753174.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEGNSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mdtH~~~WP_023184856.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023184856.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMVPIGMVGNLQQLFTLICAFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFTTFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~mdtF~~~WP_001724011.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001724011.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQGQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~MexF~~~YP_260346~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~YP_260346~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKITLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYALLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAPPAPTATSFQLSVNTQGRLVTEEEFENIIIRSGEDGEITRLKDIARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIEISNEVRAKMAELKQSFPQGMDFSIVYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLNPVEATKRAMREVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKEHHAPKDRFSKILDKLLGGWLFKPFNRFFDRASHGYVGTVRRVIRGSGIALFLYAGLMVLTWFGFAHTPTGFVPAQDKQYLVAFAQLPDAASLDRTEDVIKRMSDIALKQPGVESAVAFPGLSINGFTNSPNNGIVFVTLKPFDERKDPSMSAGAIAGALNGKYADIQDAYMAIFPPPPVQGLGTIGGFRLQVEDRSGLGYDELYKEVQNVITKSRSVPELAGLFTSYQVNVPQVDAAIDREKAKTHGVAISDIFDTLQIYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNKGEMIPLATFIKVSDTAGPDRVMHYNGFVTAEINGAAAPGYSSGQAEAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIVSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVISSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERSEARKAARALQLEAQQ ->ARGMiner~~~mexH~~~WP_034074425.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_034074425.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVARASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAISAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~hmrM~~~WP_023182103.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_023182103.1~~~fluoroquinolone;acridinedye~~~unknown MQKYTSEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLVMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNEKGFGKPDSVVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGICMAVVTAIFTVTLRKHIALLYNDNPEVVALAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVERMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAIILQRAAR ->ARGMiner~~~sul2~~~WP_042043527.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_042043527.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQDYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~tolC~~~EKL38622.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EKL38622.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEGNAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDRLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~macA~~~WP_063133116.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063133116.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQDQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAQ ->ARGMiner~~~opcM~~~WP_006494234.1~~~fluoroquinolone;aminoglycoside unknown +>ARGMiner~~~opcM~~~WP_006494234.1~~~fluoroquinolone;aminoglycoside~~~unknown MDNMHNTNGLMRFAKVAAASTLLATLLAACAVGPDYQRPDAAAPAAFKEAPTLAAGEQAGTWKTAEPSDGEHRGEWWKVFGDPVLDSLETQALAANQNLKAAAARVEEARAATRSARSQWFPQVGAGFGPTREGLSSASQFQPQGTGPTNATLWRAQGTVSYEADLFGRVGRNVEASRADQAQSEALFRSVQLALQADVAQNYFELRQLDSDQDLYRRTVELREQALKLVQRRFNEGDISELDVSRAKNELASAQADAVGVARRRAASEHALAILLGKAPADFAFKETPIVPVAVKIPPGLPSALLERRPDVSAAERAMAAANARIGLAKSAYFPKLDITGSFGYEASTLGNLFLWSSRTFLLGPFAGTALTLPLFDGGRRAAGVQQARAQYDEQVANYRQQVLVAFREVEDNLADLRLLDDQIRAQDAAVNASRRAATLSRTQYQEGEVAYLDVIDSERSVLQSQLQANQLTGAQAVSTVNLIRALGGGWGAAPTAVGDAASVKAEVAAR ->ARGMiner~~~macB~~~WP_000188120.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188120.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGKDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEDVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_032183921.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032183921.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGMAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~sdiA~~~WP_001157172.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001157172.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~nalD~~~WP_049345021.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_049345021.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTREDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~emrA~~~WP_001617232.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001617232.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQGLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~macA~~~CP001138.1.gene934.p01~~~macrolide unknown +>ARGMiner~~~macA~~~CP001138.1.gene934.p01~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~TEM-207~~~ANG15841.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG15841.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGEPLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~CBA33641.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CBA33641.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLYASQQGMQMKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGIDSTAKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDSFKTTKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSNTGGAAASNYADRKIGQNSVGLSFNLPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTAPENVAPENPQQDAAVNNMTNSGGSASAMQPAAATRSGNSNSSNPFRQ ->ARGMiner~~~mdtE~~~WP_001081977.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001081977.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEIASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~emrA~~~WP_002954312.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_002954312.1~~~fluoroquinolone~~~unknown MSENAEIQTPQQSAKKKGKRKTALLLLTLLFIIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDFNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~arnA~~~WP_001397534.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001397534.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~norA~~~WP_061739892.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061739892.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLFADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~macA~~~WP_000746439.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746439.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIAIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~MexD~~~WP_042911120.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_042911120.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLRPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMISGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~OprN~~~WP_023125875.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_023125875.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLAPALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAERERLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~acrB~~~WP_021553041.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_021553041.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTNYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLHPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~msbA~~~WP_031906909.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031906909.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAVFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~mdtN~~~WP_062886813.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_062886813.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRNVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~MexB~~~WP_057716082.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_057716082.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILRLPINQYPSIAPPAIAISVAYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGTMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRIWLDPAKLNNFNLTPIDVKTAIAAQNVQVSSGQLGGLPALPGTQLNATIIGKTRLQTAEEFEKILLKVNKDGSQVRLRDVAEVGLGGENYSINAQFNGAPASGLAVKLATGANALDTAKALRATIDSLKPFFPQGMEVVFPYDTTPVVTESIKGVVHTLVEAVALVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKPIPKGEHGVPKRGFFGWFNRNFDRGVRSYERGVGNILQRKIPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTSQRTQVVVDEMREFLLRPGKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLRPWDERNADNNVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKILSQVRPNGLNDEPQYQLEIDDEKASALGITIADINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMGPEDLQKWYVRNSTGNMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMLEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSIGQRKIADQDDAIETSKEAG ->ARGMiner~~~APH(3')-Ia~~~AAG42313.1:AF306519_1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~AAG42313.1:AF306519_1~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNMDADLYGYKWARDNVGQSGATIYRLYGKPDAPELFLKHGKGSVANDVTDEMVRLNWLTEFMPLPTIKHFIRTPDEAWLLTTAIPGKTAFQVLEEYPDSGENIVDALAVFLRRLHSIPVCNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRLFQKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~bcrA~~~WP_000074584.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074584.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGENLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVHKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGRLLEEVSLDKLRKVNRKYIEFQVNNDNKAAMLLENQFQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNDIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~arnA~~~WP_024044380.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_024044380.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPQIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~aadA~~~AAX97756.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~AAX97756.1~~~aminoglycoside~~~unknown MTCFFGVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTKLNIMGEAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~macA~~~WP_031601964.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_031601964.1~~~macrolide~~~unknown MRAKGKKFKKRYLAIILILLVGGMVSWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKAQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGAMP ->ARGMiner~~~macA~~~WP_001124217.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124217.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~novA~~~WP_058042812.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_058042812.1~~~aminocoumarin~~~unknown MRHDEENWTPPPADPEQPAQVRRILRLFRPYRGRLALVGLLVAASSLVSVASPFLLREILDTAIPQGRTGLLSLLALGMIAAAVVNSVFGVVQTLLSTTVGQRVMHDLRAAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATVVAMLALDWRLTVVSLLLLPVFVMISRRVGRERKKITSQRQKQMAVMAAMVTESLSVSGILLGRTMGRADSLTRSFAKESERLVGLEVRASMAGRWRMSTIGIVMAAMPAVIYWAAGIALQTGGPGISIGTLVAFVSLQQGMFRPAVSLLSTGVQMQTSLALFQRIFEYLDLPVDIAEPKKPVRLEKVRGEVRFEKVAFSYDAPGSREPSAPTLSGIDLTVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVLLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIRSAARAAQIHDHIAALPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQEAIDALSAGRTTITIAHRLSTVHDADRIVVLDRGRVTEQGTHEELLAEDGHYAALVSRDAGRATAGAVTG ->ARGMiner~~~sdiA~~~gi:927659411:dbj:BAS34625.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~gi:927659411:dbj:BAS34625.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWEDGLFRDAAALWDGARDHGLKKGVTQCLTLPNHAQGFLSVSANNRLPDAYPEDELEMRLRMLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~mexH~~~WP_033993768.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_033993768.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGNPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mexH~~~WP_055315019.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_055315019.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRGVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAISAPFSGQLGIRRVPLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLAQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtP~~~WP_000610545.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610545.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDFHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSTNGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAADAAYQRGLTSRLQATEAQLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~CepS beta-lactamase~~~WP_045789248.1~~~cephalosporin unknown +>ARGMiner~~~CepS beta-lactamase~~~WP_045789248.1~~~cephalosporin~~~unknown MKQTRALPLLALGTLLLAPLALAAPVDPLKAVVDDAIRPVLKQHRIPGMAVAVLKGGQAHYFNYGLADVATGAKVNEQTLFEIGSVSKTYTATLGAYAVVKGGFKLDDQVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSSDTMRAYYRHWTPAYQPGSHRQYSNPSIGLFGHLAANSLQQPFARLMEQTLLPALGLEHTYLQVPEAAMARYAFGYSKEDKPIRVNPGMLADEAYGIKTGSADLLAFVKANISGVDDKALQQAITLTHTGFYRVGEMTQGLGWESYAYPVSEQTLLAGNSPAVSLKANPVTQFDAPAAPGAMRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPIEARVSAAHAILSQLAP ->ARGMiner~~~mdtF~~~ZP_03032068~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~ZP_03032068~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNTLFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAELPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~BcI~~~WP_065705038.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_065705038.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGIRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKAIVKALK ->ARGMiner~~~emrB~~~WP_021565876.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_021565876.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWITQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~tolC~~~NC_010410.6003655.p01~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~NC_010410.6003655.p01~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKIKLMLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLTEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~APH(6)-Id~~~WP_032062476.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_032062476.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGYYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~bcr-1~~~YP_671782~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~YP_671782~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~MexA~~~WP_057379444.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_057379444.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPVMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~norA~~~WP_058710176.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_058710176.1~~~fluoroquinolone;acridinedye~~~unknown MRKQLFTLYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGILVASFALAQMIISPFGGGLADKLGKKLIICIGLVLFSISEFMFAVGHSFTNLVISRVLGGFSAGMVMPGVTGLIADISPSQDKAKNFGYMSAIINSGFILGPGFGGFLAEVSHRLPFYFAGGLGIIAFIMSLIVIHNPKKMTTAGFPQYDPELLTKINWKVFLTPVILTLVLAFGLSAFETLFSLYTSDKAGYTPKDISIAITGGGIFGALFQVFFFDKFMKFTTELNFIAWSLLYSAIVLVMLIIAQGYWTIMLISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFMGPLVAGTLFDVNIEFPLYMAIAVSLSGIVIIFIEKMIRTQLNRNSK ->ARGMiner~~~tolC~~~WP_059260917.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_059260917.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSNRDSRDSDTLSAGVGFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYADNRNSNASTPSDYNDFKIGVNLSVPLYTGGNTTSLTKQAEFAYVASSQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~emrA~~~WP_001410907.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001410907.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQALANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~arlR~~~HE999704.1.gene1528.p01~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~arlR~~~HE999704.1.gene1528.p01~~~fluoroquinolone;acridinedye~~~unknown MNRILIVEDEKNLARFIELELQHENYETAVANDGRAGLELALNEEWDAILLDLMLPHLNGVEVCRRVRQVKQTPIIMITARDSVIDRVSGLDHGADDYIVKPFAIEELLARLRSLLRRVENAEQSAKQTTLQYRNLIVEKENRIVKRDEEIIDLTKREYELLLTLMENVNIVLTREVLLNKVWGYETEVETNVVDVYVRYLRNKIDHPDEESYIQTVRGTGYVMRT ->ARGMiner~~~macA~~~WP_063943593.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063943593.1~~~macrolide~~~unknown MNLKGKRRTLFLLLALVIVAGGFWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQTLAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVEKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~y56 beta-lactamase~~~WP_050132409.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050132409.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITLPLVNFSLPAWAVPTSDLLGNQLAELERNSNGRLGVTMINTANGRKIQYRGTQRFPFCSTFKFMLAAAVLGKSQTQPGLLDKHIKYHESDLLSYAPITRKNLAHGMTVSQLCAATMQYSDNTAANLLIKELGGVASVNAFARSIGDQVFRLDRLEPDLNTALPNDPRDTTTPAAMADSMNKLVLGDALPAAQREQLALWLKGNTTGANTIQAGAPADWIVGDKTGSGDYGTTNDIAVLWPTQGAPIVLVIYFTQREKDAKPRRDVLAAATKIVLSHLSPAQ ->ARGMiner~~~macB~~~WP_045403603.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045403603.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKASVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_000074160.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_000074160.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFITLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~MexD~~~WP_023092945.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_023092945.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSRPAPIEQAASAGE ->ARGMiner~~~tolC~~~WP_060557638.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_060557638.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLSLLVTMSLAGFSTASQAEDLLQVYQKAKDSNPELRKSLAERNQAFEKINEARSPLLPQLGLGASIDYKSGYRDAKNTESNSLGANLTLTQTIFDMSLWRQLTMQEKTAGMSDVTYQTSQQQLILDTATAYFNVLRAIDSLSFIEAQKEQVYRQLDQTTQRFNVGLVAITDVQNARANYDSVLAQEVAGRNELDNALEKLRQVSGVYYINLASLNIARFSTTPPDSIDKLLKDAEERNLSLLSARLGQDLARENIRLAQSGHLPTVNLNASTGVSNSHNHGSALPPETPANSRNSYNGQSSIGLSLSIPLYTGGRTSSQVEQAQYGFTSASEQLESVYRSIVQIARSSYNNISASISSIKAYQQVVVSAQSSLDATEAGYQVGTRTIVDVLNATTTLYDAKQKLSSARYDYLINQLNIQYARGTLNENDLIQLNNALGEEISTSPDNIIRPLTSPVLNTTN ->ARGMiner~~~macB~~~WP_032624546.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032624546.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAATKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSGLAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sul1~~~ADW81558.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~ADW81558.1~~~sulfonamide;sulfone~~~unknown MGPFGGLKSMTGFPVKKVNTDITSITSNGGRVKCMVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITISETLAKFRSRDARDRGLDHA ->ARGMiner~~~macA~~~WP_063856172.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063856172.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRVLNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~BcI~~~WP_020449992.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_020449992.1~~~cephalosporin;penam~~~unknown MKLWFSTLKLKKAAAVLLFSCVALAGCANNQTNASQPAEKNEKTEMKDDFAKLEEQFDAKLGIFALDTGTNRTVAYRPDERFAFASTIKALTVGVLLQQKSIEDLNQRITYTRDDLVNYNPITEKHVDTGMTLKELADASLRYSDNAAQNLILKQIGGPESLKKELRKIGDEVTNPERFEPELNEVNPGETQDTSTARALVTSLRAFALEDKLPSEKRELLIDWMKRNTTGDALIRASVPDGWEVADKTGAASYGTRNDIAIIWPPKGDPVVLAVLSSRDKKDAKYDDKLIAEATKVVMKALNMNGK ->ARGMiner~~~arnA~~~WP_001480569.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001480569.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macA~~~WP_005066196.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_005066196.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEAAKKSQGNGARLERLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~macA~~~WP_028012548.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_028012548.1~~~macrolide~~~unknown MNLKGKRRTLFLLLALVIVAGGFWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVEKGLEEGEEVVISESLPGAAK ->ARGMiner~~~sdiA~~~gi:209912597:dbj:BAG77671.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~gi:209912597:dbj:BAG77671.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRFTMQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~BcI~~~WP_002141819.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002141819.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEVFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSIDKLNEVITYTKDDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTIADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPADKRKVLTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEAAYDNQLIAEATEVIVKALK ->ARGMiner~~~vanWB~~~AGG19392.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~AGG19392.1~~~glycopeptide~~~unknown MDRKRLTQRFPFLLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIRPGETFSFWWLVRHADKDTPYKDGLTVTNGKLTTMSGGGMCQMSNLLFWMFLHTPLTIIQRRGHEVKEFPEPNSDEIKEVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVSADKEPQALYKITNGSIQYVRESGGIYEYAQVKRMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEENQ ->ARGMiner~~~BLA1~~~WP_042515933.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_042515933.1~~~penam~~~unknown MILKNKRMLKIGICVGILGISLTSLEVFKGGTLQVEAKEKTGQVKHKNQATHKECSKLEQKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRYSDNTAGNILFHKIGGPKGFEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIARNLKDFTIGNALPHQKRNILTDWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRSPIIIAILSSKDEKEATYDNQLIKEAAEVVIDAIK ->ARGMiner~~~tolC~~~WP_001895677.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001895677.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MTSSRSALLPQINLTAGYNINRSDQAPRESDLLSAGINFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDNAKNTSGEEYNDFKIGVNLKVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~adeB~~~WP_046760544.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_046760544.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIILFGVLSIPKLPIARFPSVAPPQVNISATYPGATAQTINDSVVTLIERELSGVKNLLYYSSTTDTSGTAEISATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNGQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLISYGLSISDVNTAIRENNIEIAPGRLGDLPATKGQLITVPLSAQGQLGNVEQFKNISLKSKTSGSVIKLSDVAEVEMGSQAYNFAILEDGQPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMQYSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFAVMLLLGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLPPKEATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGIIYQQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELLLLKVIKHTIPMMVIFVVITAITFAGMKYWPTAFMPEEDQGWFLTSFQLPSDATAERTRNVVNEFEQHLKGNPNVKSNTAILGWGFSGAGQNVAMAFTTLTDFKDRTSTATEMTNSVNAFMANSKEGATMAVLPPAIDELGTFSGFSLRLQDRGNLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLNALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVDAKSRMQLKDILSLKVAGASGQLVSLSEVVTPQWSKAPQQYNRYNGRPSLSIAGIPNFDTSSGDAMREMEQLIAKLPKGIGYEWTGISLQEKQTESQMAFLLVLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIVAIMSRGMMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFVLGAVEKIFSAKSPSKQDPQL ->ARGMiner~~~acrB~~~WP_001132488.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132488.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLATFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~MexF~~~WP_034040953.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_034040953.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADLDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~mdtH~~~WP_050950057.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_050950057.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGGAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYVGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~FosB~~~WP_000911697.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000911697.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEKSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRDEIRQSYTHIAFSVEKENLESLLQRLEENNVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYKDEKSHMTFY ->ARGMiner~~~sdiA~~~KLW67980.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~KLW67980.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLYVLGYIHHNNDYLYQRLHSVSMRDIDFFTWRRECFLRFQEMTCAEEVYQELQRQTQAFEFDYYALCVRHNVPFTRPRTSVHSSYPQQWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFTDAQQLWDGARDHGLRKGITQCLMMPNHALGFLSVSCTSLQAHSISSEEVELRLQMLVQMALTTLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKIQIACYAAATGLI ->ARGMiner~~~tolC~~~WP_023202734.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023202734.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANTYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~bcr-1~~~WP_023308495.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_023308495.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAIMGIAVLMLSLFILKETRPAAPAASDKSRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPLLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLTVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSSLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~vanD~~~WP_023042860.1~~~glycopeptide unknown +>ARGMiner~~~vanD~~~WP_023042860.1~~~glycopeptide~~~unknown MFRIKVAVLFGGCSEEHNVSIKSAMEIAANIDTKKYQPYYIGITKSGVWKMCEKPCLEWEQYAGDPVVFSPDRSTHGLLIQKDKGYEIQPVDVVFPMIHGKFGEDGSIQGLLELSGIPYVGCDIQSSVICMDKALAYTVVKNAGITVPGFRILQEGDRLETEDFVYPVFVKPARSGSSFGVNKVCKAEELQAAIEEARKYDSKILIEEAVTGSEVGCTILGNGNDLMAGEVDQIELRHGFFKIHQEAQPEKGSENAVIRVPAALPDEVREQIQETAMKIYRILGCRGLARIDLFLREDGCIVLNEVNTMPGFTSYSRYPRMMTAAGFTLSEILDRLIELSLRR ->ARGMiner~~~MexB~~~ERX48481.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~ERX48481.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLAGGLSILSLPVNQYPAIAPPAIAVQVSYPGASAETVQDTVVQVIEQQMNGIDNLRYISSESNSDGSMTITVTFEQGTDPDIAQVQVQNKLQLATPLLPQEVQRQGIRVTKAVKNFLMVVGVVSTDGSMTKEDLSNYIVSNIQDPLSRTKGVGDFQVFGSQYSMRIWLDPAKLNSYQLTPGDVSSAIQAQNVQISSGQLGGLPAVKGQQLNATIIGKTRLQTAEQFENILLKVNPDGSQVRLKDVADVGLGGQDYSINAQFNGSPASGIAIKLATGANALDTAKAIRQTIANLEPFMPQGMKVVYPYDTTPVVSASIHEVVKTLGEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPREAARKSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVIVALILTPALCATMLKPIEKGDHGEHKGGFFGWFNRMFLSTTHGYERGVASILKHRAPYLLIYVVIVAGMIWMFTRIPTAFLPDEDQGVLFAQVQTPPGSSAERTQVVVDSMREYLLEKESSSVSSVFTVTGFNFAGRGQSSGMAFIMLKPWEERPGGENSVFELAKRAQMHFFSFKDAMVFAFAPPSVLELGNATGFDLFLQDQAGVGHEVLLQARNKFLMLAAQNPALQRVRPNGMSDEPQYKLEIDDEKASALGVSLADINSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPDARMNPDDLSKWYVRNDKGEMVPFNAFATGKWEYGSPKLERYNGVPAMEILGEPAPGLSSGDAMAAVEEIVKQLPKGVGYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSMRGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKELHEQGKGIVEAAIEACRMRLRPIVMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMVTATVLAIFWVPLRGGQHAVQGRGVQAASVRRKGAMI ->ARGMiner~~~mdtG~~~WP_048226982.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_048226982.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFILTLFFIRERFQPVSKKEMLHIREVVASLKNPKLVLSLFITTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPKLGRLGDRIGPEKILIVALIVSVLLLIPMSFVHTPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNALYSWNSLRRRRSTEIVG ->ARGMiner~~~novA~~~WP_045322518.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_045322518.1~~~aminocoumarin~~~unknown MPHDEPKWTPSKDPLDPTRPAPAEQPRQLRRIVALFRPYRGRLAVVGLLVCASSLVGVASPFLLREILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTLVSLLLLPVFVWISRRVGQERRKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSQSLTAAFSAESEKLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGLALQTGAPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERAEPVRLERAKGEVALEDVHFTYDSETGPTLSGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVSGGRVAIDGVDVRDLDFDSLARSVGVVSQETYLFHASVADNLRFAKPDATDEEITEAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDHLSAGRTTITIAHRLSTVRDADQIVVLDGGRIAERGTHEELLKADGRYAALVRRDRDAALAPEPPEDAQLAPVNV ->ARGMiner~~~mdtH~~~WP_061111273.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_061111273.1~~~fluoroquinolone~~~unknown MALVSQARSLGKYFLLLDNLLVVLGFFVVFPLISIRFVDQLGWAAVLVGLALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFALMAMADEPWILWLACALSGLGGTLFDPPRTALVIKLTRPHERGRFYSLLMMQDSAGAVIGALIGSWLLQYDFHFVCWTGAVIFILAAGWNVWLLPAYRISTVRAPMKEGLMRVLSDRRFVTYVLTLTGYYMLSVQVMLMLPIVVNEIAGSPAAVKWMYAIEAALSLTLLYPIARWSEKRFRLEQRLMFGLLIMTLSLFPVGLITHLQTLFMFICFFYMGSIIAEPARETLSASLADSRARGSYMGFSRLGLALGGALGYTGGGWMYDTGRTLEMPELPWFLLGVIGLITLVGLYWQFNQRRIESAMLSGS ->ARGMiner~~~FosB~~~YP_001126889~~~fosfomycin unknown +>ARGMiner~~~FosB~~~YP_001126889~~~fosfomycin~~~unknown MRIGGINHLTFSVSDLEKSIHFYQNVFGAKLLVKGRNLAYFDLNGIWLALNVQQDIPRNDIQHSYTHIAFSVKEEDFDHVVEKLKELGVNILPGRERDERDKRSVYFTDPDGHKFEFHTGTLNDRLSYYKSEMHHMQFFD ->ARGMiner~~~sdiA~~~WP_024237956.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_024237956.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVGYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAASTGLI ->ARGMiner~~~macA~~~WP_059445587.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_059445587.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~MexB~~~WP_050858167.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_050858167.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MAKFFIDRPVFAWVIAIVLMMAGALSILSLPVAQYPNIAPPAISINVTYPGASAQTVQDTVVQVIEQQMNGIDNLQYISSESNSDGSMSITLTFSQGTNPDTAQVQVQNKLAVAQPLLPLEVQQQGIRVTKATKNFLIVAGFVSTDGTMDKSDLADYVASYIQDPISRTPGVGNFQLFGAPYAMRIWISPEKLVNFGLTTSDVTTAIREQNVQVSSGQLGGQPAVRGQQLNATVIGPSRLQTPEAFGRILLKVNRDGSQVRLKDVASIELGAQTYAIDSYYNGKPASGLAIKLASGANALDTAQAVRETINGLKPYFPPGMDVVYPYDTTPFVSLSIEGVVKTLFEAVLLVFLVMYLFLQNVRATLIPTLAVPVVLLGTFGVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPRQATRKSMGQITGALIGIAMVLAAVFVPMAFFGGSTGVIYRQFSITIVSSMVLSVVVAIVFTPALCATMLKPIPKGHHGSKKGFFGWFNRTFERSSQAYANNVARSMGRTKRLLLIYVVILLGMAWMFMRIPTAFLPDEDQGILFAQVQAPAGATSERTQATIDAAVKYLLEDEKESVASVFAVNGFSFGGRGQNAAILFIKLRDWNERKGAEHRAAAVAARANAKFRAELRDAQAAVFAPPAVMELGNATGFDFQLQDRAGVGHEKLLAARNQLLQEAGASPMLVAVRPNGIEDAPQYQLDIDREKARALGVSITEINNTLATAWGSSYVNDFIDRGRVKKVFVQGEASSRMLPQDLDKWYVRNNAGDMVPFSAFSSAQWTFGPQKLNRYNGVPSYNIQGQAAPGYSSGDAMAEMERLADKLPLGIGYDWTGLSFEERLSGAQAPALYAISLIVVFLCLAALYESWSIPTAVMLVVPLGVVGALLATMTRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKEHYEEGASLTEAAVHAARQRLRPILMTSLAFILGVVPLAISTGAGSGSQNAIGTGVIGGMLTGTFLAIFFVPLFFVLTLRLFKVKRASENREDDDPHGGAQPATHGGQPQ ->ARGMiner~~~mdtC~~~CP004022.1.gene1674.p01~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~CP004022.1.gene1674.p01~~~aminocoumarin~~~unknown MKLFALFIQRPVATTLLSLAISLCGALGFMLLPVAPLPQVDYPVINIYASLPGASPETMASSVATPLERSLGRIAGIDEMTSSSALGSTSITLVFDLNKDINTAARDVQAALNASQSLLPSGMPSRPRYYKSNPSDAPIMILTLTSETQNTGELYDLASTRLAQKISQIEGVSEVSVGGGSLPAVRVALNPDALFNQNVSLDDVRKAISQSNVRRPQGFIHNDENRWQIQTNDELSKAQDYRPIIVHYNQDAIVRLSDVAQVTDSVQNARAAGMSGGEPAILLVIRREAGANIIETVNRIRDELPELRELLPASVNLKVAQDRTPTIRASLAEVERALAIAVALVILVVFLFLRSGRATLIPAVAVPVSLIGTFSAMYLCGFSLNNLSLMALTVATGFVVDDAIVVLENISRHIENGLKPKQAALKGVSEVGFTVLSMSISLVAVFIPLLLMDGLVGRLFKEFAITLTTAIGISLFVSLTLTPMMCAHLLKGIKPKAQSHLRGFGKLIFRLQQGYSVTLQAALRHKRWIMAIFITTLGLNAYLYISAPKTFFPDQDTGRLMGFVRADQSISFQSMKEKMTRFMQEINADKDVDSVTGFTGGGRINSGFMFISLNPLSERTDSANQVINRLRIKLANEPGATLFLMPVQDVRAGGRQANASYQFTLLADDLSELRKWEPLIRKALGELPELVDVNSDKEDKGAEMALTYDRDTMSQLGINVSDANNLLNNAFGQRQISTIYAPLNQYKVVMEVSEQYTQDVSALDKMYVINTQGERIPLSAFASWYPANAPLSVNHQGLSAASTIAFNVPEGYTLSDAINAIVRTMTELGVPNTVRGTFAGTAQIFQETIKSQLILILAAIVTVYIVLGVLYESYIHPLTILSTLPSAGVGALLALRLFDTPFSLIALIGIMLLIGIVKKNAIIMVDFAITAQREGKLSAQEAIIQASLLRFRPIIMTTLAALFGALPLMLSSGDGAELRQPLGITIVGGLLMSQLLTLYTTPIIYLFFDGVRQRWQQRRHNKKEANA ->ARGMiner~~~macB~~~WP_000188190.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188190.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPVQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFMTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~AAC(3)-Ib~~~WP_032663836.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ib~~~WP_032663836.1~~~aminoglycoside~~~unknown MLWSSNDVTQQGSRPKIKLGGSMSIIATVKIGPDEISAMRAVLDLFGKEFEDIPTYSDRQPTNEYLANLLHSETFIALAAFDRGTAIGGLAAYVLPKFEQARSEIYIYDLAVASSHRRLGVATALISHLKRVAVELGAYVIYVQADYGDDPAVALYTKLGVREDVMHFDIDPRTAT ->ARGMiner~~~mecR1~~~WP_031914017.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_031914017.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLYGLFTFTQLYGLYPLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~sdiA~~~WP_001154276.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154276.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPESWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAAHAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~hmrM~~~WP_047577130.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_047577130.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIVHQVRQGFWLAGFVSVLIMLVLWNAGYIIRYMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPEPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP001138.1.gene4273.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP001138.1.gene4273.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNKILLVDDDRELTSLLKELLEMEGFNVLVAHDGEQALELLDDSIDLLLLDVMMPKKNGIDTLKALRQTHQTPVIMLTARGSELDRVLGLELGADDYLPKPFNDRELVARIRAILRRSHWSEQQQSSDNGSPTLEVDALSLNPGRQEASFDGQTLELTGTEFTLLYLLAQHLGQVVSREHLSQEVLGKRLTPFDRAIDMHISNLRRKLPERKDGHPWFKTLRGRGYLMVSAS ->ARGMiner~~~Serratia marcescens Omp1~~~WP_001433259.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_001433259.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEAQPLGNGKKAEQWATGLKYDANNIYLAANYGETRNATPINNKFTNTSGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~bacA~~~Q8Y1I9~~~peptide unknown +>ARGMiner~~~bacA~~~Q8Y1I9~~~peptide~~~unknown MDIALAIKALILGIVEGLTEFLPISSTGHLILAGQLLDFNDEKGKIFEIVIQFGAILAVCWEFRHKIIDVIKGLPNDPRQQRFALNVIVATIPAITLALIFGKAIKAHLFNPIVVASAFIIGGLVILWAEWRERHRGQTHDPRGNALLEAAKAGAPRVETLDDLRLSDAFKVGLAQCFALIPGTSRSGSTIIGGLLFGLSRKVATEFSFFLAIPVIFGATVYELYKERALLSTDDLSIFGIGFVAAFISAFFCVRWLLRFIASHDFRGFAWYRIVFGVIVLVTAYTHLIAWQA ->ARGMiner~~~FosA2~~~WP_063942945.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063942945.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWICLSYDEARRYVPPQESDYTHYAFTVAEADFEPFSQMLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~acrE~~~WP_000160344.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_000160344.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADSRQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~cmeB~~~EIB82086.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~EIB82086.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMIGIINLPSASALHRTISEVDHISQEVLKTNGVKDAIAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKKR ->ARGMiner~~~hmrM~~~WP_043016444.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_043016444.1~~~fluoroquinolone;acridinedye~~~unknown MQKYVSEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQIRQGFWLAGSVSILIMVVLWNAGYIIHSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPAMVMGFLGLLVNIPVNYVFIYGHLGMPELGGVGCGVATAAVYWVMFIAMLSYVKRARSMRDIRNERGFQKPDTAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGVCMAMVTAIFTVTFREHIALLYNNNPEVVALAAQLMLLAALYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRFLQRQPSAVILQRAAR ->ARGMiner~~~tolC~~~WP_000735325.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735325.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKDNDPQLLSVAAQRDAAFEAVTSSRSTLLPQINLTAGYNVNRSDQDPRESDLFSAGINFSQELYQRSSWVTLDTAEKKARQADSEYAATQQGLILRVSKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENNLTNSYETLREITGQEYSKLAVLDTKRFAASRTTESTDALIEQAQQKNLSLLSARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDSAKGTSGEEYNDFKIGVNLSVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDIMDVNAGLKVAKK ->ARGMiner~~~mdtH~~~EUM19764.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~EUM19764.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSEHRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPNPLSLSVFSAGFCWITLTYWRIRTDAPILC ->ARGMiner~~~mdtC~~~WP_001547461.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_001547461.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIIVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAISNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGVVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~novA~~~WP_030714054.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030714054.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPDARTDADRPPAEVRRILRLFRPYRGRLAVVGLLVGASSLVGVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGNERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQGFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWVAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEDVDFSYDEKNGPTLTGIDVTVPAGDSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGIDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPEATDEEIEAAARAAQIHDHIASLPDGYDTMVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRVAERGTHEELLDRDGRYAALIRRDSHPVPVPAP ->ARGMiner~~~mdtG~~~ZP_02662290~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~ZP_02662290~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDKIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~FosB~~~WP_024715931.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_024715931.1~~~fosfomycin~~~unknown MKIKGINHLLFSVSQLDTSIDFYQNVFDAKLLVKGRTTAYFDLNGIWLALNEEPDIPRNDIKASYTHIAFTIQDHEFEEMSEKLKRLHVNILPGRERDDRDCKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMDFYE ->ARGMiner~~~macA~~~WP_004100624.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004100624.1~~~macrolide~~~unknown MKLNGKRRKIWWLLAIVVLALAVWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALKKVDVGAQVSGQLKTLRVEIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERKLSAATLARQQQLAQRQLVSRQDLDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLSEVKNVITIPLSALGEAIGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVKGLEEGDEVIIGEGAAGAAK ->ARGMiner~~~OprN~~~WP_024947557.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_024947557.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASAVGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~EXO beta-lactamase~~~WP_030603095.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_030603095.1~~~penam~~~unknown MRKPTTSLTRRSVLGVGLGLGGALALGSTTASAASAGAVTSGTTRPGTTSSGNLAAVRRLRALEREHQARVGVFALNLATGATLLHRAHELFPICSVFKTLAAAAVLRDLDHDGTQLSRVIHYTGADVTKSGHAPVTKDHIDTGMTIRALCDATIRYSDNCAGNLLLRELGGPTAITRFCRSLGDPVTRLDRWEPELNSAEPDRRTDTTAPYAIARTYQRLVLGNALDRPDRALLTDWLLRNTTSVERFRKGLPKDWKVADKSGGGSTYGTSNDVAIAWTPDGAPIVLALFTHKPTQPTASGDTPLVIKAATVMSEAVTTA ->ARGMiner~~~bcrA~~~WP_016126482.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_016126482.1~~~peptide~~~unknown MPPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLLRNPKEILRRIGSIVEVPGFYENLTARENLSINAKIIGVHKRNAIEEALEIVGLQHEIKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQDRNITILISSHILAEVEQLVDRMGIIHEGRLLEEVSLDTLRKANRKYIEFQVNNDNKAAMLLEKHFQIFDYEVHDEGNIRVYSHFGQQGHINRTLVRNDVEVLKIVMSEDRLEDYFTKLVGGGAIG ->ARGMiner~~~MexF~~~WP_058473413.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_058473413.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALFLVFIGLIAIYVLPVSQYPAIVPPTIQVTTNYPGADAKTLISTVALPIEQQVNGVEDMLYMQSTSTNSGNYNLIVTFAIGTDLNYAQVLVQNRVQAAMAQLPEAVQKQGVLVQQKSTAILQFITLTSQNNEYDGVFLDSYAEINMQDELSRLPGVGNVLVFGSGSYAMRIWLDPGKMQAYSLNPSDVINAISYQNKEVSAGQLGAPPTVGDQSYQFTVNVPGQLADPNQFANIIIKTISTAPDVNASASSTAQIVRIRDVGRVELGSSSYSQLAKFNGKPTAAIGIYQLPGANALEVASEVRKTVEKMAKKFPPGLQYDIPFDTTMFVKASITEVYKTLIEAGILVLLVIVVFLQNFRATLVPATTVPVTIIGTFFALLLLGYTINLLTLFGLVLAIGIVVDDAIVIVEGVTQHIENGVPPKEASIKAMKELFGPILGITMVLMAVFVPAGFMPGLTGAMYAQFALVIAATAFISAINAMTLKPTQCALWLKPVDTSKPKNIVFRTFDRIYNPLEAAYLKFINRLVHHSGPVCLIGALLVALAIFGLTRIPTGFIPIEDQGYLVLSVQLPDGASLGRTDAVLNELTKKISHIDGIENVIAIDGISLLDNNSSLANSGVMYLIFKDWSLRGKSENLLALYTKLNAIAKETLDAKVMVVIPPSIQGLGLSGGFQMQIELQDGTFDYNKLQQVTDSFINYGVQEPQMQNLMTSFRSNVPQVSAPINRTKAEALGVHVGDAFDTLQTYLGSSYVNLFTKFGQVFPVYVQADAASRGTAENLRNYYVKNEAGSMVSLGTLTDVSPAVGPAIISLYNLYPSSNINGIAAKGFSSGQGIQTMEQIAKEQLPPGMSYEWTSTAYQEKIAGNMSYFIFALSLVLVYLILSGQYENWLIPTSIILSVPLTLIGTVLALSSLGMDNNMYTQIGLLLLIALATKNAILIVEVAHEQRQIHHKSIFESAVLGAKTRFRPILMTSFAFIMGVMPLVFATGAGANARKSIGIAVSSGMIASTCLAVVFVPVFYVLLESWQEKRKETKLKKTGHAVNNKSDPSDSIDQ ->ARGMiner~~~macA~~~WP_004091882.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004091882.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNGLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~FosA2~~~WP_023295855.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_023295855.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQKSDYTHYAFTVAEADFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~macA~~~WP_032727988.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032727988.1~~~macrolide~~~unknown MKLNGKRRKIWWLLAIVVLALAVWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALKKVDVGAQVSGQLKTLRVEIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERKLSAANLARQQQLAQRQLVSRQDLDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLSEVKNVITIPLSALGEAIGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVKGLEEGDEVIIGEGAAGAAK ->ARGMiner~~~mepA~~~WP_000651064.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_000651064.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVVYGILNIYFIGFIGDSHMISAISLTLPIFAILMGLGNLFGIGGGTYISRLLGAKDYSKSKFVSSFSIYGGIVLGIIVILATIPFSDQIAMILGAKGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPIISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAAIFFIVYFMKNSDVVSVNFKFAKPNKEMLSEIFKIGVPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKVVIMSIGVIFAVCMIAVFTIGHHMVGLFTTDQQIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNGLFGLTGVIWSLLIAESLCAFAAMLIVYLLRNRLTVDTSELIEG ->ARGMiner~~~MexF~~~WP_045491627.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_045491627.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSTFFISRPIFAAVLSLLILIAGSISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQATADGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDDRYDMLYLSNYAVLNIKDELARLNGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGAPPSPNATSFQMSINTQGRLVSEEEFENIVIRSGANGEITRLKDVARIELGSSQYALRSLLNNKPAVALPIMQRPGSNAIDISNQVRARMAELKKEFPQGMDYEIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMFGFSFNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVAATHKAMGEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHNAPKDRFSRLLDRLLGGWLFKPFNRVFEKASHGYVGTVARVIRRSGIAMVVYAGLMALTWLGFSTTPTGFVPSQDKQYLVAFAQLPDAASLDRTEEVIKRMSDMALKQPGVANAVAFPGLSINGFTNSPNSGIVFVTLKPFDERKDPSQSANAIAGALNGQFASIQEAYMAIFPPPPVMGLGTIGGFRLQIQDRGNLGYDELYKETQNVIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDAEQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAAPGYSSGQAEAAMNKLLRAELPNGMTYEWTDLTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVIIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGLSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNFVERREARKAARIAQQPTHSVEAH ->ARGMiner~~~MexF~~~WP_046068030.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_046068030.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAQPAPSDTSFQLSVNTQGRLVTEEEFENIVIRAGANGEITRLKDIARVELGSSQYALRSLIDNQPAVAIPIFQRPGSNAIDISNDVRSKMAELKKSFPAGMDYRIAYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPFPATEKAMSEVTGPIIATALVLCAVFIPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLRAHDAPKDRFSRFLDKLFGGWLFRPFNRFFEKASHGYVGTVRRVIRGSGIALFVYAGLMVLTFFGFAHTPTGFVPAQDKQYLVAFAQLPDAASLDRTENVMKRMSEIALKQPGVEAAIAFPGLSINGFTNSPNSGIVFVTLKPFDERKDASMSAGAIAGALNGQYANIEEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKEVQNVIAKSHGVPELFGLFTSYTVNVPQVDAAIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDSDQIGQLKVRNNKGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGNAAPGYSSGQAQAAIEKLLKDELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIISGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQQEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRYVERQEARKAAKALKLETQQ ->ARGMiner~~~arnA~~~WP_001357227.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001357227.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSTGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDKILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNTVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDSITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mefA~~~WP_050223954.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_050223954.1~~~macrolide~~~unknown MEKYNNWNRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~tolC~~~WP_032937374.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032937374.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTHGGTTGNQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTVAPQNPQQDAAVNDFNGTGNLPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~emrB~~~WP_053885442.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_053885442.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHYAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtF~~~WP_032269897.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032269897.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~emrA~~~WP_023581116.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_023581116.1~~~fluoroquinolone~~~unknown MSVNEENTPPQAPIRNKKRTRRNVLLLLTILFIVAGLAYTAYWFMVLRHHETTDNAYVTGNQIMVMPQISGSVTTVYVDNTDFVKAGDPLVLLDSSDEKLALEKAKTALANSVRQMHQQIINSRQLKANIVLRETELTKLQNDLRRREVLGERNVIGKEELQHAREAVSTARAALEVAREQYNANQAIILNTPIAKQPSVLQASTDVRNAWLALERTKILSPTEGYVSRRSVQVGAQVSPGKPLMAVVPITGMWIDANFKETQLANMRIGQPAKITTDFYGKKVIYHGTVLGLDMGTGSAFSLLPAQNASGNWIKVVQRLPVRISLDEKELAEKPLRIGLSSEVTVDTINLDGKVLSHSERQTPAYHTDVLNIDMSEINKLINEIIEQNAGQ ->ARGMiner~~~mecR1~~~WP_050962563.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_050962563.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILISQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~Sed1 beta-lactamase~~~WP_042287632.1~~~cephalosporin;penam unknown +>ARGMiner~~~Sed1 beta-lactamase~~~WP_042287632.1~~~cephalosporin;penam~~~unknown MLKERFRQTVFIAAAVMPFIFSSTSLHAQATSDVQQVQKKLAALEKQSGGRLGVALINTADNSQVLYRADERFAMCSTSKVMTAAAVLKQSETHDGILQQKMTIKKADLTNWNPVTEKYVGNTMTLAELSAATLQYSDNTAMNKLLAHLGGPGNVTAFARSIGDTTFRLDRKEPELNTAIPDDERDTTSPLAMAKSLRKLTLGDALAGPQRAQLVDWLKGNTTGGQSIRAGLPARWVVGDKTGAGDYGTTNDIAVIWPEDRAPLVLVTYFTQPRQDAKWRKDVLAAAAKIVTEGK ->ARGMiner~~~MexF~~~WP_003210869.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_003210869.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHITSPDQRYNMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAQPAPNDTSFQLSVNTQGRLVTEEEFENIVIRAGANGEITRLKDIARVELGSSQYALRSLIDNQPAVAIPIFQRPGSNAIDISNEVRAKMAELKQSFPEGMDYRIAYDPTVFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPFPATEKAMSEVTGPIIATALVLCAVFIPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHNAPKDGFSRFLDRMLGGWLFKPFNRFFDKASHGYVGTVRRVIRGSGIALFLYAGLMVLTWMGFAHTPTGFVPAQDKQYLVAFAQLPDAASLDRTEDVIKRMSDIAMKQPGVESAIAFPGLSINGFTNSPNNGIVFVTLKPFDERKDPSLSAGAIAGALNGKYSSIQEAYMAIFPPPPVQGLGTIGGFRLQVEDRGNLGYDELYKEVQNIITKSRGVPELFGLFTSYTVNVPQVDAAIDREKAKTHGVAISDIFDTLQIYLGSLYANDFNRFGRTYQVNVQAEQQFRQDEDQIGQLKVRNNKGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGNAAPGYSSGQAQAAIEKLLKDELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIISGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQQEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVMSSGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVERGEARKAAKALKLETQQ ->ARGMiner~~~cfrC~~~CP001581.1.gene3143.p01~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol unknown +>ARGMiner~~~cfrC~~~CP001581.1.gene3143.p01~~~macrolide;lincosamide;streptogramin;oxazolidinone;phenicol~~~unknown MKQTKTKYGKMKQIVSNLKLPDYRYEQLIKAIFHQRIDNFDDMHILPKALRMSLVNEFRKNVSSVIPVFSQDSKQAQKLLFELTDGERIEAVGLKYKQGWESFCISCQCGCGFGCRFCATGSVGFKRNLTADEITDQLLYFYFNDHRLNSISFMGMGEAFANPELFDAVKILTDQNLFGLSQRRITISTIGIIPGIQRLTQKFPQVNLAFSLHSPFESQRSDLMPINKRFPLNEVMKTLDEHIIHTGRRVFIAYIMLEGINDSKEHAEAVVGLLKNRGSWEHLYHIDLIPYNSTDKTTFKFQSSSAIKQFCSTLKKAGISATVRTQFGSEISAACGQLCYENEL ->ARGMiner~~~CepS beta-lactamase~~~WP_065478798.1~~~cephalosporin unknown +>ARGMiner~~~CepS beta-lactamase~~~WP_065478798.1~~~cephalosporin~~~unknown MKQTRALPLLALGTLLLAPLALAAPVDPLKAVVDDAIRPVLKQHRIPGMAVAVLKGGQAHYFNYGLADVATGTKVNEQTLFEIGSVSKTYTATLGAYAVVKGGFKLDDKVSRHAPWLKGSAFDGITMAEVATYSAGGLPLQFPDEVDSSDTMRAYYRHWTPAYQPGSHRQYSNPSIGLFGHLAANSLQQPFAHLMEQTLLPALGLEHTYLQVPEVAMARYAFGYSKEDKPIRVNPGMLADEAYGIKTGSADLLAFVKANISGVDDKALQQAISLTHTGFYRVGEMTQGLGWESYAYPVSEQTLLAGNSPAVSLKANPVTKFETPAAPGAMRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPIEARVSAAHAILSQLVP ->ARGMiner~~~macA~~~WP_000746469.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746469.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLGQTQAVSLQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtG~~~WP_032735190.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032735190.1~~~fosfomycin~~~unknown MSSADTPINWKQNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMSVVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIAKKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGRLGDRIGPEKILIVALIISVILLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNTIYSTLSLRRPAAEASQPDRHSVN ->ARGMiner~~~mdtH~~~WP_023289127.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023289127.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAAGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~mdtA~~~CP001918.1.gene3439.p01~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~CP001918.1.gene3439.p01~~~aminocoumarin~~~unknown MKGSHKSRWAIAAGIIVVVLAAAWYWHTQSAEPSTPAGASSQSKRPAGGGRHGMRGGALAPVQAATAVNKAVPRYLTGLGTITAANTVTVRSRVDGQLMAIHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLVKTNLVSRQELDTQQSLVSETQGTIKADEAAVASAQLQLDWSRITAPIDGRVGLKQVDIGNQISSGDTTGIVVITQTHPIDLVFTLPESEIATVVQAQKAGKGLVVEAWDRTNKQKLSEGSLLSLDNQIDTTTGTIKLKARFNNQDDALFPNQFVNARMLVATEENAVVIPTAALQMGNEGNFVWVLNSDNKVSKHLVKTGIQDSQTVVISAGLSAGDRVVTDGIDRLTEGAKVEVVEPAKQGANS ->ARGMiner~~~abeM~~~EGJ61012.1~~~fluoroquinolone;acridinedye;triclosan unknown +>ARGMiner~~~abeM~~~EGJ61012.1~~~fluoroquinolone;acridinedye;triclosan~~~unknown MLPILITQFAQAGFGLIDTIMAGHLSAADLAAIAVGVGLWIPVMLLFSGIMIATTPLVAEAKGARNTEQIPVIVRQSLWVAVILGVLAMLILQLMPFFLHVFGVPESLQPKASLFLHAIGLGMPAVTMYAALRGYSEALGHPRPVTVISLLALLVLIPLNMIFMYGLGPIPALGSSGCGFATSILQWLMLITLAGYIYKASAYRNTSIFSRFDKINLTWVKRILQLGLPIGLAVFFEVSIFSTGALVLSPLGEVFIAAHQVAISVTSVLFMIPLSLAIALTIRVGTYYGEKNWASMYQVQKIGLSTAVFFALLTMSFIALGREQIVSVYTQDINVVPVAMYLLWFAMAYQLMDALQVSAAGCLRGMQDTQAPMWITLMAYWVIAFPIGLYLARYTDWGVAGVWLGLIIGLSIACVLLLSRLYLNTKRLSQT ->ARGMiner~~~tetO~~~gi:226044:prf::1408188A~~~tetracycline unknown +>ARGMiner~~~tetO~~~gi:226044:prf::1408188A~~~tetracycline~~~unknown MKIINLFILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTINLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLLLGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTNFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~emrA~~~WP_001554239.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001554239.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGRKELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~emrB~~~WP_049858177.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_049858177.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFVIASWACGVSNSLTMLIFFRVIQGVVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGLVVVLMTLQTLRGRETRTEQRRIDGIGLALLVVGIGSLQIMLDRGKELDWFNSTEVVVLTVVAVVAISFLVVWELTDENPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVLLSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREAMHHAQLSESVNPFNPNAQQTYDQLQGMGMSQQQASGWIAQQITNQGLIISANEIFWFSAGIFLILLGLVWFARPPFGAGGGGGGAH ->ARGMiner~~~tetO~~~ZP_04668102~~~tetracycline unknown +>ARGMiner~~~tetO~~~ZP_04668102~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNGILLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGKVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~mdtM~~~WP_032289982.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032289982.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MAGLKATHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIVPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVSFSAKSVLRDFRNVFCNRQFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~BLA1~~~WP_033688499.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_033688499.1~~~penam~~~unknown MIVLKNKKMLKIGMCVGILGLSITSIEAFTGGPLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIARNLKAFTAGNALPNHKRNILTEWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~macB~~~WP_004147781.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_004147781.1~~~macrolide~~~unknown MTALLELRNIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~PDC-7~~~WP_047288005.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_047288005.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHTTLTGLGVISACALLLGASSAFAETTADSALKTTVDATIRPLMHQQGIPGMAVAIIANGKRHYFNYGVASKDNQQPVDNDTLFEVGSVSKTYTATLAGYAQASGKLALEDHASQYLPALRGSALDGISLLQLGTYTAGGLPLQFPDDVQGEDKTLDYYNTWKPTFSPGTQRQYSNPSLGLFGYLAARSLGQPFDRLMEQTLFPKFSLKHSYIHLPKDQLGHYAQGYDKQNRPIRLSPGPLDAEAYGVKTSAPDLLQFIAGNLQPGQFERPLQQAMVATQSGYYQVGDMTQGLGWERYAYPVPLARLLAGNSSAMALEPHPVQWLTPAAAPKADALYNKTGSTSGFGAYVMFIPSQQIGIVLLANKNYPNEERIKAAHAILTALETGK ->ARGMiner~~~macB~~~WP_000188118.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188118.1~~~macrolide~~~unknown MTPLLELKDIRRSYPACDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_010845702.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_010845702.1~~~fluoroquinolone~~~unknown MSLVSQARNLGKYFLLFDNLLVVLGFFIVFPLISIRFVEQLGWAAVIVGFALGVRQFVQQGLGIFGGAIADKFGAKPMIVTGMLLRALGFVLMAMAAEPWILILSCVLSALGGTLFDPPRAALVIKLTRPHERGRFYSLLLMQDSAGAVVGALIGSWLLQYDFNTVCWIGAIVFVLAALFNAWFLPAYRISTIRTPIKEGISRVIKDRRFFYYVLTLTGYFVLSVQVMLMFPIIIHEISGTHTAVKWMYAIEAAISLTLLYPIARWSEKYFRQEQRLMAGLFLMSLCMFPIGWANQLHFLFGLICLFYLGMVTAEPARETLSASLADPRARGSYMGFSRLGLALGGALGYTGGGWLYDTGRTLNMPQLPWILLGLTGLITIYALHRQFNQKKIKPIMMSRL ->ARGMiner~~~macB~~~WP_023302553.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023302553.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGSDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIAPALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~tet(C)~~~AMP47315.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~AMP47315.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRGWMAFPIMILLAAGGIGMPALQAMLSRQVDDDHLGQLQGSLAALTRLTSIIGPLIVTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~emrB~~~WP_004104788.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_004104788.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVVMTLQTLRNRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPYNPNAQQMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWFSAAVFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~smeE~~~WP_049399247.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_049399247.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGGLALFKLPVSMYPNVAPPAVEISATYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIAFVSENGSMDANDIADYVGSNVVDRLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYGLSVAEVTAAVKAQNAQVAIGQLGGAPSIKGQQLNATINAQSRLQTPEQFRNIIVRGAQDGAELRLGDVARVELGAESYDFVTRYNGQPASGLAVTLATGANALDTAAGVDAALQDMKSFFPAGLKAEIPYDTTPFVRVSIKGVVQTLIEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAMLGFSVNMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHKGWSGRFFNGFNRGFDRTSESYQRGVRGIIHRPWRFMGIVAALFVLMGVLFVRLPSSFLPNEDQGVLMALVQAPVGATQERTLESIAALENHFLQNEKDAVDSVFSVQGFSFAGMGQNAGMAFVKLKDWSERDADNGVMPITGRAMAALGQIKDAFIFAFPPPAIPELGTASGYTFFLKDNSGQGHEALVAARNQLLGLAAGSKKLANVRPNGQEDTPQFRIDIDAAKATSLGLSIDQINGTLAAAWGSSYIDDFVDRGRVKRVFVQADQPFRMVPEDFDLWSVKNDKGEMVPFSAFATKHWDYGSPRLERYNGVSAMEIQGEPAPGVASGDAMAEIEQLAKQLPAGFGIEWTAMSYQERQAGSQTPLLYTLSLMIVFLCLAAMYESWSVPTAVLLAAPLGILGAVLANTFKGLERDIYFQVAMLTTVGLTSKNAILIVEFAKENLEKGASLIESIMHAVRDRLRPIVMTSLAFGMGVVPLAISTGAGSGAKQAIGTGVLGGMIVGTVLGVFFVPLFFVVVQRVFKRKSTT ->ARGMiner~~~mfpA~~~WP_031730601.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_031730601.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRAATVDPVLWRTASLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~macA~~~WP_057063452.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_057063452.1~~~macrolide~~~unknown MKLKGKIKKRYFLFAIILIVAVIALWRTLNAPLPQYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAEAEWKLARVTLSRQQQLAKTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLQPGQKAWFTVLGDPQTRYEGTLKDVLPTPEKMNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTDVKNVLTIPLSALGDPIGNNRYNVRLLRNGETREREVVIGARNDTDVEIVKGLEEGDEVITGEGNAGAAK ->ARGMiner~~~mdtN~~~WP_040071761.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_040071761.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPFEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVSQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~macB~~~WP_023330119.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023330119.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGGMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPLPRQSRAAAPKEPLPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSGLAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mecI~~~WP_002485790.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_002485790.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKNFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKK ->ARGMiner~~~macB~~~WP_000188159.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188159.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYVGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAILKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_053883518.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_053883518.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIVGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~sul2~~~WP_045178654.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_045178654.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPXAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~mdtH~~~WP_017440962.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_017440962.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHDPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~mdtH~~~WP_014069760.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_014069760.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMGIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPNQRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCAAFNAWLLPAWKLSTVKAPVREGLSRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSTLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGFITLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~Escherichia coli ampC~~~WP_042104915.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_042104915.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPTWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPHAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSHYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYSNPARVTAAWQILNALQ ->ARGMiner~~~emrB~~~WP_060555304.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_060555304.1~~~fluoroquinolone~~~unknown MIKRGVKVIKEPLQGGKLAIMTIALALATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFALTSWLCGISQSLEMLIFFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSVTIVVAPILGPILGGYISDNYHWGWIFFINVPFGVLIIMCISNTLAGRETKTEIKPIDTIGLVLLVVGVGALQIMLDQGKELDWFNSTEIIVLTIIAVVALSFLIVWELTDEHPVIDLSLFKSRNFTIGCLTLSLAYMIYFGTIVLLPLLLQEVFGYTATWAGLAAASVGLLPLIITPIIGKFGGKVDLRYIISFSFIMFAACFYWRAYTFEPGMDFATVAWPQFWQGLGVACFFMPLTTMTLSGLPPEKMASASSLSNFLRTLAGAIGASLTTTIWTQRESLHHETFVEKINPLDPDSQLAFQQMRELGLSDEQTSAYLAKTITEQGLILSANEIFWLAAGIFILMLVVVWFAKPPFSPGK ->ARGMiner~~~emrB~~~WP_036407483.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_036407483.1~~~fluoroquinolone~~~unknown MQAPLTGARLAWMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFAISSWLCGISNNLGMLIFFRVIQGLVAGPLIPLSQSLLLNNYPPAKRTMALALWSVTIVIAPICGPILGGYISDNYHWGWIFFINVPFGIVIMMLTAKTLEGRETKTEIRPIDTVGLILLVVGVGCLQIMLDQGKELDWFNSTEIIVLTVIAVVAIAFLIVWELTDDHPVVDLSLFKSRNFTIGCLSLSLAYMLYFGTIVLLPQLLQGVFGYTATWAGLASAPVGILPLLITPLIGKYAHKVDYRIIVTFSFIMYTVCFYWRAYTFEPGMDFAAAAWPQFVQGLAIACFFMPLTTITLSGLPPEKMASASSLSNFTRTLAGAIGTSITTTMWTQRESMHHANFAEHINPFSPDTQQMYHTLGEMGMSQQQSSAYIAKVITDQGLIISANEIFWLSAGVFLVLMLLVWFAKPPFTAGGGGGGAH ->ARGMiner~~~arnA~~~WP_042099375.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_042099375.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_045890489.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045890489.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRASRAAAPKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKASVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARSSDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-1~~~ANG30528.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG30528.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQVIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtH~~~WP_000092182.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092182.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVTGALLGSWLLQYDFRLVCATGAILFVLCAIFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macA~~~WP_063849202.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063849202.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLSRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDMAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAQ ->ARGMiner~~~Serratia marcescens Omp1~~~EHU14730.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~EHU14730.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MKRNILAVVVSALLVAGTANAAEIYNKDGNKLDLYGKAVGLHYFSKGNGENSYGGNGDKTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGDDAQNGNKTRLAFAGLKFADAGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSVSYEFEGFGIVGAYGAADRTNLQEDSTLGKGKKAEQWATGLKYDANNIYLAANYGETRNATPITGGFANKTQDILLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYIEVGATYYFNKNMSTYVDYAINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~msbA~~~WP_061740701.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_061740701.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFIGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELMSKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_001599626.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001599626.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLMRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrB~~~WP_053909077.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_053909077.1~~~fluoroquinolone~~~unknown MIKTPLQGAQLAWMTIALSLATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFLWSTGLFALTSWLCGISDSLGMLILFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSMTIVIAPICGPILGGYISDNYHWGWIFFINVPFSLIIIAIITKVLKGRETKIAIKPIDTIGLALLVLGIGALQIMLDQGKELDWFNSTEIIVLAVVALVAIAFLIVWELTDEHPVIDLSLFKQRNFTIGCLSLSLAYMLYFGTIVLLPQLLQQVFGYTATWAGLASAPVGLLPLLITPIIGRFGNRIDMRYLVTFSFIIYAVCYYWRAYTFEPGMSFAAVAWPQFVQGLAIACFFMPLTTITLSGLPPEKMASASSLSNFMRTLAGAIGTSITTTLWTQRESMHHENFAAFVDPYNPNAQEIYTQLSEMGMDEQQSLGYLAKNITDQGLIISANEIFWISAGIFILLMILVWFAKPPFSPGSKEDGGGSH ->ARGMiner~~~APH(6)-Id~~~WP_042068827.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_042068827.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLIKQVRQTSY ->ARGMiner~~~MexE~~~WP_043271822.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_043271822.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MERSPNSLRIPLALAAVLVLSACGKTQEAAQNMPAPKVSVAEVIEQPVNEWDEFTGRLEAPESVELRPRVSGYIDKVTFREGALVKKGDLLFQIDPRPFQAEVHRLEAQLAQARANQTRTANEAARGDRLRATNAISAELADARSAAATEARAQVAATQAELDNARLNLSFTQITAPIDGRVSRAEVTAGNLVNSGQSLLTTLVSTDKVYAYFDADERVYLKYVAMARAGGPDARGSTPVYLGLTGEDGFPHLGRLDFLDNQVNPKTGTIRGRAVFDNKDGLYTPGLYARIKLVGSGTYDAALIKDEAVGTDLGKKFVLVVGADKKVAYRAIELGPKLEGLRIVRSGLAKGDRIVVNGLQRVRPGAEVDAQGVPMASPDTLAALARSRQAVEDSEPPKVAEQSPGKPSRI ->ARGMiner~~~adeG~~~WP_014900993.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_014900993.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIATAAIATLAVVGLGTFGAMRVNANAPEKAAAPLPEVDVATVVPQTVTDWQGYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQADADRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREANANLKAADAALETARINLGYTRITAPVSGRVSRAEITVGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARDGRKVPVELGLANETGYSRSGVIDSVDNRLDTSSGTIRVRARFDNADGTLVPGLYARVKVGGSAPHQALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLAAGDRVIVNGTQRVRPGEQVKPHVVPMTGGDAPSAPLADNAKPAAPAKAES ->ARGMiner~~~tet(K)~~~WP_001794654.1~~~tetracycline unknown +>ARGMiner~~~tet(K)~~~WP_001794654.1~~~tetracycline~~~unknown MSCLGSLIAFIGHNHFFILIFGRLVQGVGSAAFPSLIMVVVARNITRKKQGKAFGFIGSIVALGEGLGPSIGGIIAHYIHWSYLLILPMITIVTIPFLIKVMVPGKSTKNTLDIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFMLGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVATIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVASGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGVYSNILVAMAILIILCCLLTIIVFKRSEKQFE ->ARGMiner~~~macA~~~WP_023249476.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023249476.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGIAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPEQAENQIKEVEATLMELNAERQQAAAELKLARVTLTRQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGDNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGEDRPGATP ->ARGMiner~~~mexW~~~YP_350221~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexW~~~YP_350221~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol~~~unknown MAFTDPFIRRPVLATVVSLLIVLLGFQAWSKLPLRQYPQMENALITVTTAYPGANAETIQGYITQPMQQSLASAEGIDYMTSVSRQNFSVISIYARIGSNSDRLFTELLAKANEVKNKLPQDAEDPVLSKEAADASALMYISFFSKELNNPQITDYLSRVIQPKLATLPGMAEAEILGNQVFAMRLWIDPVKLAGFGLSASDVTNAVRQYNFLSAAGEVKGEYVVTSINANTELKSAEAFGKIPLKVSGDSRVLLSDVARVEMGAENYNSISSFGGTPSVYIGIKATPGANPLDVIKEVRKIMPELEAQLPPNLKSEIAYDATLFIQASIDEVVKTLFEAVLIVIVVVFLFLGALRSVVIPVVTIPLSMIGVMFFMQMMGYSINLLTLLAMVLAIGLVVDDAIVVVENIHRHIEEGKTPLEAALEGAREIAMPVVSMTITLAAVYAPIGFLTGLTGALFKEFALTLAGAVVISGVVALTLSPMMCAMLLRHDENPTGLAHRLDRIFDSLKRRYQRMLHGTLNTRPVVLVFAVIVLCLIPVLLKFTKSELAPDEDQGIIFMMANAPQPTNLDYLNTYTDEFIKIFKEFPEYYSSFQINGYNGVQSGIGGFLLKPWNERSRTQMEILPEVQGKLEGIPGLQIFGFNLPSLPGTGEGLPFEFVINTANDYELLLQVADRIKKRAMESGKFAFVDLDLAFDKPEVVVDIDRAKAAQMGVSMQDLGGTLATLLGEAEINRFTIEGRSYKVIAQVERAYRDNPDWLNNYYVKNTQGELLPLSTLITVTDRARPRQLNQFQQLNAAKLSGFPLVSMGEAIDSVLQIAREEAPAGFAFDYGGASRQFVQEGSALWVTFALALAIIFLVLAAQFESFRDPLVILVTVPLSICGALIPLFLGWSSMNIYTQVGLVTLIGLISKHGILIVEFANQLRKDKGLTPREAVEEAAAIRLRPVLMTTAAMVFGMVPLIVATGAGAVSRFDIGTVIATGMSIGTLFTLFVLPCVYTVLAKPDPRE ->ARGMiner~~~macA~~~WP_062937537.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_062937537.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINSRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~mefA~~~WP_005816151.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_005816151.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPIWMVMVVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGDQVQSLKPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMEYFNGTPMHISITEIAYASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSGPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADRIDVNHWFLLSGILIICIAIVCPMITEIRKLDAK ->ARGMiner~~~FosB~~~WP_016128161.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_016128161.1~~~fosfomycin~~~unknown MIQSINHICFSVANLEKAIDFYQTILQAKLLVKGRKLAYFDLNGLWIALNVEESIPRNEIQCSYTHIAFTVTNNEFDSLKEILIQNHVNILPGRERDDRDKRSIYFTDPDGHKFEFHTGTLQDRLQYYKEDKNHMTFY ->ARGMiner~~~SHV-1~~~gi:339961300:pdb:3OPL:A~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-1~~~gi:339961300:pdb:3OPL:A~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDHWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~arnA~~~WP_032250070.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032250070.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~bcr-1~~~NC_002695.1.915390.p01~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~NC_002695.1.915390.p01~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIITSVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAIMGIAVLMLSLFILKETRPAAPAASDKSRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLTVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGISAWNMLIGILIACSIVSLLLIMFVAPGRPVTAHEEIHHHA ->ARGMiner~~~ACT-29~~~WP_065421072.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_065421072.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKFLCCALLLSTSCSVLAAPMSEKQLADVVERNVTPLMKAQGIPGMAVAVIYQGQPHYFTFGKADIAANKSVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPDLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANSSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEETHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMVNMAPDALQDTSLKQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVSPPVPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~macB~~~WP_038346870.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_038346870.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQVLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB~~~WP_032725818.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_032725818.1~~~fosfomycin~~~unknown MEIKGINHLLFSVSHLDTSIDFYQKVFDAKLLVKGRTTAYFDMNGIWLALNEEPDIPRNDIKLSYTHIAFTIEDHEFEEVSAKLKRLHVNILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMHFYDETAF ->ARGMiner~~~Klebsiella pneumoniae acrA~~~CDI16885.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Klebsiella pneumoniae acrA~~~CDI16885.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MGLWLFEPLNILKLDTRGLHMNKNRGLTPLAVVLMLSGSLALTGCDDKPAQQGAQHMPEVGIVTLKSAPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFVEGSDIQAGVSLYQIDPATYQASYDSAKGDLAKAQAAANMDQLTVKRYQKLLGTKYISQQDYDTAVATAQQSNAAVVAAKAAVETARINLAYTKVTSPISGRIGKSAVTEGALVQNGQTTALATVQQLDPIYVDVTQSSNDFLRLKQELADGRLKQENGKAKVELVTNDGLKYPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGINPDALLVPQQGVTRTPRGDASVMVVGEGDKVEVRQVTASQAIGDKWLVTDGLKSGDRVIVTGLQKIKPGVQVKAQEVASDDKQQAAGNAPSEQTKS ->ARGMiner~~~sdiA~~~CP001918.1.gene1399.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~CP001918.1.gene1399.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCFLRFQALTSASEVYQELQRQTQALEFDYYALCLRHPVPFTRPRISVHATYPQRWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFADAEALWNGARDHGLRKGITQCLMLPNHALGFLSVSCTSVQAGAVTSEELELRLQMLLQMALTSLLRFEDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mecR1~~~CCP89721.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~CCP89721.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSNMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~smeE~~~WP_054658106.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_054658106.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGALAMIKLPISMYPEVAPPAVSISANYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQASITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVLGFVSEDGSMDENDISDYVGSNVVDPLSRVPGVGSIQVFGGKYAMRIWLDPNKLQTYKVSVDEVTGAVRAQNAQVAVGQLGGAPAVKGQQLNATINAQDRLQTPEQFRNIVLRTEADGSTLKLGDVARIELGAETYDFVTRYNGKPASGLAITLATGANALETAEGVRKALSELEASFPRGMTAVIPYDTTPFVRVSIKGVVKTLIEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGILAVLGFSINMLTMFAMVLAIGLLVDDAIVVVENVERIMAEEGLSPLEATRKSMSQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAPTGLSGRFFNWFNQGFDRTSGTYQRGVRGILARPGRFMAVFVALTVVMGLLFARLPSSFLPNEDQGILMALVQAPVGATQERTLESIYKLEDHFLKNEKDAVESVFSVQGFSFSGMGQNSGMAFVKLKDWGERTPDQGVGPITGRAMGALGQIKDAFIFAFPPPAMPELGIASGYSFFLKDNAGQGHEALVNARNQLLGMAGQSDKLANVRPNGLDDTPQLRLDIDVAKAGAHGLSLDAINSTLATAWGSSYVDDFIDRGRVKRVYMQADDSFRMNPEDFNLWTVKNSAGEMVPFSAFASQRWDYGSPRLERYNGVSALEIQGEAAPGVASGDAMLEVEKLSKQLPPGFSIEWTAVSYQEREAGSQTPLLYTLSLLIVFLCLAALYESWSVPTAVLMVAPLGILGAVLANTLRGMERDVYFQVAMLTTVGLTSKNAILIVEFAKEHLEKGAGVIEATMHAVRDRLRPIIMTSLAFGLGVLPLAIASGAGSGAQRAIGTGVLGGMIVGTLLGLFFIPLFFVVVQRLVNRKQLAADRDLQR ->ARGMiner~~~emrB~~~WP_001337983.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001337983.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRIGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~novA~~~WP_033300957.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_033300957.1~~~aminocoumarin~~~unknown MKPDEPAWTPPAADAGQPPAELRRIFRLFRPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLALLALGMILTAVTNSVFGVVQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTKAFSEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLTLQSGATAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFARIFEYLDLEVDITEPEHPVRPGRIRGEIAFEDVTFSYDAKSGPTLSGIDVTVPAGSSLAVVGPTGSGKSTLSYLVPRLYDVTAGRVTIDGIDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEAAARTAQIHDHIAGLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSKGRTTLTIAHRLSTVRDADQIVVLDEGRAAERGTHEELLAQDGRYAALIRRDSHPAPAAT ->ARGMiner~~~FosB~~~WP_064777813.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_064777813.1~~~fosfomycin~~~unknown MNIKGINHLLFSDSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEEDIPRNEIHKSYTHTAFSIDPSDFDAIHQMLENLNVNILNERPRDQQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMTFYI ->ARGMiner~~~msbA~~~WP_046594925.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_046594925.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVNSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~norA~~~WP_049389686.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049389686.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTAMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPQKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~FosB~~~WP_063480104.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_063480104.1~~~fosfomycin~~~unknown MNLQVINHLCFSVSELERSIAFYRDVFEAKLLVKGRKLAYFDLNGLWIALNEEDVDRSTANRTYTHIAFSMEEHDYEPTLLRLEALQVEILPGRSRDEKDKKSIYFLDPDGHMFEFHTGGLQDRLDYYRADKDHMTFYETE ->ARGMiner~~~macB~~~WP_032707680.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032707680.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGEIDVLKGITLSIAAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRIRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSPEKGGVLRAEARAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtO~~~YP_001465585~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~YP_001465585~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATMLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAAQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~amrA~~~WP_017881664.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_017881664.1~~~aminoglycoside~~~unknown MKYEWARTRRLSAALAVAAFVAAGCGKHESEHDAAAPREASVVTVKKTSVPLSVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKARAAHLAALDKRRRYDELVRDRAVSERDHTEALADERQAKAAVASARAELARAQLQLDYATVTAPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVESLRRAVKSGRAAGIAQQDVEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAVARDAILVPRDALLRTADSATVKVVGQNGKIRDVTVEAAQMKGRDWIVTRGLAGGERVVVVDAAQFEAGTTVKALERGAAAQPASGAAAVSAPGRRST ->ARGMiner~~~macA~~~WP_048228599.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_048228599.1~~~macrolide~~~unknown MTLNGKRRKVWWLLALVVVIAAIWGWRILNAPLPQYQTLVARKSDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAENQIKEVDATLMELCAQLKQAQAERKLAQVTLARQQQLAQRQLVSRQDLDTAATDVAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLAEVKNVITIPLSALGDAIGDNRYNVRLLRNGEVKEREIVIGARNDTDVAVAKGLEEGDEVIISESTPGATK ->ARGMiner~~~mdtM~~~WP_042312591.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_042312591.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRILAFFSQRATTLFFPITLILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLFTTSMTQFLAARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAVITSIVLVAPVIGPLSGAALMHFVHWKILFAIIAVMGLIALIGLTLAMPETVQRGAVPFSARSVVRDFRDVFRNRVFLFGAATLSLSYIPMMSWVAVSPVILIDAGGMTTSQFAWAQAPVFGAVIVANMVVVRFVKDPTRPRFIWRAVPIQLSGLAVLIAGNLLSPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEIGRWLWFNGGRISFHLLAVVAGIAVVFTLAGLLKRVRQHEATALVTES ->ARGMiner~~~acrE~~~WP_000160333.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_000160333.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDPPADTASK ->ARGMiner~~~mdtA~~~EQS89262.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~EQS89262.1~~~aminocoumarin~~~unknown MCPSFRRFPTVFHNSSIFLPYWLATLVSFRETFQEEKLLTMKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~TEM-189~~~ANG32266.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-189~~~ANG32266.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERDRQIAEIGASLIKRW ->ARGMiner~~~macB~~~WP_000188176.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188176.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSFGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~ACT-29~~~WP_061099492.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_061099492.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKFLCCALLLSTSCSVLAAPMSEKQLTDVVERHVTPLMKAQGIPGMAVAVIYQGQPHYFTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYHNWQPQWKPGTTRLYANSSIGLFGALAVKPSGMSYEQAMTTRVFKPLRLDHTWINVPKAEETHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMANMAPDALQDTTLKQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVSPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~macA~~~WP_031979912.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_031979912.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAEHKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~CepS beta-lactamase~~~WP_024945989.1~~~cephalosporin unknown +>ARGMiner~~~CepS beta-lactamase~~~WP_024945989.1~~~cephalosporin~~~unknown MKQTRALPLLALGTLLLAPLSLAAPVDPLKAVVDDAIRPVLKQHRIPGMAVAVLKGGQAHYFNYGLADVATGTKVNEQTLFEIGSVSKTYTATLGAYAVVKGGFKLDDQVSGHAPWLKGSAFDGITMAELATYSGGGLPLQFPDEVDSSDTMRAYYRHWVPPYQAGTQRQYSNPSIGLFGHLAANSLQQPFATLMEQTLLPALGLKHTYLQVPEVAMARYAFGYSKEDKPIRVNPGMLADEAYGIKTGSADLLAFVKANISGVDDKALQQAIALTHTGFYRVGEMTQGLGWESYAYPVSEQTLLAGNSPAVSLKANPVTQFDAPAAPGAMRLYNKTGSTGGFGAYVAFVPAQGIGIVMLANRNYPIEARVSAAHAILSQLAP ->ARGMiner~~~adeG~~~WP_032033326.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032033326.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTTSNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQIPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQVGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPPQPQPTDKTSTPAKG ->ARGMiner~~~sul2~~~WP_023063817.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_023063817.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGLGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~mfpA~~~WP_031681422.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_031681422.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRAASLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~acrB~~~WP_021517497.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_021517497.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTNYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADDQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~lsaA~~~WP_002419263.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002419263.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFTPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~MexE~~~WP_034080409.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_034080409.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEARAAVAATQAQLDAARLNLSFTRVTAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSENGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAATKDNATRNEPRG ->ARGMiner~~~tolC~~~WP_014833295.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_014833295.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTRYNGSKTNTAQYDDSNQGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQALNSALGKPVSTSPDSVAPENPEQVAAVDNFNADSSAPAAQPAAARTTTSTSKGNNPFRN ->ARGMiner~~~FosX~~~WP_003730577.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_003730577.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLREIFNAEEIYSSGDKTFSLSKEKFFLIAGLWICIMEGDSLQERTYNHIAFQIQSEEVDEYIERIKALGVEMKPERPRVEGEGRSIYFYDFDNHLFELHAGTLEERLKRYHE ->ARGMiner~~~FosB3~~~WP_064139604.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_064139604.1~~~fosfomycin~~~unknown MLKSINHICFSVRNSNDSIHFYRDILLGKLLLTGKKTAYFKLAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~mdtG~~~WP_023283537.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023283537.1~~~fosfomycin~~~unknown MSSAETPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGIAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~norA~~~WP_033842027.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_033842027.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGDFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~macB~~~WP_044866868.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044866868.1~~~macrolide~~~unknown MTPLLELKDIHRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPTIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-207~~~ANG29795.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG29795.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPGLNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtN~~~WP_061353817.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_061353817.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTPQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~FosB~~~WP_027410707.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_027410707.1~~~fosfomycin~~~unknown MQIGGINHLTFSVSDLETSIRFYEGVFGAKLLVKGRNMAYFDLNGLWLALNVEKDIPRNEIHHSYTHIAFSVKEEDFDGVIQRLRELDVPILPGRERDEKDKRSVYFTDPDGHKFEFHTGSLHDRLAYYKSEKTHMQFFD ->ARGMiner~~~CTX-M-30~~~AIC64456.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64456.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFATCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~cmeA~~~WP_002798674.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002798674.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MNLFQKNTLLLLSALFLFSACSKEEAPQKQTPPQSVSTMNAKAENLPLNFTYPAKLVSDYDVIIKPQVSGVIVEKLFKAGDLIKKGQTLFIIEQDKFKASVNSAYGKALMARANFDNASKDYNRSKTLYNKGAISQKEYDSALANFNNTKANLTSARADLENARIDLAYTEIKAPFDGIVGDALINIGDYVSSSSTELVRITNLNPIYADFYISDTDKLNIVRNTQDGKWDLSNIYANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~macB~~~WP_032208066.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032208066.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEVLTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQIVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mefA~~~WP_024052921.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_024052921.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~tet(L)~~~ANS91860.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~ANS91860.1~~~tetracycline~~~unknown MNTSYSQSNLRHNQILIWLCILSFFSVLNEMVLNVSLPDIANDFNKPPASTNWVNTAFMLTFSIGTAVYGKLSDQLGIKRLLLFGIIINCLGSVIGFVGHSFFSLLIMARFIQGAGAAAFPALVMVVVARYIPKENRGKAFGLIGSIVAMGEGVGPAIGGMIAHYIHWSYLLLIPMITIITVPFLMKLLKKEVRIKGHFDIKGIILMSVGIVFFMLFTTSYSISFLIVSVLSFLIFVKHIRKVTDPFVDPGLGKNIPFMIGVLCGGIIFGTVAGFVSMVPYMMKDVHQLSTAEIGSVIIFPGTMSVIIFGYIGGILVDRRGPLYVLNIGVTFLSVSFLTASFLLETTSWFMTIIIVFVLGGLSFTKTVISTIVSSSLKQQEAGAGMSLLNFTSFLSEGTGIAIVGGLLSIPLLDQRLLPMEVDQSTYLYSNLLLLFSGIIVISWLVTLNVYKHSQRDF ->ARGMiner~~~macA~~~WP_061377670.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_061377670.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mdtM~~~WP_061377141.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_061377141.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLLSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~TEM-1~~~ANG20995.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG20995.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKIKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~WP_045447624.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045447624.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSALSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQSLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLDLTASTGVSDTSYSGSKTRGATGSQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNIKSALGTLNEQDLVALNNTLGKPISTAPEAVAPENSQQDAAADGYTANNAAPAAQPTAARTTSSSNGNNPFRN ->ARGMiner~~~macB~~~WP_024245417.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024245417.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGILKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mgrA~~~WP_017638400.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_017638400.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEKIRPELSNASEKVATASSLSLEEVDELNRLLGKVIDAFNEAKDN ->ARGMiner~~~FOX-2~~~WP_043135597.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-2~~~WP_043135597.1~~~cephalosporin;cephamycin~~~unknown MKQNTAVSLLALSALLLSPLSHGEGADPLTTVVDGAIQPLLKQHRIPGMAVAVLKDGKAHYFNYGVANRESGSRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFQLEDKASQHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSSDKMHAYYRQWTPAYPAGTHRQYSNPSIGLFGHLAASSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPVRVNPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAAVTSAIALTHTGFHSVGDMTQGLGWESYAYPVTEQTLLAGNSPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPIEARVKAAHAILSQLAL ->ARGMiner~~~cmeA~~~WP_002783169.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002783169.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MNLFQKNTLLLLSALFLFSACSKEEAPQKQTPPQSVSTMSAKAENLPLNFTYPAKLVSDYDVIIKPQVSGVIVEKLFKAGDLIKKGQTLFIIEQDKFKASVNSAYGKALMARANFDNASKDYNRSKTLYNKGAISQKEYDSALANFNNTKANLTSARADLENARIDLAYTEIKAPFDGIVGDALINIGDYVSSSSTELVRITNLNPIYADFYISDTDKLNIVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSNGFIQKNGFKIPQIAIKQDQNEVYVFLLKEGKVAKAPVHISYQDNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGVQ ->ARGMiner~~~novA~~~WP_062010695.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_062010695.1~~~aminocoumarin~~~unknown MHPDTPSWTPSTQEPEQPAQVRRILRLFRPYRGRLALVGLLVGASALVSVASPFLLREILDTAIPDGRTGLLSLLALGMIAAALVNSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATVVAMLALDWRLTVVSLLLLPLFVWISRRVGAERKKITSQRQKQMAAMSAMVTESLSVSGILLGRTMGRSASLTQRFAQESERLVDLEVRSNMAGRWRMSTIGVVMAAMPALIYWAAGIALQAGGPAVSIGTLVAFVTLQQGLLRPTVSLLSTGVQVQTSLALFARIFEYLDLPIDIAEPAEPVRLEKVRGEVRFDGVDFTYDGKDPGTPKGPVKDTSKGTLRGIDLTVPAGGSLAVVGSTGSGKTTLSYLVPRLYDVTGGRVLIDGVDVRDLDFDTLSRAVGVVSQETCLFHASVAENLRFAKPDATDEEIVAAAGAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSAGRTTITIAHRLSTVRDADQIVVLDGGRIAERGTHEELLARDGRYAALVRRDARLSPVAPAV ->ARGMiner~~~mdtH~~~KTG62017.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~KTG62017.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADAXARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~macA~~~WP_023227176.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023227176.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mdtH~~~WP_014883354.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_014883354.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVSSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYTGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~Escherichia coli mdfA~~~ADX05029.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~ADX05029.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MYKLMKNIQTTALNRTTLMFPLALVLFEFAVYIGNDLIQPAMLAITEDFGVSATWAPSSMSFYLLGGASVAWLLGPLSDRLGRKKVLLSGVLFFALCCFLILLTRQIEHFLTLRFLQGIGLSVISAVGYAAIQENFAERDAIKVMALMANISLLAPLLGPVLGAFLIDYVSWHWGFVAIALLALLSWVGLKKQMPSHKVSVTKQPFSYLFDDFKKVFSNRQFLGLTLALPLVGMPLMLWIALSPIILVDELKLTSVQYGLAQFPVFLGLIVGNIVLIKIIDRLALGKTVLIGLPIMLTGTLILILGVVWQAYLIPCLLIGMTLICFGEGISFSVLYRFALMSSEVSKGTVAAAVSMLLMTSFFAMIELVRYLYTQFHLWAFVLSAFAFIVLWFTQPRLALKREMQERVAQDLH ->ARGMiner~~~macA~~~WP_064155414.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_064155414.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAVWGWRILNAPLPHYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYASFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~emrA~~~WP_023301237.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_023301237.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKGDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDGVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~msbA~~~WP_061843275.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_061843275.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMNRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~Klebsiella pneumoniae OmpK36~~~AF336096.1.gene1.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK36~~~AF336096.1.gene1.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKVKVLSLLVPALQVAGAAYAAEIYNKDGNKLDLYGKIDGLHYFSSDDSVDGDQTYMRIGVKGETQINDQLTGYGQWEYNVQANNTESSSDQAWTRLAFAGLKFGDAGSFDYGRNYGVVYDVTSWTDVLPEFGGDTYGSDNFLQSRANGVATYRNSDFFGLVDGLNFALQYQGKNGSVSGEDQTNNGRGYQKQNGEGFGTSVTYDIWDGISAGFAYSSSKRTDEQNSVNTRYTDSNGVSRRVLGEGDHAETYTGGLKYDANNIYLATQYTQTYNATRTGIIGFANKAQNFEVVAQYQFDFGLRPSVAYLQSKGKDMGRYGDQDILKYVDLGATYYFNKNVSTYVDYKINLLDDNCFTKDASISTDNVVALGLVYQF ->ARGMiner~~~macA~~~WP_003701616.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_003701616.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQASYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEMTAAEQQESGERVMGGPPR ->ARGMiner~~~sul1~~~WP_046203752.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_046203752.1~~~sulfonamide;sulfone~~~unknown MLRSRVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAADLHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~mdtG~~~WP_021549763.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_021549763.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGTLLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKKPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~lsaB~~~WP_000061787.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061787.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYPVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLKKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEQATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTEPLKLEALKFKSNELVILADVSVKYNDQVVNEPISFIVEHGDRIVLDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDFIEEQKIDETLFKSFLRKMDFDRIQFEKDISHYSSGQKKKLLIAKSLCKKAHLYIWDEPLNFIDIYSRMQIEELIQEFNPTMVIVEHDKVFQQTVATKTISM ->ARGMiner~~~macA~~~WP_064180367.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_064180367.1~~~macrolide~~~unknown MKVKGKRRTIWWLLAIVVLGLAVWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKALFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVAIGARNDTDVAVVQGLDEGDEVIVGESASGAAK ->ARGMiner~~~vanSA~~~AKE81061.1~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~AKE81061.1~~~glycopeptide~~~unknown MKKNDYSKLERKLYMYIVAIVVVAIVFVLYIRSMIRGKLGDWILSILENKYDLNHLDAMKLYQYSIRNNIDIFIYVAIVISILILCRVMLSKFAKYFDEINTGIDVLIQNEDKQIELSAEMDVMEQKLNTLKRTLEKREQDAKLAEQRKNDVVMYLAHDIKTPLTSIIGYLSLLDEAPDMPVDQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKTHIDLYYMLVQMTDEFYPQLSAHGKQAVIHAPEDLTVSGDPDKLARVFNNILKNAAAYSEDNSIIDITAGLSGDVVSIEFKNTGSIPKDKLAAIFEKFYRLDNARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNYTTFRVELPAMPDLVDKRRS ->ARGMiner~~~blaF~~~WP_064935627.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_064935627.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGNAAPASAAPVDDQIAELERRDNALIGLSATNLDSGRILTHRPDEMFAMCSTFKGYAAARVLQMVGRRQISLDNRVFVDPEALVPNSPITEAHAGSDMTLAELCQAALQRSDNTAGNLLLKTIDGPAGITAFARSIGDERTRLDRWEVELNSAIPGDPRDTSTPAALALGYREILAGDALSPPQRRQLEDWMRANQTSSVRAGLPEGWTTADKTGSGDYGSTNDVGIAYGPNGQRLLLAVMTRSQADDPKADNLRPLIGELTALILPSLL ->ARGMiner~~~Escherichia coli ampC~~~WP_047612378.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_047612378.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELVIVMLANKNYPNPARVDAAWQILNALQ ->ARGMiner~~~mepA~~~WP_031890196.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031890196.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALISNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~tolC~~~WP_000735288.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735288.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTNTSNGHNPFRN ->ARGMiner~~~CTX-M-30~~~AIC64460.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64460.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGATNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~Escherichia coli ampC~~~WP_024242033.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_024242033.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPVWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPAGVAAAWQILNALQ ->ARGMiner~~~hmrM~~~EHM46406.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~EHM46406.1~~~fluoroquinolone;acridinedye~~~unknown MGVYVQKYFIEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRDRIAHQVRQGFWLAGFVSVLIMVVLWNAGHIIRAMHNIDPALADKAVGYLRALLWGAPGYLFFQVCRNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFFSMLSFVKRARSMRDIRHEAGFHKPDTAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTSARTGLGVGVCMATLTAIFTVTMREQIALLYNDNPEVIILASQLMLLAALYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILGLTDLVVPRMGPAGFWWGFILGLTSAAIMMTLRIRFLQRQPSVVILQRAAR ->ARGMiner~~~CMY-2~~~CAO91853.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~CMY-2~~~CAO91853.1~~~cephalosporin;cephamycin~~~unknown MMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGLGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~mdtH~~~WP_023227263.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023227263.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGIVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~TEM-207~~~ANG28120.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG28120.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADRSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQTAEIGASLIKHW ->ARGMiner~~~Escherichia coli ampC~~~WP_001550110.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001550110.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTTAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~sdiA~~~WP_023242293.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023242293.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQEMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~nalD~~~KEA22378.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~KEA22378.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAPLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~hmrM~~~WP_024250382.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_024250382.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLTAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~emrB~~~CP004022.1.gene546.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~CP004022.1.gene546.p01~~~fluoroquinolone~~~unknown MIKEPLQGGKLAIMTIALALATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFALTSWLCGISQSLEMLIFFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSVTIVVAPILGPILGGYISDNYHWGWIFFINVPFGVLIIMCISNTLAGRETKTEIKPIDTIGLVLLVVGVGALQIMLDQGKELDWFNSTEIIVLTIIAVVALSFLIVWELTDEHPVIDLSLFKSRNFTIGCLTLSLAYMIYFGTIVLLPLLLQEVFGYTATWAGLAAASVGLLPLIITPIIGKFGGKVDLRYIISFSFIMFAVCFYWRAYTFEPGMDFATVAWPQFWQGLGVACFFMPLTTMTLSGLPPEKMASASSLSNFLRTLAGAIGASLTTTIWTQRESLHHETFVEKINPLDPDAQLAFQQMRELGLSDEQTSAYLAKTITEQGLIISANEIFWLAAGIFILMLVVVWFAKPPFSPGK ->ARGMiner~~~tolC~~~WP_047039402.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047039402.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKNQNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASTGVSNNRYSGSKNISQDADIGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPTSPDSVAPENPQQDAAADGYANTASAQPAAARTTKTSGSNPFSH ->ARGMiner~~~MIR-16~~~WP_048225256.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-16~~~WP_048225256.1~~~monobactam;cephalosporin~~~unknown MMKKTLSCALLLSVASAAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEITLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPKWKPGTTRLYANTSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMVNMMPDSLQDSPLKHGIALAQSRYWRVGAMYQGLGWEMLNWPVDAQTVVGGSDNKVALAPLPAREVNPPAPTVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~sdiA~~~WP_001154274.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154274.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRSSVREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~OXA-58~~~gi:970842095:pdb:5BOH:A~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-58~~~gi:970842095:pdb:5BOH:A~~~cephalosporin;penam~~~unknown MNHKVHHHHHHIEGRVQALFNEISADAVFVTYDGQNIKKYGTHLDRAKTAYIPASTFXIANALIGLENHKATSTEIFKWDGKPRFFKAWDKDFTLGEAMQASTVPVYQELARRIGPSLMQSELQRIGYGNMQIGTEVDQFWLKGPLTITPIQEVKFVYDLAQGQLPFKPEVQQQVKEMLYVERRGENRLYAKSGWGMAVDPQVGWYVGFVEKADGQVVAFALNMQMKAGDDIALRKQLSLDVLDKLGVFHYL ->ARGMiner~~~macA~~~AEW72900.1~~~macrolide unknown +>ARGMiner~~~macA~~~AEW72900.1~~~macrolide~~~unknown MPEHLFGIFMNLKGKRRTWFLLLAVIVIGGGFWLWQILNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGALKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESTGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAK ->ARGMiner~~~Escherichia coli ampC~~~WP_001556381.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001556381.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLTTYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~vanYF~~~WP_063576302.1~~~glycopeptide unknown +>ARGMiner~~~vanYF~~~WP_063576302.1~~~glycopeptide~~~unknown MKKWGFLLVFALILVFIFKILPISQDKVEDQIYEQNDNTAIENPLKIEITEEQIHQGNLLLVNSEYAVEQAGIQSDIINLFTHKGLTTGYQLPNNEIKLSEEIAEKFSEMIAAAEEDGVSNFLISSGYRDFDEQNRLYKEMGADYALPAGHSEHNLGLALDVGSTQMKMKEAPEGEWIEENAWKNGFILRYPANKTDITGIQYEPWHIRYVGLPHSAIMQEMNLALEEYLDYLKDEKSISISVEGKKYTISYYPISQNGTIEVEVPANEQYEISGNNMDGVIVTTLN ->ARGMiner~~~mdtB~~~WP_001197842.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_001197842.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAITLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALMIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPREAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~emrK~~~CP000034.1.gene2563.p01~~~tetracycline unknown +>ARGMiner~~~emrK~~~CP000034.1.gene2563.p01~~~tetracycline~~~unknown MEQINSNKKHSNRRKYLSLLAIVLFIAFSGAYAYWSMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQAYKANKALVMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTKDEDIAEMPDLASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~lsaB~~~WP_000061776.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061776.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFLNLLLGNYEYSGKILASVEFNYFPYPVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLKKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEQATNVRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTEPLKLEALKFKSNELVILADVSVKYDDQVVNEPISFIVEQGDRIVLDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDYIEEQKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~MexB~~~WP_039771443.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_039771443.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKTAIAAQNVQVSSGQLGGLPAVQGQQLNATIIGKTRLQTAEQFKEILLKVNKDGSQVRLKDVADVGLGGENYAISAQFNGSPASGLAVKLANGANALDTAKALRKTIDDLKPFFPQGMEVVFPYDTTPVVTESIKGVVHTLVEAIALVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPHGEHGTPKKGFFGWFNRNFDRGVNSYERGVGNMLSRKAPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAQRTQVVVDEMREFLLRPTKDGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLKPWDERNADNSVFKLAARAQQHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMAARNQFLGMAAQSKVLTQVRPNGLNDEPQFQLEIDDEKASALGITISDINNTLSIALGSSYVNDFIDRGRVKKVYVQGRPDSRMSPEDLKKWYVRNAAGTMVPFSAFAKGEWIYGSPKLARYNGVEAVEILGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSMGQRKNAGKDDAIETPKEAGQ ->ARGMiner~~~TEM-1~~~ANG17092.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG17092.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETMVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~CCW75881.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CCW75881.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYSDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~FOX-2~~~WP_017412667.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-2~~~WP_017412667.1~~~cephalosporin;cephamycin~~~unknown MELIYADARIDCASHGVGVTYIHHETHQMEKATTRSLLVLSTLLLSPLSHAKEAEPLTALVDGAIQPLLKEHRVPGMAVAVLKEGKANYFNYGVADRESGARVSEQTLFEIGSVSKTLTATLGAYAAVKGGFQLEDKASQHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSTDKMRAYYRQWTPAYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPVRVNPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAVVKSAIALTHTGFYYVGEMTQGLGWESYAYPVTEQTLLAGNSSAVIYNANPVKSVATSQEMGGARLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPNEARVKAAHAILSQLAE ->ARGMiner~~~patB~~~WP_003728417.1~~~fluoroquinolone unknown +>ARGMiner~~~patB~~~WP_003728417.1~~~fluoroquinolone~~~unknown MSQFDEVIPRIGTNSEKWDGAEELFGRKNIIPMWVADMDFRAPQPVLDAFQRQIDHGIFGYSTKSAALVEAIIDWNKEQHQFEIDPSTLFFNGAVVPTISLAIRSLTNEGDAVLMVSPIYPPFFNVTKATERKVVMSPLIYENRQYRMDFNDLEKRMKEEKVKLFLLCNPQNPGGRCFTKEELVELAKLCEKYQIPIVSDEIHADLVMKNHKHVPMMVAAPFYQDQIITLMAATKTFNLAAIKASYYIITNKDYQAKFAAEQKYATTNGLNVFGIVGTEAAYRHGAPWLKELKEYIYSNYEYVKAALEKEVPEVGVTDLEATYLMWLDCRALPKDEKTIYADLIEAGVGVQMGSGFGHSGKGFVRLNIACPKETLEKGVKLLIQGLKK ->ARGMiner~~~AAC(3)-IV~~~WP_000093041.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IV~~~WP_000093041.1~~~aminoglycoside~~~unknown MSSAVECNVVQYEWRKAELIGQLLNLGVTPGGVLLVHSSFRSVRPLEDGPLGLIEALRAALGPGGTLVMPSWSGLDDEPFDPATSPVTPDLGVVSDTFWRLPNVKRSAHPFAFAAAGPQAEQIISDPLPLPPHSPASPVARVHELDGQVLLLGVGHDANTTLHLAELMAKVPYGVPRHCTILQDGKLVRVDYLENDHCCERFALADRWLKEKSLQKEGPVGHAFARLIRSRDIVATALGQLGRDPLIFLHPPEAGCEECDAARQSIG ->ARGMiner~~~mdtN~~~WP_053274397.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_053274397.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDTEMFRIGASAVANLEPQ ->ARGMiner~~~ErmC~~~ABV03165.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~ABV03165.1~~~macrolide;lincosamide;streptogramin~~~unknown MNEKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKIVFDSIADEIYLIVEYGFAKRLLNTKRSLALFLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVNKKYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~mdtG~~~WP_032651297.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032651297.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSIGGVSGALLGPLAGGLMADSYGLRPVFFITACVLFLCFVVTLLCIRENFTPVAKKEMLHAREVLTSLKNPRLVLSLFVTTLIIQVATGSIAPILTLYIRDLAGNVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSMVHSPWQLGLLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGASISASFGFRAVFIVTAGVVLFNAIYSWFSLSRALRPVAE ->ARGMiner~~~macA~~~WP_058820515.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_058820515.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATL ->ARGMiner~~~mdtH~~~WP_064669554.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064669554.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRSQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~TEM-207~~~ANG31882.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG31882.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYVELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~WP_020240233.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_020240233.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTTYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNTLSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~bcr-1~~~WP_000085994.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_000085994.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAIMGIAVLMLSLFILKETRPAAPAASDKSRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQMLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVTAHEEIHHHA ->ARGMiner~~~mepA~~~EFR88215.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~EFR88215.1~~~glycylcycline;tetracycline~~~unknown MKHSDNYYLTKASIPKAIAHLSIPMMLGMSVGVIYNIVNAFFIGLLHDTSMLTAVTLGLPMFTILMAIGNMFGVGGGTYISRLLGKEEGTKAKQVSAFVLYGSLVLGIICAILLGFLINPVTHFLGADATSFLHTKNYTLALLICSPFIIANFALEQVVRAEGASRVSMNGMLIGTLVNLVFDPLLILYFDFNVVGAAVSIGLASLFSLIYYAWYLEKKSDYLSIRFKWFKATKEIVQNVFKIGVSELLLSLFLIVTTLVLNHYSMLYGEGVVAGFGVALRVVQLPEFICMGLYMGIIPLLAYNYSAGNIARFEKAIRFTAISIGLIVLAISSMVFIFRFQVMHLFSDNQSVITLGVHIMVAMLISSLFSGFTGLFTSTFQAIGKAIPATIMSVSQGIIFIPVIILGQHYFGLMGVIWSLTTTEVLTCIIGVTLFTIHNIKIASSAKTKDLAV ->ARGMiner~~~macB~~~WP_021546584.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_021546584.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAGRVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sul1~~~WP_024193717.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_024193717.1~~~sulfonamide;sulfone~~~unknown MTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~mdtO~~~WP_001275223.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_001275223.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLTPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~mdtN~~~WP_057108582.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_057108582.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASTVSGVDALVAQRAAVEADIALTKLHLEMTTVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~Escherichia coli emrE~~~ZP_03048536~~~macrolide unknown +>ARGMiner~~~Escherichia coli emrE~~~ZP_03048536~~~macrolide~~~unknown MNPYIYLGGAILAEVIGTTLMKFSEGFTRLWPSVGTIICYCASFWLLAQTLAYIPTGIAYAIWSGVGIVLISLLSWGFFGQRLDLPAIIGMMLICAGVLVINLLSRSTPH ->ARGMiner~~~norB~~~WP_003727600.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_003727600.1~~~fluoroquinolone~~~unknown MTSTAYKGTNKLIVGIVFGVITFWLFAQSMVNIVPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGATLLIIGRIIQGLSAACIMPATLALMKTYFEGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGATFGWTSPITITMLVVFLISAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSGITAVGIALMALTFIPGTLYTVLVFIGFALFGIGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLISVAITTPSAKKALELKAAKE ->ARGMiner~~~arnA~~~WP_044720736.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_044720736.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQTGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mecA~~~WP_031880881.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031880881.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVINGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~macB~~~WP_023312896.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023312896.1~~~macrolide~~~unknown MTALLELRNIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNIYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosA2~~~WP_045621742.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_045621742.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVSEADFEPFSNKLEQAGITVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~msbA~~~WP_000597250.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597250.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIVLFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_001629811.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001629811.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKAPSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Serratia marcescens Omp1~~~WP_005139740.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_005139740.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEAQPLGNGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNTSGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVELVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~mepA~~~WP_002444004.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_002444004.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPIFKAMMHFSIPMMIGSLLSVIYGILNVYFIGFLDNSHMISAISLTLPIFAVLMGFGNLFGVGGGTFISRLLGAKDYSKGKHVGSFSTYAGFILGIIIAMIALPFTDQIAHILGASGETLTYTSNFLKVMFLSSPFVIVFFILEQFARAVGKPIISMIGMLSSVVLNMILDPIFIFGLDLNVVGAALGTAISNVVAALFFVIYFSKNNESISLRLKDATPTSEMLAEIFKIGIPAFLMVVLMGVTGLVLNLFLAKYGNYAIASYGIQFRLVQFPELIIMGLSEGVIPLIAYNFVSNKDRMKDTIKAVIISIAIIFVISMSVVLLFGHQIIQLFSTDVHIVHLATFMLKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGTVIIPVLFVMEHLFGLTGVVWSLLIAETLCALAAMLIVYLLRNQLAVDRDELLAEA ->ARGMiner~~~emrB~~~WP_057483912.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_057483912.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLIIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGISSLQIMLDRGKELDWFSSQEIIILAVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLLPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~tolC~~~WP_049857566.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049857566.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSAMSQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYEYSNGYRDQNGINSNATSASLSLTQTLFDMSKWRQLSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDNVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDSFKTDKPNAVNALLKEAEKRNLSLLQARLSQDLAREQIRLTQDGHLPTLNLTASTGVSDTSYSGSNTGGANASRFEDSNVGQNKVGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLLSLNNALGKPISTTPEAVAPENPQQDASADGYSADSAAPATQPAAARTPSSSNQGANPFRN ->ARGMiner~~~MexE~~~WP_023103118.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_023103118.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHYSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~macA~~~WP_010980813.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_010980813.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKILYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~nalD~~~WP_042167105.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_042167105.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYSHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~tolC~~~EKK14133.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EKK14133.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVAHSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~BcI~~~WP_061672572.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_061672572.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSVTSLEAFTGGSLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTHQTIAYRPNERFAFASTYKALAAGVLLQQNSTDKLNEVITYTKDDLVEYSPVTEKHVDTGMTLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRITMADRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAHKRNILTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVCPQNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKALK ->ARGMiner~~~sdiA~~~WP_028013675.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_028013675.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCSLRFQELTCAAEVYHELQRQTQALEFDYYSLCVRHPVPFTRPKIAVHATYPQQWLAQYQSENYFTIDPVLKPENFIQGHLPWTDELFADAQELWHRAQDFGLRSGITQCLMLPNHALGFLSVSRTRAQEGPFAGEEIELRLQMLVQMALTALLRFEDKMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mecR1~~~FR823292.1.gene4.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~FR823292.1.gene4.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLQQFLIMSIISSIMTLLLIMIVRIICIKYFKAQLNHKVWLLVVLSIFLPLIPINNLLQLNIPGILSSDMISHSSKSINHNLSNENTNLTKDLALDIQHHEMPFILIILLVVWFIGLIFFSFKFISAIKQIAFIKDLSIRSPILDNQLKKCINDLNIKEKSIAIIHVDEVENPMVFWLGKYYIVLPTNIMDMMEEEEIDYIISHELIHIKNKDLWGNYIFTIFTSIVWFNPALHLAKKLFNIDCEISCDNQVLTKLSQSNHTSYGKAILKCWAIQKQSVNNFAAKYLLGTQSNLKSRIVNVSKFKNTRNRKWKFLPYVVLSVLILLQGLMVSDHSDKNEYTDDIKYTNLKGLGSHFSGFKGSFVLYDNQKKEYFLYNEKESRKRYTPDSTYKPYLALIGFDKNVMSLNNTEQKWNGNENVFKEWNQNQNLSSAMRYSVNWYFENIDRSIKNKDLKNYISELQYGNENISGSKNYWNESSLKISAIEQVKLLMKIDNQQLKFDENYINAVKDSITLKKANQYRYLGKTGTGIINGKETNGWFIGTIEKNGKSYYFATHLDGKNNASGKKAKDISEKILEEMELMQ ->ARGMiner~~~novA~~~WP_051773561.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_051773561.1~~~aminocoumarin~~~unknown MPHDEPKWIPSKEPIDPARPAPAEQPRELRRIVGLFRPYRGRLAVVGLLVGASSLVGVASPFMLREILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTFISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGHERKRITTKRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTAAFSAESEKLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQTGAPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERADPVRLDRAKGEVTLEDVHFAYEAKNGPTLSGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVALDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEITEAARAAQIHEHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQRAIDNLSAGRTTITIAHRLSTVRDADQIVVLDAGRIAERGTHEELLKVDGRYAALVRRDRDAALTPEPPEGVQLAPVNV ->ARGMiner~~~tolC~~~WP_000735292.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735292.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKSQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~Escherichia coli emrE~~~gi:116668015:pdb:2I68:A~~~macrolide unknown +>ARGMiner~~~Escherichia coli emrE~~~gi:116668015:pdb:2I68:A~~~macrolide~~~unknown MNPYIYLGGAILAEVIGTTLMKFSEGFTRLWPSVGTIICYCASFWLLAQTLAYIPTGIAYAIWSGVGIVLISLLSWGFFGQRLDLPAIIGMMLICAGVLIINLLSRSTPHEFEAYVEQKLISEEDLNSAVDHHHHHH ->ARGMiner~~~macA~~~WP_001124208.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124208.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCFAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~emrB~~~WP_048606368.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_048606368.1~~~fluoroquinolone~~~unknown MTIALSLATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLARRIGEVRLFLWSTGLFALTSWLCGISSSLEMLILFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSMTIVIAPICGPILGGYISDNYHWGWIFFINVPFSIAIIFAIMKTLKGRETKISIQRIDTIGLVLLVVGIGALQIMLDQGKELDWFNSTEIIVLTIIAVVAIAFLIVWELTDEHPVIDLSLFKERNFTIGCLSLSLAYMLYFGTIVLLPQLLQEVYGYTATWAGLASAPVGLLPLLITPIIGRFGNRIDMRYLVTFSFIIYAVCYYWRAYTFEPDMSFAAAAWPQFVQGLAIACFFMPLTTITLSGLPPEKMASASSLSNFTRTLAGAIGTSITTTMWTQRESMHHENLTEFVNPYNPNAQYMYHELAKIGLNEQQSAAYLAKTITDQGLIISANEIFWLSAGIFILLMVIVWFAKPPFGAGSKGGGAH ->ARGMiner~~~emrA~~~WP_049220241.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_049220241.1~~~fluoroquinolone~~~unknown MSVNEEKNPPQAPIRNKKRTRRNVLLLLTFIFILAGLAYTAYWFMVLRHHETTDNAYVTGNQIMVMPQISGSVTTVYVDNTDYVKAGEPLVQLDDSDEILALDKAKTALANSVRQMHQQIINGRQLKANIVLRETELAKLQNDLRRREVLGERNVIGKEELQHAREAVATAKAALDVAKEQYNANQAIILTSPIAQQPSVLQAATEVRNAWLALQRTKILSPAEGYVSRRSVQVGAQVAPGKPLMAVVPVTGMWIDANFKETQLANMRIGQPAKITTDFYGKKVIYHGRVQGLDMGTGSAFSLLPAQNASGNWIKVVQRLPVRISLDEKEVAEKPLRIGLSTEVTVDTVNLDGKVLSQSERQAPAYHTDALTIDMSEINSLINEIIEQNAG ->ARGMiner~~~adeG~~~WP_032010222.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032010222.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMFLHENADAKAAPTSAQQAATVDVASVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVRKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFNNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGAPVTPHLVPMPNSQITANATPPQPQPTDKTSTPAKG ->ARGMiner~~~macB~~~WP_000125885.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000125885.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRCEHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQIWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~aadA2~~~ACS73641.1~~~aminoglycoside unknown +>ARGMiner~~~aadA2~~~ACS73641.1~~~aminoglycoside~~~unknown MREAATIEISNQLSEVLSVIERHLESTLLAMHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLGQKEDHLASRADHLEEFIRFVKGEIIKSVGK ->ARGMiner~~~adeG~~~WP_031951175.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_031951175.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLKAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~adeG~~~WP_031965591.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_031965591.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASAPPPQPQPTDKTSTPAKG ->ARGMiner~~~arnA~~~WP_000860299.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860299.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLIHDKILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~norA~~~WP_019834920.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_019834920.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFTLYFNIFLIFVGIGLVIPVLPVYLKDLGLKGSDLGILVAIFSLSQMIISPFGGSLADKLGKKLIICLGLVFFTISEFLFAMSHSFILLIVSRILGGFSAGMVMPGVTGMIADISKAKDKAKNFGYMSAIINSGFILGPGIGGFLAEVSHRLPFYFAGALGVMAFVISVILIRQPQNTVESHHIHFETKELSKIQWGVFITPIILTFVLAFGLSSFETLFSLYTSAKANYAPGDISIAIVGGGVAGAVFQIFFFDKFMRYITELTFITWALLYSVIVIFSLIIAHSYWSIMLISFIVFIGFDLIRPALTNYYSNIAGNRQGFAGGLNSTFTSMGNFVGPLVAGSLFDVNIEFPLYMSIIVMLFGIVIIFIEKNLKLNRSGCD ->ARGMiner~~~CTX-M-30~~~AIC64494.2~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64494.2~~~cephalosporin~~~unknown MVKKSLCQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~tolC~~~WP_021706937.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_021706937.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFISAALGSLSTSAWADTLAEIYNQAKDNDPTLMSAAAQRDTAFEAVNSSRSVLLPQIDLTAGYNINRSDEDARESDKLTAGVNFSQQLYNRSSWISLDTAEKTARQADSTYAAAQQDLILRVAQAYFDVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDAVLADEVLAQNDLTNSYEGLRQITGQDHANLYVLDTKRFSASKPGEGAESLVKEAEQRNLQLLSARISQDIARDNISLASSGHLPSLTLDGGYNFGNDSNSNANNGPNEQYNDFNIGVNLSVPLYTGGNINSQTKQAEYQYVAASQDLEATYRSVVRDVRAFNNNISASIGAIRAYEQSVVSARSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSDARYNYIISVLQLRQAIGTLNEQDVMDISAGLKPAPASKPGKTS ->ARGMiner~~~cmeA~~~WP_002787523.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002787523.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MNLFQKNTLLLLSALFLFSACNKEEAPQKQTLPQSVSTMSAKAENLPLNFTYPAKLVSDYDVIIKPQVSGVIVEKLFKAGDLIKKGQTLFIIEQDKFKASVNSAYGKALMARANFDNASKDYNRSKTLYNKGAISQKEYDSALANFNNTKANLTSARADLENARIDLAYTEIKAPFDGIVGDALINIGDYVSSSSTELVRITNLNPIYADFYISDTDKLNIVRNTQDGKWDLSNIYANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~mdtM~~~WP_001406768.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001406768.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATVFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIITVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mdtH~~~WP_016160613.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_016160613.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSLLMMQDCAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRYRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGFITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~CTX-M-3~~~AIC64359.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64359.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIRPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~Escherichia coli ampC~~~WP_032170306.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_032170306.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGMLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~nalD~~~WP_023088115.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_023088115.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWSQASSAP ->ARGMiner~~~arnA~~~WP_032288236.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032288236.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVTRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEVAQRENEATCFGRRTPDDSFLEWYKPASVLHNMVRAVADPWPGAFSYAGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~tolC~~~WP_063451151.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063451151.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPEQDAAVDNFNANGSMPAAQPAAARSTAPASSGSNPFRN ->ARGMiner~~~FosB~~~WP_029973156.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_029973156.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSNLERSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~tolC~~~WP_023185433.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023185433.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYREANGINSNETSASLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGVSDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNGTLGKPIPTSPESVAPETPEQDAAVDNFNGNAPAAQPVSAHTTSTRGNGNPFRH ->ARGMiner~~~acrB~~~WP_000492810.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_000492810.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MFSRFFVRRPVFAWVIAILIMLAGILAIRTLPVAQYPDVAPPTIKISATYTGASAETLENSVTQVIEQQLTGLDNLLYFSSTSSSDGSVSINVTFEQGTDPDTAQVQVQNKIQQAESRLPSEVQQTGVTVEKSQSNFLLIAAVYDTTDKASSSDIADWLVSNVQDPLARVEGVGSLQVFGAEYAMRIWLDPAKLASYSLMPSDVQSAIEAQNVQVTAGKIGALPSPNTQQLTATVRAQSRLQTVDQFKNIIVKSQSDGAVVRIKDVARVEMGSEDYTAIGKLNGHPSAGVAVMLSPGANALNTATLVKDKIAEFQRNMPQGYDIAYPKDSTEFIKISVEDVIQTLFEAIVLVVCVMYLFLQNLRATLIPALAVPVVLLGTFGVLALFGYSINTLTLFAMVLAIGLLVDDAIVVVENVERIMRDEGLPAREATEKSMGEISGALVAIALVLSAVFLPMAFFGGSTGVIYRQFSITIISAMLLSVVVALTLTPALCGSVLQHVPPHKKGFFGAFNRFYRRTEDKYQRGVIYVLRRAARTMGLYLVLGGGMALMMWKLPGSFLPTEDQGEIMVQYTLPAGATAARTAEVNRQIVDWFLINEKANTDVIFTVDGFSFSGSGQNTGMAFVSLKNWSQRKGAENTAQAIALRATKELGTIRDATVFAMTPPAVDGLGQSNGFTFELLANGGTDRETLLQMRNQLIEKANQSPELHSVRANDLPQMPQLQVDIDSNKAVSLGLSLNDVTDTLSSAWGGTYVNDFIDRGRVKKVYIQGDSEFRSAPSDLGKWFVRGSDNAMTPFSAFATTRWLYGPERLVRYNGSAAYEIQGENATGFSSGDAMTKMEELANSLPAGTTWAWSGLSLQEKLASGQALSLYAVSILVVFLCLAALYESWSVPFSVILVIPLGLLGAALAAWMRDLNNDVYFQVALLTTIGLSSKNAILIVEFAEAAVAEGYSLSRAALRAAQTRLRPIIMTSLAFIAGVMPLAIATGAGANSRIAIGTGIIGGTLTATLLAIFFVPLFFVLVKRLFAGKPRRQE ->ARGMiner~~~adeG~~~WP_031952939.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_031952939.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMFLHENADAKAAPTSTQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVRKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGTQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASTTPTQPQPTDKTSTPAKG ->ARGMiner~~~mepA~~~WP_000651038.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_000651038.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVALPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMSNKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVFLLRDRLTVDTSELIEG ->ARGMiner~~~macB~~~WP_032410816.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032410816.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGAQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_001665309.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001665309.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRGKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_006809410.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_006809410.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGEIREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAQ ->ARGMiner~~~mdtH~~~WP_061068126.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_061068126.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALSQPELPWMMLGIIGFITFLALGWQFSHKRPSRGMLEPDA ->ARGMiner~~~mdtH~~~WP_042319682.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_042319682.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKTFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVRIPVREGMGRVLSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLLMSLSMLPVGLVSDLQQLFTLICTFYIGSIIAEPARETLSASLSDARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKLLQQPELPWMMLGIIGVITFLALGWQFSHKRTPRGMLEPGA ->ARGMiner~~~vanB~~~WP_020944844.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_020944844.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKFGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQIIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTMPGFTSYSRYPRMVAAAGITLPALIDSLITLALKR ->ARGMiner~~~cmeB~~~WP_002872052.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002872052.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMIGIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~FosB3~~~WP_064280492.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_064280492.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTDYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~macB~~~WP_029739484.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_029739484.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sdiA~~~WP_023206010.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023206010.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDLLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSVSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~emrB~~~WP_021517276.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_021517276.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLRMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~arnA~~~WP_003834702.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_003834702.1~~~peptide~~~unknown MKAVVFAYHDMGCQGVQALLDAGYEIATIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWVERISQLAPDVIFSFYYRHLLSDEILSLAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQQRVAISPDDVALTLHHKLCQAARHLLEEALPAIKTGDYAELPQQEAEATCFSRRTPEDSFLDWNKPAAELHNQVRAVSDPWPGAFSYVGTQKFTVWSSRVCTNDIAAQPGTVISVSPLLIACVDGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTSKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAIGRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPQNEASIQELAEMLLSCFEKHPLRNHFPPFAGFRDVESSSYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~adeG~~~WP_045603955.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_045603955.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGAFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRTAQGNARA ->ARGMiner~~~acrB~~~WP_050393987.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_050393987.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVQPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~macB~~~WP_000188141.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188141.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVDSATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_060572706.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_060572706.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLSVEAGEMVAIVGASGSGKSTLMNILGCLDKSTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWAQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWANSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSAMAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQMFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~aadK~~~WP_019712535.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_019712535.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVESFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEILRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYQYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~tolC~~~WP_000735299.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735299.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPAVQQASARTTTSNGHNPFRN ->ARGMiner~~~tolC~~~WP_045902679.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045902679.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDNFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDSNQGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLLALNNALGKPVSTSPDSVAPENPEQVAAVDNFNANSSAPAAQPAAARTNTGSNPFRH ->ARGMiner~~~Escherichia coli ampC~~~WP_024260326.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_024260326.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPPEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLPPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAIKPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAACQILNALQ ->ARGMiner~~~FosB~~~WP_049107630.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_049107630.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEREDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~sdiA~~~WP_032170014.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032170014.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRSSAREIPILSDELQLKMQLMVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~mdtN~~~WP_063079620.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_063079620.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIIELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGSLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~lsaA~~~WP_002410236.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002410236.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~tolC~~~WP_024176395.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_024176395.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTHQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mefA~~~WP_000417518.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_000417518.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGFLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~sdiA~~~WP_001524584.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001524584.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSISRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~arnA~~~WP_001569041.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001569041.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTIWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtN~~~WP_021529938.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_021529938.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAATKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~cmeA~~~WP_002937012.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002937012.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIVNKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMARATFENASKDFNRSKALFSKNAISQKEYDSSLATFNNSKASLASARAQLANARIDLNHTEIKAPFDGTVGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETIQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQDQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~arnA~~~WP_003921799.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_003921799.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTSNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~MexF~~~WP_058522806.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_058522806.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALLIVFIGLVAIVVLPVAQYPAIVPPTIQVTTTYPGADAKTLINTVALPIEQQVNGVENMLYMQSTSTNSGTYNLIVTFSIGTDLNFAQVLVQNRVQAAMAQLPMDVQKQGVLVQQKSTAILQFITLTSENDEYDGLFLDSYATINMQNELARLPGVGNVIIFGSGSYAMRVWLDPQKMMAFSLNPSDVLNAINYQNKDVSAGQVAAPPVAGKESYQFTVNVPGQLSDPDEFANIIIKTVDTQPDENGNASSSAQVVRIRDVGRVELGSSTYSQLAKLNGKPAAAIGIFQLPGANALDVAKEVRATVAKMAKKFPPGLQYSIPFDTTVFVEASIDEVYKTLFEAGILVLIVIVVFLQNFRASLVPATTVPVTIIGTFFGMMLLGYTINLLTLFAIVLAIGIVVDDAIVIVEGVTQHIERGLSPKEASIVAMKELFGPIIGITLVLMAVFVPAGFMPGLTGSMYAQFALVIAVTALISAINAMTLKPTQCALWLRPIDPNKTKNVFFRAFDRIYNPIEERYCRFMDRLVHHSKTVCLIGALLVAAAIFGLTRIPTGFIPIEDQGYLVLSVLLPDGASLGRTDEVLDRLSTEISKIGGIANVIGIDGISLLDNNANLANSGVLYVMFKDWSVRGKDEDLLALYTKLSDIAKKTRDAKVLVVVPPPIQGLGLSGGFQMQVELQDGSFDYQKLQSVTDNLIDRGNHDPVLQNLMTSFRANVPQVAAPINRTKAESLGVTVGDAFGTLQTYLGSSYVNLFTKYGQVFPVYVQAEAASRGQIDDLRNYYVRNKQGDMVPLGTLTDIDRAVGPGIISLYNLYPSSSINGMAARGFSSGQGIQSMEEMAKKLLPAGLSYEWTSTAYQEKIAGNLSYIIFAMSLVLVYLILAGQYENWLTPSAIIFSVPLTLIGTVIALTVLGLANNMYTQIGLLLLIALAAKNAILIVEVAHEQRHIHGKSIIDSAVIGARTRFRPILMTSFAFIMGVMPLVFASGAGANARRSIGIAVSSGMLASTCLAVVFVPVFYVLLQTWQEKRQARKHPAIIANEVQQPSTTP ->ARGMiner~~~norA~~~WP_021187662.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_021187662.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSEFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~tolC~~~WP_064370388.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064370388.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKQAGIQDVTYQTDQQSLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTNKPSAVNALLKEAESRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSGVSNNRYSGSKSISQDADVGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKSIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~macA~~~WP_038390834.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_038390834.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVIWRKLNAPLPQYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPEQAENQIKEVEATLMELNAERQQAVAELKLARVTLTRQQQLAKTQVVSQQDLDTAVTEMAVKQARIGAIDAQIKRNQASLNTAKTNLEYTRIVAPMAGEVTQVTTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQKAWFTIPGDPQTRYEGALKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLTALGEPVGDNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGEGRPGATP ->ARGMiner~~~macB~~~WP_053885940.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053885940.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVASQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_052897224.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_052897224.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVQKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCLTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_005161289.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_005161289.1~~~fluoroquinolone~~~unknown MALVSQARSLGKYFLLLDNLLVVLGFFVVFPLISIRFVDQLGWAAVLVGLALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFALMAMADEPWILWLACALSGLGGTLFDPPRTALVIKLTRPHERGRFYSLLMMQDSAGAVIGALIGSWLLQYDFHFVCWTGAVIFILAAGWNVWLLPAYRISTVRAPMKEGLMRVLRDRRFVTYVLTLTGYYMLSVQVMLMLPIVVNEIAGSPAAVKWMYAIEAALSLTLLYPIARWSEKRFRLEQRLMFGLLIMTLSLFPVGLITHLQTLFMFICFFYMGSIIAEPARETLSASLADSRARGSYMGFSRLGLALGGALGYTGGGWMYDTGRTLEMPELPWFLLGVIGLITLVGLYWQFNQRRIESAMLSGS ->ARGMiner~~~FosB~~~WP_048567206.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_048567206.1~~~fosfomycin~~~unknown MIQSINHICFSVANLEKAIDFYQNILQAKLLVKGRKLAYFDLNGLWIALNVEERIPRNEIQYSYTHIAFTVTNNEFDSLKEILIQNHVNILPGRERDDRDKRSIYFTDPDGHKFEFHTGTLQDRLQYYKEDKKHMTFY ->ARGMiner~~~FosB~~~WP_060386859.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_060386859.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSDLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHTAITIDPKDFDAILQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFRTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~novA~~~WP_051734466.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_051734466.1~~~aminocoumarin~~~unknown MPHDEPKWTPSKDPLDPSRPAPAEQPRELRRIVALFRPYRARLAVVGLLVCASSLVGVASPFLLREILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTLVSLLLLPVFVWISRRVGHERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTSAFSAESEKLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQTGAPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERAEPVRLDRAKGEVALEDVHFTYDSENGPTLSGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVSGGRVAIDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEITEAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDHLSAGRTTITIAHRLSTVRDADQIVVLDGGRIAERGTHEELLKADGRYAALVRRDRDAALAPEPPEDAQLAPVNV ->ARGMiner~~~hmrM~~~WP_001174933.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174933.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAAGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~mdtH~~~WP_032206311.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032206311.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARHLLERDA ->ARGMiner~~~novA~~~WP_003970646.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_003970646.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPDAAADRPPAEVRRILRLFHPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRGFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEKPVRLEKIRGEIAFEDVDFSYDEKNGPTLTGIDVTVPAGSSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTIDGIDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATEEEIEAAARAAQIHEHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQQAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRAAERGTHEELLDRDGRYAALIRRDSHPAPVPAP ->ARGMiner~~~bcr-1~~~WP_024247470.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_024247470.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILITCSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~tolC~~~WP_064529627.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064529627.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGANSAQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPAVQQASARTTTSNGHNPFRN ->ARGMiner~~~FosB3~~~WP_047425942.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_047425942.1~~~fosfomycin~~~unknown MLKSINHICFSVSNLNNSIHFYKDILCGELLLSGNKTAYFNIAGLWIALNEEKDIPRNEIQLSYTHIAFTIDENEFNYWYQRLKNNKVNILEGRVRDVRDKQSIYFTDLDGHKLELHTGTLENRLNYYKSTKPHMTFYQ ->ARGMiner~~~CMY-59~~~YP_002894624~~~cephamycin unknown +>ARGMiner~~~CMY-59~~~YP_002894624~~~cephamycin~~~unknown MSTRCKSNTLIASDGPGHLFAFNYGTDFMMKKSLCCALLLTASFSTFAAAKTEQQIADIVNRTITPLMQEQAIPGMAVAVIYQGKPYYFTWGKADIANNHPVTQQTLFELGSVSKTFNGVLGGDAIARGEIKLSDPVTKYWPELTGKQWQGIRLLHLATYTAGGLPLQIPDDVRDKAALLHFYQNWQPQWTPGAKRLYANSSIGLFGALAVKPSGMSYEEAMTRRVLQPLKLAHTWITVPQNEQKDYAWGYREGKPVHVSPGQLDAEAYGVKSSVIDMARWVQANMDASHVQEKTLQQGIALAQSRYWRIGDMYQGLGWEMLNWPLKADSIINGSDSKVALAALPAVEVNPPAPAVKASWVHKTGSTGGFGSYVAFVPEKNLGIVMLANKSYPNPVRVEAAWRILEKLQ ->ARGMiner~~~mdtH~~~WP_032670135.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032670135.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEASLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWKFSDKRSTRGMLEPGA ->ARGMiner~~~macB~~~WP_000188143.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188143.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYCTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtP~~~WP_016242676.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_016242676.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSVWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRNVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSHLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mdtP~~~YP_312991~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~YP_312991~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mgrA~~~WP_064129369.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_064129369.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDKSPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~mdtH~~~WP_004112151.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_004112151.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLIMTLAMMPIGLSSNLQQLFTLICVFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGAFGYAGGGWLFDAGKATGQPELPWLMLGAIGLATFVALWWQFSPKRSTSGMLEPRT ->ARGMiner~~~hmrM~~~WP_001174932.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174932.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSILIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~emrA~~~WP_001661670.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001661670.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQGSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~macB~~~WP_024249215.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024249215.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVILDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosX~~~Q92AV8~~~fosfomycin unknown +>ARGMiner~~~FosX~~~Q92AV8~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLEEIFDAEEIYSSGDDTFSLSKEKFFLIAGLWICIMEGESLQERTYNHIAFQIQAEEMDEYIERIKSLGMEIKPERSRVKGEGRSVYFYDYDNHLFELHAGTLEERLKRYHK ->ARGMiner~~~mdtF~~~WP_032229546.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032229546.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGDHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGGFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~nalD~~~WP_023113693.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_023113693.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPMRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~tolC~~~WP_046496884.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_046496884.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTFQTDQQTLILNTASAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTRGATGSQYDDSNMGQNKIGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYNYLINQLNIKSALGTLNEQDLVALNNTLGKPISTSPEHVAPETPQMDANADGYTANTAAPAAQPASARSSSSNGNNPFRH ->ARGMiner~~~EXO beta-lactamase~~~WP_031177785.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_031177785.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAATLVPGTAHASSGGRGHGHGSGSVSDAERRLAGLERASGARLGVYAYDSGSGRTVAYRADELFPMCSVFKTLSSAAVLRDLDRNGEFLSRRIFYTQDDVDRADGAPETGKPENLANGMTVEELCEVSITASDNCAANLMLRELGGPDAVTRFVRSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAMTRTYGRLVLGDALNPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRAPIVLTVLTTKTEQDAARDDGLVADAARVLAETLG ->ARGMiner~~~emrA~~~WP_021530660.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_021530660.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLITLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~emrB~~~WP_001435599.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001435599.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFVWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtG~~~WP_023225426.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023225426.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLIMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~sul1~~~CAC87991.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~CAC87991.1~~~sulfonamide;sulfone~~~unknown MASMISSSAVTTVSRASTVQSAAVAPFGGLKSMTGFPVKKVNTDITSITSNGGRVKCMVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITISETLAKFRSRDARDRGLDHA ->ARGMiner~~~adeG~~~WP_005134959.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_005134959.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFILLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPQPQPTDKTSTPAKG ->ARGMiner~~~macA~~~WP_061098716.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_061098716.1~~~macrolide~~~unknown MNLKGKRRTLFLLLALVIVAGGFWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~Erm(41)~~~ACO89578.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~ACO89578.1~~~macrolide;lincosamide;streptogramin~~~unknown MSGQRSRRQWGWYPITDDWAARIVAESGVRSGEFVVDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRVAEADLLAFRWPRRPFRVVASPPYQVTSALIRSLLTPESRLLAADLVLQRGAVHKHAKRALVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~mdtH~~~WP_064114541.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064114541.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGLAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~mdtC~~~NC_002695.1.916586.p01~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~NC_002695.1.916586.p01~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAISNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGMVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKSKQTVTE ->ARGMiner~~~macB~~~EIQ46599.1~~~macrolide unknown +>ARGMiner~~~macB~~~EIQ46599.1~~~macrolide~~~unknown MLELKDIRRSYPAGNEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sdiA~~~EFF05853.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~EFF05853.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRFTMQDKDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQLLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~macB~~~WP_020079269.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_020079269.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGEVDVLKGITLSIAAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRIRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSPEKGGVLRAESRAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKSKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~sul1~~~WP_059453122.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_059453122.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNYIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~tet(C)~~~WP_009873363.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_009873363.1~~~tetracycline~~~unknown MKSNNALIVILATVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRGWMAFPIMILLASSGIGMPALQAMLSRQVDDEHQGQLQGSLAALTSLTSIIGPLIFTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~mdtH~~~WP_064043277.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064043277.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYEFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~adeG~~~WP_000010643.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_000010643.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRSAILISPTAVGVDQDKRFVVVVDVKNQTAYREVKLGAQQDGLQIVNSGLKAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASSTTPQPQPTDKTSTPAKG ->ARGMiner~~~tolC~~~WP_021314157.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_021314157.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGISNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~mdtM~~~WP_001612272.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001612272.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVSFSAKSVLRDFRNVFCNRQFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~TEM-206~~~ANG24385.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-206~~~ANG24385.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVTLIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNETIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mecI~~~CAA73545.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~CAA73545.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANYMIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKK ->ARGMiner~~~macA~~~WP_057067268.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_057067268.1~~~macrolide~~~unknown MKLKGKIKKRYFLFAVILIVAVIALWRTLNAPLPQYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAEAEWKLARVTLSRQQQLAKTQAVSQQDLDTAATEMAVKQAKIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLHPGQKAWFTVLGDPQTRYEGKLKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTEVKNVLTIPLSALGDPIGNNRYNVRLLRNGETREREVVIGARNDTDVEIVKGLEEGDEVITGEGNAGAAK ->ARGMiner~~~novA~~~WP_060895163.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_060895163.1~~~aminocoumarin~~~unknown MRPDQSTWTPSPAEGEQPRQVRRILKLFRPYRGRLAIVGLLVGASSLVTVATPFLLKETLDVAIPQGRTGLLSLLALGMILSAVVTGIFGVLQTLISTTVGQRVMHDLRTAVYGRLQRMSLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATIVAMVALDWRLTVVSLLLLPVFVWISRRVGNERKKIATQRQKQMAAMAATVTESLSVSGILLGRTMGRGDSLTKAFEAESDSLVDLEVKSNMAGRWRMSVIGIVMAAMPAVIYWAAGMALQFGGPSVSIGTLVAFVSLQQGLFRPTVSLLSTGVQIQTSLALFQRIFEYLDLPIDITEPERPVHLDQVKGEIRFEDVEFRYDDKSGPILEGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARGIGVVSQETYLFHASVADNLRFARPDATDEELQAAARAAQIHDHIASLPDGYDTVVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEYAVQEAIDALSANRTTLTIAHRLSTIRGADQIVVLDSGRAVERGTHEELLEREGRYAALVHRDAELEPTR ->ARGMiner~~~macA~~~WP_063558557.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063558557.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDAIIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~L1 beta-lactamase~~~WP_065177719.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_065177719.1~~~cephalosporin~~~unknown MRRCLTTLALTATLAFDATAADTPLPQLQAYTVDPSWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMASHLISNMKVRDVAPQDLRLILLSHAHADHAGPVAEVKRRTGAMVVANAESAVLLARGGSNDLHFGDSITFPPASTDRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLQGNARYPHLVEDYRRSFATVRGLPCDLLLTPHPGASNWNYAAGSKASDKALNCKAYADAAEKKFDAQLAKETAAAR ->ARGMiner~~~emrB~~~WP_036938624.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_036938624.1~~~fluoroquinolone~~~unknown MIKEPLQGGKLAIMTIALALATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRFGEVRLFMWSTALFALTSWLCGISQSLEMLIFFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSVTIVVAPILGPILGGYISDNYHWGWIFFINVPFGVLIIMCITNTLAGRETKTEIKPIDTIGLVLLVVGIGALQIMLDQGKELDWFNSTEIIVLTIVAVVALSFLIVWELTDDHPVIDLSLFKSRNFTIGCVTLSLAYMIYFGTIVLLPLLLQEVFGYTATWAGLASASVGLLPLIITPIIGKFGGKVDLRYIISFSFIMFSVCFYWRAYTFEPGMDFATVAWPQFWQGLAVACFFMPLTTMTLSGLPPEKMASASSLSNFLRTLAGAIGASLTTTMWTQRESLHHETLVEKINPFDPDSQMAFQQMNDLGLSNEQASAYLAKTITEQGLIISANEIFWLAAGIFLVMMIVVWFAKPPFSPGK ->ARGMiner~~~macB~~~WP_000188142.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188142.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVPGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~acrB~~~WP_023210106.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_023210106.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGRVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~sdiA~~~WP_001154287.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154287.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMETAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFNEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~macA~~~WP_000746465.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746465.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGRIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~Escherichia coli acrA~~~WP_053887338.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_053887338.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAQLTVTRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVITGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~arnA~~~WP_048217907.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_048217907.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDAIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~TEM-1~~~ANG12568.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG12568.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTVRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtB~~~WP_032216239.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_032216239.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQISDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKVEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALMIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~mecR1~~~EUZ88131.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~EUZ88131.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MCNFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~macB~~~WP_000188195.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188195.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRNLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~OpmH~~~WP_058474090.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~WP_058474090.1~~~triclosan~~~unknown MKRSLLFCILTLGLSTHIHATDLMDIYQQALENDTVFKNAYDTYMSSTEAIPQARAALFPQVGINSQVGRNLQSIQAGGLSTNLYYGNTVWQVTASQAVFNYQAWAKVSQAKASVKAAQATFNNAAQDLILRTAKAYFDVLFAKDTLDFAEAKKRANKRQYEQASQRFQVGLDAITSVYEAKAAYDQSIATVISARNNQINQSENLRKLTNHVYETLAPLRDSKIPLIKPEPNDVNQWIDTGLKQNYKLYAAKYNLEVAKENVKSLSAGNWPVFSIQTNAAQTHNTVDSTSFFAPTKQTQASVALAMNFPVFQGGLVQSQTRQAQYGFQSTSEQLEQTYRDVVVNSRIAFNTITDGISKVKADRQTIISQQNSLESTEAQFEVGTRTMVDVVNAQQRLFEAQEQLARDQYDLINAILTLKYLAGTLNVNDIELVNSWLATTRVNGMASVSSATTK ->ARGMiner~~~adeB~~~WP_000987618.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987618.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSSTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTAILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIATGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~OprN~~~WP_044062270.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_044062270.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRTRLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~vanZF~~~WP_064017434.1~~~glycopeptide unknown +>ARGMiner~~~vanZF~~~WP_064017434.1~~~glycopeptide~~~unknown MLTLTVLYTYFCTIIFCIVFQIGFLVKVQQKLSIKHFLWVYVFLFYLALVYMVTGIGNIWVIGRYETLIRISEINLLPFASEGSTTYILNIILFMPLGFLLPTIWPQFRSIKNVAYTGLFFSLAIELSQLLNHRITDIDDLLMNTLGAIIGYLIYSVLFVMVHKRGEKKLDIKSSLVVQYEAVFYLVCSFIGMILLHYPILFRKII ->ARGMiner~~~tetX~~~WP_064971494.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~WP_064971494.1~~~glycylcycline;tetracycline~~~unknown MTMRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHATLSFVGLPTRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~MexA~~~WP_049305202.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_049305202.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTICAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~emrB~~~WP_001305258.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001305258.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPYNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtE~~~WP_001081999.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001081999.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENTAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSLVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~novA~~~WP_031094015.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_031094015.1~~~aminocoumarin~~~unknown MKPDEPTWTPPAADAGQPPAELRRIFRLFRPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMIFTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTKAFSEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTLQSGGTAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFARIFEYLDLEVDITEPEKPVRLDKIRGEITFEDVTFSYDETSGPTLRGIDVAVPAGNSLAVVGPTGSGKSTLSYLVPRLYDVTAGRVTIDGVDVRDLDFGTLARAVGVVSQETYLFHASVAENLRFAKPDATDEEIEAAARTAQIHDHIAGLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSKGRTTLTIAHRLSTVRDADQIVVLDDGRAAERGTHEELLAQDGRYAALIRRDSHPAPAAT ->ARGMiner~~~BcI~~~WP_000438271.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_000438271.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTDVENLKGMMILKNKRMLKIGICVGILSLSLTSLEAFKGGPLQVEAKEKTGQVKHKHQATYKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKEDLVDYSPVTEKHIDTGMTLGEIAEAAVRNSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPLHKCNILTGWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEMIVKALK ->ARGMiner~~~mdtA~~~EPI76355.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~EPI76355.1~~~aminocoumarin~~~unknown MFHNSSIFLPSLLTTIEEATFRKTFQDEKLIPMKGSNTFRWAIAIGVVVAAAAFWFWHSRSESPTAAPGVAAQAPHTAAAGRRGMRDGPLAPVQAATATTQAVPRYLSGLGTVTAANTVTVRSRVDGQLISLHFQEGQQVNAGDLLAQIDPSQFKVALAQAQGQLAKDNATLANARRDLARYQQLAKTNLVSRQELDAQQALVNETQGTIKADEANVASAQLQLDWSRITAPVSGRVGLKQVDVGNQISSSDTAGIVVITQTHPIDLIFTLPESDIATVVQAQKAGKTLVVEAWDRTNSHKLSEGVLLSLDNQIDPTTGTIKIKARFTNQDDTLFPNQFVNARMLVDTEQNAVVVPAAAVQMGNEGHFVWVLNDENNVSKKRVKIGIQDNRNVVISAGLSAGDRVVTDGIDRLTEGAKVEVVEPQTTVADEKSPSRHEGQKGARA ->ARGMiner~~~hmrM~~~WP_042003705.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_042003705.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVQQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~macA~~~WP_050171041.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050171041.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQASYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLDMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERVMGGPPRR ->ARGMiner~~~CRP~~~WP_011412109.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_011412109.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSSQMASRLQVTSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~TEM-1~~~ANG28903.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG28903.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHSRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPVMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~Erm(38)~~~WP_011727797.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(38)~~~WP_011727797.1~~~macrolide;lincosamide;streptogramin~~~unknown MSTPHHGRHELGQNFLSDRRVIADIVEIVSRTNGPIIEIGAGDGALTIPLQRLARPLTAVEVDARRARRLAQRTARSAPGPASRPTEVVAADFLRYPLPRSPHVVVGNLPFHLTTAILRRLLHGPGWTTAVLLMQWEVARRRAAVGGATMMTAQWWPWFEFGLARKVSAASFTPRPAVDAGLLTITRRSRPLVDVADRARYQALVHRVFTGRGHGMAQIRQRLPTPVPRTWLRANGIAPNSLPRQLSAAQWAALFEQTRLTGAQRVDRPRDVQHGRAHRRRGGEVDRPATHHKQTGPVVGQRQPQRGRDADADPDDQRTAPPVTRHHQGERRDEDQADHQDRPLTGEHLAGEFLWRHASFDSSASTTLVSRKARVNGPTPPGLGDT ->ARGMiner~~~SHV-1~~~AGL91276.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-1~~~AGL91276.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRCVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~FosB~~~WP_000920234.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000920234.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYEDVLLGKLLLTGKKTAYFKLAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFNYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~CTX-M-14~~~ADU56216.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-14~~~ADU56216.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQSSAVRQKLAALEKSSGGRQGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~adeG~~~WP_038759009.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_038759009.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKAAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRAAQGNARA ->ARGMiner~~~EXO beta-lactamase~~~WP_030650118.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_030650118.1~~~penam~~~unknown MRKPTSSLTRRSVIGAGLGLGGVLALGSTTASAASAGTTPSADPAAVRRLRALEREHQARIGVFALNLATGASLLHRAHELFPMCSVFKTLAAAAVLRDLDHDGSQLSRVIRYTEADVTKSGHAPVTKDHIGTGMTVRDLCDATIRYSDNCAANLLLRELGGPTAVTRFCRSLGDPVTRLDRWEPELNSGEPDRRTDTTSPYAIARTYQRLVLGNALNRPDRALLTDWLLRNTTTLTTFRTGLPKGWTVADKSGGGDTYGTRNEAAIAWTPDGAPVLLTALTHKPSLPTAPGDTPLIIKLATVLSEAVAPA ->ARGMiner~~~Enterobacter cloacae acrA~~~NC_002695.1.912781.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Enterobacter cloacae acrA~~~NC_002695.1.912781.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKYIATSVVAMLLLSGCDNTQSNNSSPSETEVGVVTVKSQPVSVVSELTGRTSAALSAEVRPQVGGIIQKRLFKEGDLVKAGQPLYQIDAASYQAAWNEARAALQQAQALVKADCQKAQRYARLVKENGVSQQDADDAQSTCAQDKASVAAKKAALETARINLDWTTVTAPISGRIGISSVTPGALVTASQDTALTTIRGLDTMYVDLTRSSVDLLRLRKQSLATNSDTMSVSLILEDGTTYSEKGRLELTEVAVDESTGSVTLRAIFPNPQQQLLPGMFVRARVDEGVMEDAILAPQQGVTRDAKGNATALVVNKDNKVEQRTLETGETYGDKWLVLNGLHSGDRLIVEGSAKVTSGQTVKAVEVQANGGNA ->ARGMiner~~~mepA~~~WP_044292157.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_044292157.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIVYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMSAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~sdiA~~~WP_023178742.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023178742.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRATLLRFQEMATAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRKGVTQCVMLPNRALGFLSVSRGSLRCSSFPYDEVELRLQLLARESLSALTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~nalD~~~WP_033939530.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_033939530.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPQRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~macB~~~WP_058107049.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_058107049.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGSTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061823690.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061823690.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVMLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~vanG~~~WP_044689514.1~~~glycopeptide unknown +>ARGMiner~~~vanG~~~WP_044689514.1~~~glycopeptide~~~unknown MQNKKIAVIFGGNSTEYEVSLQSASAVFENINTNKFDIIPIGITRSGEWYHYTGEKEKILNNTWFEDSKNLCPVVVSQNRSVKGFLEIASDKYRIIKVDLVFPVLHGKNGEDGTLQGIFELAGIPVVGCDTLSSALCMDKDRAHKLVSLAGISVPKSVTFKRFNEEAAMKEIEANLIYPLFIKPVRAGSSFGITKVIEKQELDAAIELAFEHDTEVIVEETINGFEVGCAVLGIDELIVGRVDEIELSSGFFDYTEKYTLKSSKIYMPARIDAEAEKRIQEAAVTIYKALGCSGFSRVDMFYTPSGEIVFNEVNTIPGFTSHSRYPNMMKGIGLSFSQMLDKLIGLYVE ->ARGMiner~~~nalD~~~WP_016253089.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_016253089.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDADAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~MexB~~~WP_057400785.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_057400785.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILRLPINQYPSIAPPAIAISVAYPGASAQTVQDTVVQVIEQQLNGIDHLRYVSSESNSDGTMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRIWLDPARLNNYNLTPIDVKTAIAAQNVQVSSGQLGGLPALPGTQLNATIIGKTRLQTAEEFDKILLKVNKDGSQVRLRDVAEVGLGGENYSINAQFNGAPASGLAVKLATGANALDTAKALRATIDSLKPFFPQGMEVVFPYDTTPVVTESIKGVVHTLVEAVALVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGVPKRGFFGWFNRNFDRGVRGYERGVGNILQRKIPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTAQRTQVVVDEMREFLLRPGKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLRPWDERNADNNVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMQARNQFLGMAAQSKILTQVRPNGLNDEPQYQLEIDDEKASALGITIADINNTLSIALGSSYVNDFIDRGRVKKVYVQGKPGARMGPEDLQKWYVRNASGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMLEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSIGQRKIADQDDAIETSKEAG ->ARGMiner~~~macB~~~WP_064375412.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064375412.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGNVEVLKGITLNIAAGEMVAIVGASGSGKSTLMNILGCLDKPTGGTYRVAGTDVARLNGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGAERKARLARAHELLVRLGLGDRAEYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMGILHQLKAQGHTVIIVTHDPQVAAQAERVIEIHDGEIVRNPPALSPAQGGVLRQRTAAEPSAWRQFSSGFREALIMAWRAMAANKMRTLLTMLGIIIGIASVVLIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQSLKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKARVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVNEGYDSAVAEQQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLLIAFVLQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~imiH~~~WP_054544101.1~~~carbapenem unknown +>ARGMiner~~~imiH~~~WP_054544101.1~~~carbapenem~~~unknown MKGWIKCGLAGAVVLVASFWGGSVRAAGMSLTQVSGPVYVVEDNYYIQENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRQPVLEVINTNYHTDRAGGNAYWKSIGAKVVATRQTRDLMKSDWAEIVAFTRKGLPDYPDLPLVLPNVVHDGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDQQVLYGNCILKEKLGNLSFADVKAYPQTIERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAVPQS ->ARGMiner~~~macA~~~WP_000746447.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746447.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSLQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVSDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~tolC~~~WP_049107026.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049107026.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDAKDQNSDVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSSVSNNSYSGSKNTTQDRDIGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVMDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~adeG~~~WP_005128253.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_005128253.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFNNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPDSQITANATPQPQPTDKTSTPAKG ->ARGMiner~~~L1 beta-lactamase~~~WP_049454169.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049454169.1~~~cephalosporin~~~unknown MRFTLLAFALAVALPAAHASAAEAPLPQLRAYTVDASWLQPIAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASAEALTCNAYADAAEKKFDAQLARETAGTR ->ARGMiner~~~emrB~~~WP_039077609.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_039077609.1~~~fluoroquinolone~~~unknown MQQRKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFAIASWACGVSNSLSMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVLVVLLTLQSLRGRETRTEQRRIDGVGLALLVIGIGSLQVMLDQGKELDWFNSREIIILTIVAVVAISFLVVWELTDENPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVLLSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFARTLAGSIGTSITTTMWTNRESMHHAQLTESVNPYNPNAQEMYNQLQGLGMSQQQASGWIAQQITNQGLIISANEIFWMSAAVFLVLLGLVWFARPPFGAGGGGGGAH ->ARGMiner~~~macA~~~WP_023237472.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023237472.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~L1 beta-lactamase~~~KIS40949.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~KIS40949.1~~~cephalosporin~~~unknown MKEAHASFWASFKRTWIMRFTLLAFALAVALPAVHASAAEAPLPQLRAYTVDASWLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASAEALTCNAYADAAEKKFDAQLARETAGTR ->ARGMiner~~~tolC~~~WP_042289286.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_042289286.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTFQTDQQTLILNTASAYFKVLNAIDVLSYTQAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDSFKTDKPQPVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTRGAAGSQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYNYLINQLNIKSALGTLNEQDLVALNNTLGKPIPTSPDSVAPETPQQDAAVDNFNGNATAVQPASARTTSGSNPFRN ->ARGMiner~~~lsaB~~~WP_061655514.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_061655514.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGKYEYSGKILASVEFNYFPYSVSDKNKFTHEILEEICPQAADWELLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNAGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEKATNERLQKDIGRLKQSSKRSASWSNDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNAEKTESLKLEQLQFKSNELVTLVDVSVKYNDQIVNEPISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVTLGTGLIISYVQQDTSHLKGSLSGFIEEHKIDETLFKSILSKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKIFQQTVATKTISM ->ARGMiner~~~emrB~~~WP_024257138.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_024257138.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTPITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macB~~~WP_032419142.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032419142.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLVLLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-1~~~ANG20652.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG20652.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSSQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPVMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtH~~~WP_001538552.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_001538552.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKCFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~mdtG~~~NP_753232~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~NP_753232~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~macB~~~WP_052985973.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_052985973.1~~~macrolide~~~unknown MTPLLELKDFRRSYPAGNEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_045345709.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045345709.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAITHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~y56 beta-lactamase~~~WP_050131597.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050131597.1~~~cephalosporin;penam~~~unknown MKHSSLRRSLLLAGITLPLVNFSLPTWAAAIPGSLDKQLAALEHSANGRLGIAMINTANGTKILYRGAQRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLVKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATKIILSQIS ->ARGMiner~~~acrB~~~WP_048666931.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_048666931.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGFGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~TEM-1~~~ANG18665.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG18665.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNKQIAEIGASLIKHW ->ARGMiner~~~mdtH~~~WP_003036166.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_003036166.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYVGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSLKRPTRGMLEPDA ->ARGMiner~~~ykkD~~~WP_003218500.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkD~~~WP_003218500.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MLHWISLLCAGCLEMAGVALMNQYAKEKSVKWVLLIIVGFAASFSLLSYAMETIPMGTAYAVWTGIGTAGGALVGILFYKEPKDAKRIFFIALILCSAVGLKILS ->ARGMiner~~~tolC~~~WP_000735280.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735280.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNTLSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macB~~~WP_041910640.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_041910640.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLSVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWAQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanHF~~~WP_037997109.1~~~glycopeptide unknown +>ARGMiner~~~vanHF~~~WP_037997109.1~~~glycopeptide~~~unknown MKKIGITIYGCERDEAEVFKELSPRFGVIPTITSSAVSETNATLSRGNQCISVGHKSEISKRVLIALKDCGVKYISTRSIGCNHIDIQAAESLGITVGNVRYSPDSVADYTLMLMLMAIRKAKSVMSRVEKHDFRLDHVRGKELRDMTVGVLGTGRIGKAVIERLHGFGCRILAYGHSQEVAANYVSFNELLQNSDLITIHVPLNADTYHMIGREQINAMKQGAFLINTARGALLDTNALIQALENGKLGGAALDVLEGEEGFFYFDHSRKSIDHPFILKLQKMPNVIITPHTAYYTERALYETVEKTILNCLEFERREALV ->ARGMiner~~~mdtH~~~WP_048211221.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_048211221.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAVGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLIMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALSQPELPWMMLGIIGFITFLALGWQFSHKRPSRGMLEPDA ->ARGMiner~~~TEM-1~~~ANG13130.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG13130.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFVADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~emrA~~~WP_001275599.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001275599.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADHTDFVKEGDVLVTLDQTDAKQAFERAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSHRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTVITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~macA~~~WP_023217515.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023217515.1~~~macrolide~~~unknown MRAKGKKFKKRYLAIILILLVGGMVSWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~tolC~~~EXG88953.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EXG88953.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVAHSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKTSVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~mdtN~~~WP_023184036.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_023184036.1~~~nucleoside;acridinedye~~~unknown MESSPKKIPRNKVPALVLVLLALAVMVFVIWRVDSAPSTSDAYASADTIDVVPEVSGRIVELAVVDNQQVKKGDLLFRIDPRPYEASLAKAEASLAALDKQIMLTQRSVDAQKYAASSVEATVAKARAAAKQASDTLRRTEPLLSEGFVSAEDVDRARTAQRAAEADLNTVLLQAQQAASAVSGVDALVAQRVAVQADIALTKLHLDMATVRAPFDGRVVSLKTSVGQFASAMKPIFTLIDTDHWYIIANFRETELKTIHAGTPATIRLMSDSGKTFQGKVDSIGFGVLPDDGGMVIGGLPRVSRSINWVRVAQRFPVKIMVEKPDVALFRIGASAVATLEPQ ->ARGMiner~~~lsaB~~~WP_010860999.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_010860999.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYDYSGKITSSVEFNYFPYHVADKNKYTYEIFEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLTENQFLLIDEPTNHLDTDARKMVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSTWKLNFDRQQEHEEATNQRLQRDIGRLKQSSKRSAGWSNQVEASKNGATNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLGPLEFHSNELIVLADLSVKYDDQIVNKPISFKVEQGDRIILDGKNGSGKSSILKLILGDPIQHTGSINLGSGIIISYVQQDTSHLKGLLSDFIEEHEIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQRFNPTMVIVEHDQAFQQTVATKTIYM ->ARGMiner~~~emrB~~~WP_001307357.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001307357.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTKRRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macA~~~WP_001201755.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201755.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~vanHF~~~WP_039635344.1~~~glycopeptide unknown +>ARGMiner~~~vanHF~~~WP_039635344.1~~~glycopeptide~~~unknown MKNIGITIYGCERDEAEVFNELSPRFGVIPTITSSAVSETNAMLAHGNQCISVGHKSEISESILLALKESGVKYISTRSIGFNHIEVKAAESMGIAVGNVAYSPDSVADYTLMLMLMAIRNAKSIMSRAEKYDFSLDTVYGKELREMTVGVLGTGHIGKAVIERLRGFGCHVLAYGHSKEAAANYVSLNELLQKSDILTIHVPLGTDTYHMIGYEQIEVMKQGAFLINTARGGLVDTSALIKALENGRLGGAALDVLEGEEGFFYFDCTQKPIDNQFLLKLHKMPNVIITPHTAYYTERALYDTVEKTILNCLDFERRDTLE ->ARGMiner~~~emrA~~~WP_024170006.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_024170006.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMPGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~mdtF~~~WP_001480789.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001480789.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVGENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mdtF~~~WP_000024913.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_000024913.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLTVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~sdiA~~~WP_014170708.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_014170708.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRECSLRFQKLTCADEVYQELQRQTQALEFDYYALCVRHPVPFTRPKISVHTTYPPQWQAQYQSKNYFAIDPVLKPENFIQGHLPWTDELFADAEELWHSAREHGLRTGITQCLMLPNHALGFLSVSRTSMLESPLDHEEIELRLQLLVQVALTSLIRFEDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~sul2~~~WP_057171990.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_057171990.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPXTSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADXIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~mefA~~~WP_054373854.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_054373854.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLFLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~macA~~~WP_001201746.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201746.1~~~macrolide~~~unknown MRAKGKKFKKRYLIIILILLVGGMASWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPEQAENQIKEVEATLMELNAERQQAAAELKLARVTLTRQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIELMDVKNVLIIPLAALGEPVGDNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIISESRPGATP ->ARGMiner~~~mdtF~~~WP_001486206.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001486206.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTPAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~MexB~~~WP_052966923.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_052966923.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGNMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKSVKNFLMVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNYNLTPVDVKTAIAAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNKDGSQVRVGDVAEVGLGGENYSISAQFNGAPASGLAVKLANGANALDTAKALRNTIDTLKPFFPEGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRSFDRGVKSYERGVGNMLAHKAPYLLAYLIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSSQRTQVVVDEMREFLLRPSKEGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLKPWEERNADNSVFKLAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKVLSQVRPNGLNDEPQYQLEIDDEKASALGVTLTDINNTLSIALGSSYVNDFIDRGRVKKVYIQGLPGARMSPEDLKKWYVRNSAGTMVPFSSFAKGEWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEALAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSMGQRKVADQDDAIEPSKEAG ->ARGMiner~~~arnA~~~WP_000648770.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000648770.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAGLGIPVYAPDNVNHPIWIDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~adeG~~~WP_045720115.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_045720115.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPVYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRAAQGNARA ->ARGMiner~~~Escherichia coli mdfA~~~KFH86722.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~KFH86722.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQXGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIITCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMAAGLSLYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLLLMVIFLKDKQMGNSHEG ->ARGMiner~~~mdtO~~~WP_021569750.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021569750.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTNSLTPLPETRIEREALALQKLNVFCLADDADWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAIAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPATKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIISTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~sdiA~~~ESL87268.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~ESL87268.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLYVLGYIHHNNDYLYQRLHSVSMRDIDFFTWRRECFLRFQEMTCAEEVYQELQRQTQALEFDYYALCVRHPVPFTRPRTSVHSSYPQQWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFTDAQQLWDGARDHGLRKGITQCLMMPNHALGFLSVSCTSLQAHSISSEEVELRLQMLVQMALTTLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKIQIACYAAATGLI ->ARGMiner~~~acrE~~~WP_001364251.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_001364251.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLVYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~FosB3~~~WP_024573196.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_024573196.1~~~fosfomycin~~~unknown MEIKGINHLLFSVSHLDTSIDFYQKVFGAKLLVKGRTTAYFDMNGIWLALNEEPDIPRNDIKLSYTHIAFTIEDHEFEEVSAKLKRLHVNILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMHFYDETAF ->ARGMiner~~~mdtH~~~WP_016156184.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_016156184.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSLKRPTRGMLEPDA ->ARGMiner~~~macB~~~WP_000188119.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188119.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGIYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKENVAGGTEPVVKTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTITGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtB~~~CP001138.1.gene2235.p01~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~CP001138.1.gene2235.p01~~~aminocoumarin~~~unknown MQVLPPGSTGGPSRLFILRPVATTLLMAAILLAGIIGYRFLPVAALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVVTLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPIYSKVNPADPPIMTLAVTSNAMPMTQVEDMVETRVAQKISQVSGVGLVTLAGGQRPAVRVKLNAQAVAALGLTSETVRTAITGANVNSAKGSLDGPERAVTLSANDQMQSADEYRRLIIAYQNGAPVRLGDVATVEQGAENSWLGAWANQAPAIVMNVQRQPGANIIATADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVRDTQFELMLAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAVTLAVAILISAVVSLTLTPMMCARMLSQQSLRKQNRFSRACERMFDRVIASYGRGLAKVLNHPWLTLSVAFATLLLSVMLWIVIPKGFFPVQDNGIIQGTLQAPQSSSYASMAQRQRQVAERILQDPAVQSLTTFVGVDGANPTLNSARLQINLKPLDARDDRVQQVISRLQTAVATIPGVALYLQPTQDLTIDTQVSRTQYQFTLQATTLDALSHWVPKLQNALQSLPQLSEVSSDWQDRGLAAWVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTASTPGLAALETIRLTSRDGGTVPLSAIARIEQRFAPLSINHLDQFPVTTFSFNVPEGYSLGDAVQAILDTEKTLALPADITTQFQGSTLAFQAALGSTVWLIVAAVVAMYIVLGVLYESFIHPITILSTLPTAGVGALLALIIAGSELDIIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIFQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIAMVGGLLVSQVLTLFTTPVIYLLFDRLSLYVKSRFPRHKEEA ->ARGMiner~~~mdtG~~~WP_021522510.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_021522510.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITTLIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~arlR~~~WP_000192138.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~arlR~~~WP_000192138.1~~~fluoroquinolone;acridinedye~~~unknown MTQILIVEDEQNLVRFLELELTHENYNVDTEYDGQDGLDKALSHYYDLIILDLMLPSINGLEICRKIRQQQSTPIIIITAKSDTYDKVAGLDYGADDYIVKPFDIEELLARIRAILRRQPQKDIIDVNGITIDKNAFKVTVNGAEIELTKTEYDLLYLLAENKNHVMQREQILNHVWGYNSEVETNVVDVYIRYLRNKLKPYDRDKMIETVRGVGYVIR ->ARGMiner~~~mdtH~~~YP_852164~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~YP_852164~~~fluoroquinolone~~~unknown MLIFYFPGGEMSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~adeG~~~WP_032025664.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032025664.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAAPVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~Escherichia coli acrA~~~WP_050194910.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_050194910.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYCIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQSTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGDKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~FosX~~~WP_003733115.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_003733115.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLREIFNAEEIYSSGDQTFSLSKEKFFLIAGLWICIMEGDSLQERTYNHIAFQIQSEEVDEYIERIKALGVEIKPERPRVEGEGRSIYFYDFDNHLFELHAGTLEERLKRYHE ->ARGMiner~~~smeR~~~WP_003655179.1~~~aminoglycoside;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~smeR~~~WP_003655179.1~~~aminoglycoside;cephalosporin;cephamycin;penam~~~unknown MKHVMLVEDEVELAHLVRDYLEAAGFEVSMFHDGQDAYASFQQRKPNLMVLDLMVPRMDGLTICRKVREQSDLPIIMVTARTEEIDRVLGLNMGADDYVCKPFSPKELVARVQAVLRRLERKAEPEQNDSFRIDKAQQRIWYQQKSLSLTPTEFRLLELFLEHVGQVYSRAQLLDHINPDSFDVADRVIDSHIKNLRRKISEAAETGNRHEWIQAVYGVGYRFEYPEE ->ARGMiner~~~Escherichia coli ampC~~~WP_050009918.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_050009918.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYCEGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~emrA~~~WP_050861353.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_050861353.1~~~fluoroquinolone~~~unknown MSANAENTTPQQPANKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHAEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAQTALASSVRQTRQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGTANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILGTSLENQPEVQQAATEVRNAWLALQRTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPADNLWVDANFKETQLAHMRIGQTATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLADHPLRIGLSTLVTVDTANRDGQILASQVRSTPAYESNAREISLDPVNKLIDDIVKANAG ->ARGMiner~~~mexW~~~YP_001266290~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexW~~~YP_001266290~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol~~~unknown MAFTDPFIRRPVLASVVSLLILLLGFQAWNKLQIRQYPQMENALITVTTAYPGANAETIQGYITQPLQQSLASAEGIDYMTSVSRQNFSIISIYARVGADSDRLFTELLAKANEVRNKLPQDSEDPVLSKEAADASALMYVSFYSKEMSNPQITDYLSRVIQPKLATLPGMAEAEILGNQVFAMRLWLDPVKLAGFGLSATDVTNAVRRYNFLSAAGEVKGEYVVTSVNASTELKSAEAFAALPLKTSGDSRVLLGDVARVEMGAENYDTVSSFDGTPSVYIGIKATPAANPLDVIKEVRRIMPELESQLPSALKVSIAYDATRFIQASIDEVIKTLGEAVLIVIVVVFLFLGALRSVLIPVVTIPLSMIGVLFFMQMMGYSLNLLTLLAMVLAIGLVVDDAIVVVENIHRHMEEGKSPFDAALEGAREIAMPVVSMTITLAAVYAPIGFLTGLTGALFKEFALTLAGAVVISGIVALTLSPMMCALLLRREQNPSGLAHRLDQLFERLKGRYQRVLHATLDSRPVVLVFAVIILCLIPVLLKFTQNELAPNEDQGVIFMMSSSPQPANLDYLNAYTDQFTPLFKAFPEYYSSFQINGFNGVQTGIGGFLLKPWNERERTQMELLPLVQAKLEEISGLQIFGFNLPSLPGTGEGLPFQFVINTAGDYPALLDVAQRIKTRAQASGKFAFLDIDLAFDKPEVVVDIDRAKAAQMGVSMDTLGGTLATLLGEAEINRFTLEGRSYKVIAQVERPYRDNPGWLNNYYVKNEQGQLLPLSTLITLTDRARPRQLNQFQQLNAAIIQGVPMVSLGEALKTVQDIAREEAPEGFAFDYAGVARQYVQEGSALWVTFGLALAIIFLVLAAQFESFRDPLVILVTVPLSICGALLPLFLGVSSMNIYTQVGLVTLIGLISKHGILIVEFANQLRDERGLSVREAIEEAAAIRLRPVLMTTAAMVFGMVPLILATGAGAVSRFDIGMVIATGMSIGTLFTLFVLPCVYTLLAHKASAKAPAIA ->ARGMiner~~~TEM-122~~~ANG16011.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-122~~~ANG16011.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHATRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDEQNRQIAEIGASLIKHW ->ARGMiner~~~L1 beta-lactamase~~~WP_053463073.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_053463073.1~~~cephalosporin~~~unknown MRLCLTPLALAATLVFDVAAADAPLPQLRAYTVDASWLQPMEPLQIADHTWQIGTENLTALLVQTTEGAVLLDGGMPQMAGHLLDNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRTGATVAANAETAVLLARGGSNDLHFGDDITYPPASADRIIMDGERVTVGGMVFTAHFMPGHTPGSTAWTWTDSRDGKPVRIAYADSLSAPGYQLQHNARYPRLVEDYRRSFTTVRGLPCDLLLTPHPGASRWNYAAGAEAGANVLTCKAYADAAEKTFDAQLAKEPAGAR ->ARGMiner~~~mdtM~~~WP_001399608.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001399608.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIIPSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mexH~~~WP_023119524.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_023119524.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSIAAPPVPVAGR ->ARGMiner~~~msbA~~~WP_061731520.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_061731520.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHESVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~MexE~~~WP_057389417.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_057389417.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKTPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAATKDNATRNEPRG ->ARGMiner~~~macB~~~WP_021568711.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_021568711.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLCVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexF~~~WP_057401815.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_057401815.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVEVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGIAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARAQRLQNLPAEMH ->ARGMiner~~~cmeB~~~WP_002854690.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002854690.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVSGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMIGIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKIHE ->ARGMiner~~~floR~~~WP_034167813.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_034167813.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYALFTSILAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTYFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~macB~~~WP_063431065.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063431065.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPPATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~msrA~~~WP_031922735.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_031922735.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETVGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSLHDIT ->ARGMiner~~~tolC~~~WP_044366757.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_044366757.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLLISATLGGLSTTASADDLAQIYDQAKQNDPQLLSAAAQRDAAFEAINSSRSSLLPQINLTAGYNINRSDVDPRDSDKLSAGINFSQELYDRSSWVSLDTAEKQARQADAQYANTQQALILRVAQAYFDVLSAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDTVLADEVLAENSLINSYESLREITGQEHTNLSILDTNRFSTSRTTESMEALIEQAQEKNLSLLSARISQDVAKDNISLASSGHLPSLTLDGGYNYGREFNDKYSSYNTYNENNDFNIGLNLTVPLYSGGNVSSQTKQAEYAYVAASQDLEAAYRSVVKNVRAYNNNINGSIGSVRAYEQSVISAQSALDATEAGFDVGTRTIVDVLDATRTLYSVKKNLSDARYSYIISVLQLRQAVGTLSEQDVIDVNAGLKAIKK ->ARGMiner~~~tolC~~~WP_000735335.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735335.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSDQDPRESDLLSAGINFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDNAKNTSSEEYNDFKIGVNLKVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~msbA~~~WP_000597229.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597229.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFICQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~TEM-1~~~ANG27598.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG27598.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKIAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macB~~~WP_001571645.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001571645.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGIPLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~msrA~~~WP_031899389.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_031899389.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETVGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSAAKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSLHDIT ->ARGMiner~~~tolC~~~EKP59343.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EKP59343.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLKLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRNDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~vanZA~~~WP_009615701.1~~~glycopeptide unknown +>ARGMiner~~~vanZA~~~WP_009615701.1~~~glycopeptide~~~unknown MGKILSRGFLALYLVILIWLVLFKLQYNILSVFNYHHRSLNLIPFAAPSIVNGSFGEMRDNVIIFIPFGLLLNVNFKKVGFSPKLAFILVFSLAAELIQFIFAIGATDITDVITNTVGGFLGLELYGLSNKYINSKNLDRVIIFVGTLLLVLLLYIRIHILRLIY ->ARGMiner~~~oprA~~~AHE27856.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline unknown +>ARGMiner~~~oprA~~~AHE27856.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline~~~unknown MKAMMKPRARRRGARAARRPNGPRAWPLAVAAALVAGCTLAPRYERPAAPVPAHYWSTAAGAPREAGPAAAGGPRAMPDARRGDAGRDARDARLDDWRAYFTDQALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFSGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGADAERLRLAQRRYDSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDGCA ->ARGMiner~~~lmrB~~~AE016830.1.gene424.p01~~~lincosamide unknown +>ARGMiner~~~lmrB~~~AE016830.1.gene424.p01~~~lincosamide~~~unknown MQFNLKLTEKGAIYVTSQQPVDIHGKPYNRSLLVGVLLIGTFCTILNQTLLTTALPTLMKEFDISASSVQWLTTGFLLVNGIMIPISAWLINKFSSKKLYITAMSTFLIGTIICFVAQDFGMLLTGRLVQAAGVGVSMPLLQTIMLSIFPPEKRGAAMGTTGIVIGLAPALGPTLSGWIIDSYTWRDLFGMVIPIVVLVLILASFLMKNVIQLSNPSIDVLSVILSTLGFGSLLYGFSSVGDKGWGSPQVYGFLIVGAIVLCLFTYRQLHLEQPFLELRVFKSKVFTVAAILSGVTNMAMIGAEMVLPLYIQNIRGESAFHSGLMLLPGALVMGLMMPVTGRIFDKIGARRLAITGMFILTAATLPFAFLTKATPIIYIIVLYAIRMFGISMVMMPVTTSGMNALPMNLLSHGTAVNNTFRQVASSIGTAVLISVLTNVTKDGLPASDLLKTAPLTYRDQATNATLNGYHAAFFVATIFGVLGLAITFFLNKKEAMPVKEVGAMK ->ARGMiner~~~macA~~~WP_033145076.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_033145076.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVILAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAHVTLTRQLALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~mgrA~~~WP_002459784.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_002459784.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEHHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLSILWDESPVNVKKVVTELALDTGTVSPLLKRMEHVDLIKRERSEVDQREVFIHLTEKSEGIKPDLKDASSKVATASSLSADEAQELNRLLGKVINAFTENKE ->ARGMiner~~~sdiA~~~WP_001154284.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154284.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMETAEEVYHEIELQAQQLEFDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFNEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~mdtP~~~ZP_03033545~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~ZP_03033545~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPFYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTLRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~tolC~~~WP_038633922.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_038633922.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQSIFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLDLTASTGVSDTSYSGSKTNTSQYDDSNMGQNKIGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTIAPQNAQQDAAADGYTSNSTTPAAQPTAARTTSSNGTNPFRN ->ARGMiner~~~mecA~~~WP_001620256.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_001620256.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGIGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~vanRO~~~WP_057294159.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_057294159.1~~~glycopeptide~~~unknown MRVLIVEDEPYLAEAIRDGLRLEAIASDIAGDGDTALELLGLNAYDIAVLDRDIPGPSGDEVAQRVVASGSGMPILMLTAADRLDDKASGFELGADDYLTKPFELRELVLRLRALDRRRAHHRPPVREIAGLRLDPFRREVYRDDRYVALTRKQFAVLEVLVAAEGGVVSAEDLLERAWDENADPFTNAVRITVSALRKRLGEPWIIATVPGVGYRIDTGDGSE ->ARGMiner~~~MexB~~~WP_034153081.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_034153081.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNKYNLTPGDVSTAISAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNQDGSQVRVGDVADVGLGGENSSISAQFNGSPASGLAVKLANGANALDTAKALRKTIDDLKPFFPEGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVMVALIFTPALCATMLKAIPKGEHGTPKKGFFGWFNRNFDRGVQSYERGVGNMLSRKAPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVIDEMRSYLLDKEAGAVSSVFTVNGFNFAGRGQSSGLAFIMLKPWDERNSDNSVFALAQRAQQHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDKLMEARNQFLGLAAQSKVLYQVRPNGLNDEPQYHLEIDDEKAQALGLSLTDINSTLSISFGSSYVNDFIDRGRVKKVYVQGQAGARMSPEDLKKWYVRNNAGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEVLGSPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALLATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSIGQRKIADEDETTETPKEAGQ ->ARGMiner~~~macB~~~WP_047606339.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047606339.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~WP_001716590.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001716590.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLATAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~CTX-M-22~~~AIC64478.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-22~~~AIC64478.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRLDVLASAAKIVTHGL ->ARGMiner~~~emrA~~~WP_038255191.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_038255191.1~~~fluoroquinolone~~~unknown MSANAEMQTPQQPASKKGKRKGALLLLTLLFVIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAKTQLASSVRQTRQQMINSKQLQANIEVKKTALSQAQTDLNRRIPLGSANLIGREELQHARDAVASAQAELDVAIQQYNANQAVVLNTRLEEQPAVQQAATEVRNAWLALERTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPANNLWVDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLAEHPLRIGLSTLVEVNTTDREGQILASQVRSSPAYESNAREISLDPVNQQINAIVQANAN ->ARGMiner~~~sdiA~~~WP_001154268.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154268.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~macB~~~WP_064161077.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064161077.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDLYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_054528571.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_054528571.1~~~macrolide~~~unknown MTALLELSHIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLYSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPPQKPSGGRDIAEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_063100897.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063100897.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDTTTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~iri~~~WP_019747678.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_019747678.1~~~rifamycin~~~unknown MSDVIIVGAGPTGLMLAGELRLQGVDVVVVDKDEEPTQFVRALGIHVRSIEIMEQRGLLDKFLAHGRKYPLGGFFAGISKPAPAHLDTAHGYVLGIPQPEIDRILAEHATEVGADIQRGKRVVAIRQDTDNVTAELSDGTTLHARYLVGCDGGRSTVRKLIDVGFPGEPSSADTLIGEMDVTMPADELAAVVAEIRETHKRFGVGPAGNGAFRVVVPAAEVADGRATPTTLDDIKQQLLAIAGTDFGVHSPRWLSRFGDATRLAEHYRRDRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPEGLLDTYESERRPVAADVLDNTRAQAELISTAAGPQAVRRLISELMEFEDVKRYLTEKITAISIRYDFGEGDDLLGRRLRNIALTRGNLYDLMRSGRGLLLDQGGQLSVDGWSDRADHIVDTSTELEAPAVLLRPDGHVAWVGDTQAELDTQLSTWFGRSARDRA ->ARGMiner~~~msbA~~~WP_000597236.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597236.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLAGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEYLYSIQNL ->ARGMiner~~~norA~~~WP_058342571.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_058342571.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFTNDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~acrB~~~WP_021553405.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_021553405.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAASGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~macB~~~WP_001400161.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001400161.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALAMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexE~~~WP_023125877.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_023125877.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVEPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~mecR1~~~CAA73541.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~CAA73541.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLCIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKDMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~mdtP~~~WP_021548296.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_021548296.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQFNDPQLDALIQRTRSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQVLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPIVEKK ->ARGMiner~~~novA~~~WP_030845774.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030845774.1~~~aminocoumarin~~~unknown MKPDTPPWTPPPDARSVTDRRPGEVRRILRLFHPYRARLALVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEGVDFSYDEKNGPTLTGIDLTVPAGSGLAVVGSTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATAEEIETAARAAQIHDHIASLPDGYDTLVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQAAIDALSAGRTTLTIAHRLSTVRDADQIVVLEGGRIAERGTHEDLLARDGRYAALIRRDAHPVPAPAT ->ARGMiner~~~mdtH~~~WP_036814260.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_036814260.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIHFVEQLGWAALVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVTGMLLRALGFALIALATEPWILWLACILSALGGTLFDPPRTALVIKLTRPHERGRFFSLLLMQDSAGAVIGALIGSWLLQYDFQLVCWTGAGVFVLAAIWNALFLPAYRISTTRTPIWEGMQRVIKDRRFFTYVLTLTGYFMLSVQVMLMFPIIVNEIAGTPAAVKWMYAIEATLSLTLLYPIARWSEKRFRLEQRLMAGLFLMSLSMFPVGLIGEINTLFGLICLFYLGTVTAEPARETLSASLADPRARGSYMGFSRLGLALGGALGYTGGGWLYDTGHALHMPQLPWFLLGIIGLITLYALHRQFNQRKIESAMLSGN ->ARGMiner~~~macB~~~WP_032280630.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032280630.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDGDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNIDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosA2~~~WP_047173263.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_047173263.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~H-NS~~~CP000308.1.gene1582.p01~~~macrolide;fluoroquinolone;cephalosporin;cephamycin;penam;tetracycline unknown +>ARGMiner~~~H-NS~~~CP000308.1.gene1582.p01~~~macrolide;fluoroquinolone;cephalosporin;cephamycin;penam;tetracycline~~~unknown MSEALKILNNIRTLRAQARECTLETLEEMLEKLEVVVNERREEESQSQAEIEERARKLQQYREMLIADGIDPNELLQASAAAKAAGKAKRPAKYQYKDENGELKTWTGQGRTPAVIKKAIEEQGKSLDDFLL ->ARGMiner~~~adeB~~~WP_002062515.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_002062515.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSYGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEVVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFTGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSAFGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~mdtH~~~WP_032651306.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032651306.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFMLCALFNGLFLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNNIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~macB~~~ZP_03033664~~~macrolide unknown +>ARGMiner~~~macB~~~ZP_03033664~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGNDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~SRT-2~~~WP_060419126.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_060419126.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAHAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFKDPASHYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTNNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAATHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPASAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIIQALEKR ->ARGMiner~~~macA~~~WP_020898591.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_020898591.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~arnA~~~WP_000860313.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860313.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACGARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtE~~~WP_016158877.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_016158877.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRKRILLIPLLFCGAILTACDDKSTENAAAMTPEVGVVTLSPGSVNVMSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLRTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIDATKAIGNQWIVTSGLQAGDRVIVSGLQRIHPGIKARAISSSQENASTESKQ ->ARGMiner~~~nalC~~~WP_003117466.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_003117466.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQSPAQG ->ARGMiner~~~mgrA~~~WP_037572629.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_037572629.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDHLNLKEQVCFSLYNAQRQVNRYYSNKIFKKYNLTYPQFLVLEILWNQSPVNVKKVVTDLALDTGTVSPLLKRMEQIDLIKRERSEIDQREVYVHLTEKSEKMKPELENASKTVAEASSLDPDEIKELNRLLDKIITAFSESK ->ARGMiner~~~macA~~~WP_015367497.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_015367497.1~~~macrolide~~~unknown MTLNGKRRKVWWLLALVVVIAAIWGWRILNAPLPQYQTLVARKSDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAENQIKEVDATLMELRAQLKQAQAERKLAQVTLARQQQLAQRQLVSRQDLDTAATDVAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLAEVKNVITIPLSALGDAIGDNRYNVRLLRNGEVKEREIVIGARNDTDVAVAKGLEEGDEVIISESTPGATK ->ARGMiner~~~tolC~~~WP_064397096.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064397096.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYSYTNGFRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKQAGIQDVTYQTDQQSLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTNKPSAVNALLKEAESRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASSGVSNTSYDGSKTRDNAQYRDNDAGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNALGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~Serratia marcescens Omp1~~~CP000034.1.gene2328.p01~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~CP000034.1.gene2328.p01~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDSARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEAQLLGNGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNISGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~mdtM~~~WP_001498005.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001498005.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRVGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNSGRLPFHLLAVVAGVIVVFPLAGLLNRVRQHQAAELAEER ->ARGMiner~~~FosB~~~WP_015239554.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_015239554.1~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHHRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFFI ->ARGMiner~~~FosB~~~WP_050763840.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_050763840.1~~~fosfomycin~~~unknown MIAKKVGDILPQLQGLNHLLFSVSDLEKSFCFYRDVLHAKPLVRGRKLAYFDLNGYWLALNEEPDIPRNEIAHSYTHMAFTITEESFDEWYAHLEKHGVTILHGRDRSERDKRSIYFIDPDGHKFELHTGTLQDRLAYYRDEKHHMTFFE ->ARGMiner~~~mecR1~~~WP_061824589.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_061824589.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQGKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~APH(6)-Id~~~WP_016750414.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_016750414.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIKDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAELYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~sdiA~~~WP_048266615.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_048266615.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIEFQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEVWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRSSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~macA~~~WP_000746460.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746460.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtO~~~WP_021557438.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021557438.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQVLNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAITEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQIDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAVALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~macB~~~WP_059215695.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059215695.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGVERKRRLARAQELLQRLGLEERVDYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPATENMNVVAGTESIVKMSSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQRQPWVASATPAVSQNLRLRYSNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNSRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFALQLFLPGWEIGFSPLALLLAFLCSTVTGVLFGWLPARNAARLDPVNALARE ->ARGMiner~~~arnA~~~WP_032184680.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032184680.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDITITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQSLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVELTDKPS ->ARGMiner~~~macB~~~WP_001425424.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001425424.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALAMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQLLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~vgaD~~~WP_009928691.1~~~streptogramin;pleuromutilin unknown +>ARGMiner~~~vgaD~~~WP_009928691.1~~~streptogramin;pleuromutilin~~~unknown MSTIEINQLKIEVADRVLVEIPHLLVSKKARIGIIGQNGLGKTTLMEVIAGAKEATSGTVTTQGKLAYIKQLSTDTSTKSGGEKTRKAIQHAMRQNPSVLLADEPTSNLDVESVKHLERQWSDFHGALIIISHDRAFLDALCTEIWEIKNQKIHVYKGNYHAYLEQKQQQENQAELVYKEFKNKKQQLQASQTHHEIEAGRIVKPGKRLNNKEASAFKAGKGTQQKKQHSTIKALEKRIERLGNVEKPHTTKPIKMITPDNRVIKKGNTILSVKETTYEIAGRKLFETKAFSIKAGDKVALIGENASGKTTFLKEIIQENPNLLCNTQAKIAYFDQELNGLNQTKSLLENISEISVQTKQVNREVLGSMHFKESDLHKEVRMLSGGERVKLLLSMLLVSDANFLILDEPTNYLDIYAMEALETLIKQFAGTVLFVSHDRTFVNHVAEQLLVIENNEMTFHRMTFAEYEESKAPSRITEEDKLILEMRMSEIAAKLMQPNLKPTEKAMLEQDYQEIITKRQQFS ->ARGMiner~~~bcrA~~~WP_000074581.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074581.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGENLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVHKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGKLLEEVSLDTLRKANRKYIEFQVNNDDKAVMLLENHLQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNDIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~macA~~~WP_064342401.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_064342401.1~~~macrolide~~~unknown MKLNGKRRKVWWLLAIVVLALAVWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALKKVDVGAQVSGQLKTLRVEIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERKLSATTLARQQQLAQRQLVSRQDLDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLSEVKNVITLPLSALGEAIGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVKGLEEGDEVIIGEGAAGAAK ->ARGMiner~~~norA~~~WP_017722561.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_017722561.1~~~fluoroquinolone;acridinedye~~~unknown MKKQFIILYFNIFLVFLGIGLVVPVLPVYLKDLGLKGSDLGILVAVFALAQMIISPFGGTLADKLGKKLIICIGLGLFAISEFLFAASHTFSLLIVSRILGGFSAGMVMPGVTGMIADISSGRDKAKNFGYMSAIINSGFILGPGLGGLLAEVSHRLPFYVAGFSGCLALILSIILIKNPKHETQDGFTKYQPELLTKIDWKVFLTPIILTLVLAFGLSSFETLFPLYTADKAQYSPIDISFAITGGGILGAVFQVFFFDKFMNYFKELTFITYSLLYSAIILLALTFVHSYWSIMLISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFIGPLVAGTLYDINFEFPLYMSIFVMVLGMLVIFIESQLRSRFRKT ->ARGMiner~~~BLA1~~~ALQ71041.1~~~penam unknown +>ARGMiner~~~BLA1~~~ALQ71041.1~~~penam~~~unknown MEQIYFGDCLLYVSKKSVKNLKGMMILKNKRMLKIGICVGILGLSLASLEAFTGGSLQVEAKEKKGQIKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQYSTEKLNDVITYTKEDLVDYSPVTEKHVDTGMTIGQIAEAAVRYSDNTAGNILFHQIGGPKGYENALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKTIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVVVNAF ->ARGMiner~~~mdtG~~~WP_000074176.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_000074176.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYSLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~y56 beta-lactamase~~~WP_050134246.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050134246.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITVPLLNFALPSWAVGAKLSLDNQLAELEKSSNGRLGLALINTGKGTKIHYRGGQRFPFCSTFKLMLVAAVLGHSQSQPNLLSKHITYHESDLLAYAPITRKHLAQGMTVAELCAATIQYSDNTAANLLIKQLGGLEMVNQFARSIGDQTFRLDRWEPELNTALPNDPRDTTPPAAMAASVNKLVLGDALAAPQREQLALWLKGNTTGAATIRAGAPTDWVIGDKTGSGDYGTTNDVAVLWQAKGAPLVLVVYFTQLKKAAEPRRDVLAAATKIVLAHLS ->ARGMiner~~~norB~~~WP_061107359.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_061107359.1~~~fluoroquinolone~~~unknown MTSTAYKGTNKLIVGIVFGVITFWLFAESMVNIVPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGSTLLIIGRIIQGLSAACIMPATLALMKTYFDGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGATFGWTSPITITMLVVFLVSAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSGITAVGIALMALTFIPGTLYTVLVFIGFALFGIGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLISVAITTPSAKKALELKAAKE ->ARGMiner~~~mdtN~~~WP_059340812.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_059340812.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGWVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~FosB~~~WP_002202278.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002202278.1~~~fosfomycin~~~unknown MLKGINHLCFSVSDLKKSIMFYEKVLEGKLLAKGRKLAYFNICGIWIALNEEAHIPRNEIHQSYTHIAFTVEQEDFNCLLKRLEENGVHILKGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYKDEKPHMTFY ->ARGMiner~~~adeG~~~WP_038751252.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_038751252.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAFAVVFITGLGTFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRAAQGNARA ->ARGMiner~~~acrB~~~gi:146387262:pdb:2HQD:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~gi:146387262:pdb:2HQD:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDAAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHHHHHH ->ARGMiner~~~FosB~~~WP_061676446.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_061676446.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLKDSITFYEKVLEGELLVKGRKLAYFNICGVWVALNEETHIPRNEIHESYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~norA~~~WP_059279894.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_059279894.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPHKATTDGFHQYQPELLTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIVIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~BLA1~~~WP_048555500.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_048555500.1~~~penam~~~unknown MIVLKNKKMLKIGMCVGILGLSITSLVTFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIARNLKDFTVGNALPHQKRNILTDWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNKAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~TEM-207~~~ANG17117.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG17117.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGGLLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~WP_033146662.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_033146662.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSSTPAAQPAAARTTTSASKGNNPFRN ->ARGMiner~~~hmrM~~~WP_001464399.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001464399.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMAPLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~mdtP~~~WP_001601125.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001601125.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTCIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~acrB~~~WP_023182822.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_023182822.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPSGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEEKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGDENKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSNGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~adeG~~~WP_044845762.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_044845762.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIATAAIATLAVVGLGTFGAMRVNANAPEKAAAPLPEVDVATVVPQTVTDWQGYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAETDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREATANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARNGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGTLVPGLYARVKVGGSAPHEALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLAAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDAPSAPLADNAKPAAPAKAES ->ARGMiner~~~norA~~~WP_049387474.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049387474.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVDGTLGVVAFIMSVLLIHNPQKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~lsaB~~~WP_046680744.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_046680744.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQDLTFSYPSSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKITSSVEFNYFPYPVSDKNKYTYEILEEICPQAEDWEFLREISYLNVDAEVMYRPFITLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSSYLKKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKDSSKRSAGWSHQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNIESRQQKAIEEKSKLLKNVEKTESLKLEPLEFQSKELIVLSNLSVKYDDQIVNKPISFKVEPGDRIVLDGKNGSGKSSILKLILGDPIQHTGSMNLGSGLIISYVQQDTSHLKGLLSDFIEEHEINEPLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQTFNPTMVFVEHDQAFQQTVATKTISM ->ARGMiner~~~macB~~~WP_044374558.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044374558.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVILLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosA2~~~WP_023345359.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_023345359.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHTLLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQKSDYTHYAFTVAEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~macA~~~WP_064146978.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_064146978.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAVWGWRILNAPLPHYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~hmrM~~~WP_001741775.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001741775.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAGYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~mdtH~~~WP_032275577.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032275577.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADHFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~mdtG~~~WP_048219120.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_048219120.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAVVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFILTLFFIRERFHPVSKKEMLHIREVVASLRNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPKLGKLGDRIGPEKILIVALIVSVLLLIPMSFVQTPWQLGILRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNALYSWNSLRRRRSTEVVG ->ARGMiner~~~mepA~~~WP_001629863.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_001629863.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGVIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~emrA~~~WP_023150186.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_023150186.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRATPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~L1 beta-lactamase~~~WP_053506357.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_053506357.1~~~cephalosporin~~~unknown MRLCLTPLALAATLVFDVAAADAPLPQLRAYTVDASWLQPMEPLQIADHTWQIGTENLTALLVQTTEGAVLLDGGMPQMAGHLLDNMKARGVAPQDLRLILLSHAHAGHAGPVAELKRRTGATVVANAETAVLLARGGSNDLHFGDDITYPPASADRIIMDGERVTVGGMVFTAHFMPGHTPGSTAWTWTDSRDGKPVRIAYADSLSAPGYQLQHNARYPRLVEDYRRSFTTVRGLPCDLLLTPHPGASRWNYAAGAEAGANVLTCKGYADAAEKAFDAQLAKETTGAR ->ARGMiner~~~tolC~~~WP_049087445.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049087445.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSFTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNSLDNAVEELRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSGVSNNRYSGSKSLAQDADVGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLNATTTLYEAKQQLSNTRYNYLINELNIKSALGTLNEQDLVALNNTLGKPVPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~nalD~~~WP_031687995.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_031687995.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKNRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~emrB~~~WP_052982445.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_052982445.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNSPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTKRRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~bcr-1~~~WP_032241520.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_032241520.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAANLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~emrB~~~WP_006817302.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_006817302.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFVLASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGAVVVLMTLQSLRGRETRTEQRRIDGIGLALLVLGIGSLQIMLDRGKELDWFNSTEVVVLAIVAVVAISFLVVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVLLSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVSPFNPNAQQMYADLQNLGLTQQQASGWIAQQITSQGLIISANEIFWVSAGIFIILLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~mdtP~~~WP_001630683.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001630683.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~BcI~~~WP_063549314.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_063549314.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~macA~~~WP_032067808.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032067808.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYTQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~blaF~~~WP_064914787.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_064914787.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAAAPAFAAPIDDQLAELERRDNVLIGLYAANLQSGRTIRHRPDEMFAMCSTFKGYAAARVLQMAERGEISLDNRMFVDADALVPNSPVTETRAGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAVPGDPRDTSTPAALAVGYRAILAGDALSPPQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPGGQRLLLVMMTRSQGLDPKAENLRPLIGELTALVLPSLL ->ARGMiner~~~lsaB~~~WP_061669299.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_061669299.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKIISSVEFNYFPYPVSDKNKYTHEIFEDICPKAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKMVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNQRLQTDIGRLKQSSKRSAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVENTESLKLEPLEFQSSELIVLADVSVKYDDQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGNPIQHTGSMNLGSGLIISYVQQDTSHLKGRLSDFIEEHEIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQSFNPTMVIVEHDQAFQQKVATKTISM ->ARGMiner~~~FosB~~~YP_002529744~~~fosfomycin unknown +>ARGMiner~~~FosB~~~YP_002529744~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVRGRKLAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREGKPHMTFY ->ARGMiner~~~macB~~~WP_063106245.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063106245.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHDLLVRLGLGDRSDYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIEIRDGEIVRNPPGSRQGGGLRARQQPEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_050196089.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_050196089.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVVVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~lsaA~~~WP_002409555.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002409555.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGHGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEEKAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~macA~~~WP_003698805.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_003698805.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLDMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVSIPVEEGQTVNAAQSAPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERVMGGPPRR ->ARGMiner~~~macA~~~WP_032033542.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032033542.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKVTRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~norA~~~WP_017804532.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_017804532.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGIQAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~macA~~~NC_008702.1.4609191.p01~~~macrolide unknown +>ARGMiner~~~macA~~~NC_008702.1.4609191.p01~~~macrolide~~~unknown MFGNGDPTALYQFATVSRGDIEDVVTATGTLQPREYVDVGAQVSGQLKKIHVEVGSLVKNGDLLGEIDSTVYLSKVDASRAQLRNLRAQLKEREAQVALAQVQFKRQTALMAEDATTTETLQTAEATLKSAEAQLEALRAQIEQYESTLRGDEANLQYARIMSPMTGTVVSITARQGQTLNTNQSAPTILRVADLTTMTVQTQVSEADVSRLKLGMAAYFTTLGGHGKRWYGKLDKIEPTPTVTNNVVLYNALFDVPNDDNLLMTQMTAQVFFIVAQAKDVLQIPLAAVSQGARGPRAGAQPAAGGAPAGAARGNGPRRATVKVLRADNTLEEREVQIGVSNRVQAQVLDGLQEGERVVTGLLSAPAPAASTTSNRQGPPPRL ->ARGMiner~~~msrA~~~WP_031925491.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_031925491.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETVGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALIGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSLHDIT ->ARGMiner~~~smeE~~~WP_057641377.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_057641377.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGALAMLKLPISMYPEVAPPAVSISASYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQASITLTFASGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLQVVGFVSEDGSMDATDISDYVGSNVLDPLSRVPGVGSIEVFGGKYAMRIWLDPNKLQTYKVSVDEITAAVRAQNAQVAVGQLGGAPAVKGQQLNATINAQDRLQTPEQFRDIVVRTEADGSMLKLGDVARVELGAETYDFVTRYNGKPASGLAITLATGANALDTAEGVRKTLDELSANYPRGLKAVIPYDTTPFVKVSIKGVVETLVEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGILAALGFSINMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHRGPAGRFFTWFNNGFDRSSSSYQRGVRGILARPGRFMAVFAALAVAMGLLFVRLPSSFLPNEDQGILMALVNAPVGATQERTLESIYKLEDHFLQNEKDAVESVFSVQGFSFAGMGQNSGMAFVKLKDWDERTADQGVGPITGRAMMALGQIKDAFIFAFPPPAMPELGIASGYTFFLKDNSGQGHEALVDARNQLLGMAGQSGKLANVRPNGLDDTPQLRLDIDVAKAGAHGLSLDAINSTLATAWGSSYVDDFIDRGRVKRVYVQADDGFRMNPEDFNLWTVKNGAGEMVPFSAFASQRWDYGSPRLERYNGVSALEIQGEAAPGVASGDAMLEVERLAKQLPPGFSIEWTAVSYQEREAGAQTPLLYTLSLLIVFLCLAALYESWSVPTAVLLVAPLGILGAVLANTFRGMERDVYFQVAMLTTVGLTSKNAILIVEFAKENLEKGAGVIEATMHAVRDRLRPIVMTSLAFGLGVLPLAIASGAGSGAQRAIGTGVLGGMIAGTTLGLFFIPLFFVVVQRVFNRKRLPAEGGSAEG ->ARGMiner~~~arnA~~~WP_016233390.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_016233390.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLTVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~FosB3~~~EUN51140.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~EUN51140.1~~~fosfomycin~~~unknown MSKIDTKIIYYKNSKKEVKQMLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~macB~~~WP_064548272.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064548272.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDEQVEVLKGVNITIEAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGQDVALLDSDALATLRREHFGFIFQRYHLLSHLNATQNVEVPAVYAGTARVYRQQRARALLMRLGLADRVDYLPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMATLKQLRELGHTVIIVTHDPTIAAQAQRVIEIRDGEIISNPPSVSQPPAAQKELKTQPVNSPFQQTISSFREALTMAWLALAANKMRTLLTMLGIIIGIASVVSIVIVGDAAKQLVLQDIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLAAISQQPWVSSATPSLSSNLRVRYGNVDAAASVNGVSSQYFNVYGMTFSEGNTFNDEQLTGRAQVVVLDSNTKRQLFPDKAKVVGEIVLVGNMPATVIGVAQEKQSMFGSSKILRVWLPYNTMAGRVMGQSWLNSITVRVNEGYNSHEAELQLNRLLQLRHGKKDFFTYNMDGLLKTAEKTTRTLQMFLTLVAVISLLVGGIGVMNIMLVSVTERTKEIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLLIAFTLQLVLPGWEIGFSPMALFTAFACSTATGIIFGWLPARNAARLNPIDALARE ->ARGMiner~~~MexE~~~WP_034083588.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_034083588.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMPAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~tolC~~~WP_059355779.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_059355779.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSAARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGSAPAAQPAAARSTAPASSGSNPFRN ->ARGMiner~~~FosA2~~~WP_063868760.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063868760.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLPLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~hmrM~~~WP_032229875.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032229875.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSDIILQRASR ->ARGMiner~~~adeB~~~WP_000987598.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987598.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHIVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNTSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLSQGDNISLKIDREKLSTLGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~FosB~~~WP_006638452.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_006638452.1~~~fosfomycin~~~unknown MEDRMIHGLNHLLFSVSDLEASIAFYEKVFGAKWLVKAEKTAYFDLNGIWLALNEEKNIPRTEIHDSYTHIAFSIKQEDVPEWEKKLTALGVNVLKGRKRHKGDKDSIYFTDPDGHKFELHTGSVLDRLAYYQKEKPHLSFHEANIKALYEKNK ->ARGMiner~~~adeG~~~WP_039367880.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_039367880.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVNANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAETDRAAAQLAAAQARNGYAQTDWQRAQRLIGDNAIAKRDYDEKQNAAREATANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARNGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHEALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLSAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDAPSAPLAGNAKPAAPAKADS ->ARGMiner~~~mdtH~~~CDZ83746.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~CDZ83746.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVTGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVKIPVREGMGRVMADKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLLMSLSMLPVGLVGNLQQLFTLICTFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALQQPELPWMMLGVIGIMTFLALGWQFSHKRTPRGMLEPGA ->ARGMiner~~~floR~~~WP_050194976.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_050194976.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSILAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCIQSLIVSIVGTLAVTLLNGDTAWPVICYATAMAVLVSLGLALLRSRDAATEKSPVV ->ARGMiner~~~arnA~~~WP_032181011.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032181011.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~pmrA~~~WP_034700532.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~WP_034700532.1~~~fluoroquinolone~~~unknown MKIQWKKNLFVAWIGCFFTGASFSLVMPFIPVYVEQLGTPSDQVELFSGLAISVTAFASAIVAPIWGNLADRKGRKLMMIRAAAGMTVTMGSLAFVPNAYWLLVMRFLNGILSGYIPNATAMIASQAPKEKSGWALGTLSTGAIAGSLIGPSMGGALAQWFGMENVFLITGVLLLVTTLLTIFLVKEDFQPVEKNDMISTKEIFAKMDHLSILIGLFVTTLILQIGITTISPILTLYIRELSGNSGNILFVSGLIVSVSGVSAVFSSPKLGKLGDKIGNQKVLLAGLTLSFCCYLPMAIVATPLQLGILRFILGFSTGALMPSINTLISKITPPEGVSRIYSYNQMCSNFGQVFGPMVGSTVAHAFNYRAVFIATSLFVLSNILLSLFNFRKVLRQRF ->ARGMiner~~~TEM-1~~~ANG23677.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG23677.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKVLESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIVAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~norA~~~WP_021339414.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_021339414.1~~~fluoroquinolone;acridinedye~~~unknown MNKQFVILYFNIFLVFLGIGLVVPVLPVYLKDLGLKGSDLGVLVAVFALAQMVISPFGGTLADKLGKKLIICIGLGLFAISEFLFAASHTFSLLIVSRILGGFSAGMVMPGVTGMIADISIGKDKAKNFGYMSAIINSGFILGPGIGGFLAEFSHRLPFYVAGFSGCLALLLSIILIKNPKNETQDGFTVYQPELLTKIDWKVFLTPITLTLVLAFGLSAFETLFPLYTADKAHYSPLDISFAITGGGILGAVFQVFFFDKFMKHFKELTFINYSLIYSAIVLLALTFVDGYWSIMVISFIVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLVAGTLYDVNFEYPLYMAVVVMILGMFVILVEKMIRTNFRKS ->ARGMiner~~~mecA~~~CAA73538.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~CAA73538.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKLIIAIVIVIIAVGSGVFFYASKDKKINETIDAIEDKNVKQVFKNSTYQSKNDNGEVEMTDRPIKIYDSLGVKDINIKDRDIKKVSKNKKQVTAKYELQTNYGKINHDVKLNFIKEDKDWKLDWNQSVIIPGMKKNQSINIEPLKSERGKILDRNNVELATTGTAHEVGIVPNNVSTSDYKAIAEKLDLSESYIKQQTEQDWVKDDTFVPLKTVQDMNQDLKNFVEKYHLTSQETESREYPFEEATTHLLGYVGPINSEELKQKAFKGYKKDAIVGKKGIEKLYDKELQNKDGYRVTIIDDQNKVIDTLIEKKKKDGKDIKLTIDARVQKSIYNNMKDDYGSGTAIHPKTGELLALVSTPSYDVYPFMNGMNDEDYKKLTEDDKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDGKTSYKINGKGWQKDKSWGDYNVTRYEVVNGDIDLKQAIESSDNIFFARVALELGSKKFEEGMKRLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENKGNVNAPHVLKDTKNKVWKKNIISQENIKLLTDGMQQVVNKTHREDIYRSYANLVGKSGTAELKMKQGETGQQIGWFISYDKNNPNMMMAINVKDVQDKGMASYNAKISGKVYDDLYDNGKKTYRIDK ->ARGMiner~~~emrB~~~NC_010410.6003262.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~NC_010410.6003262.p01~~~fluoroquinolone~~~unknown MKTQTPFAELSGGRLLLAAFVIALSNFMVVLDTTIANVSVPHITGNLAVSSTQGTWVVTSYAVAEAICVPLTGWLAGRFGTVRVFIFGLIGFTVFSFLCGLATSLEMLVFFRIGQGLCGGPLMPLSQTLLMRIFPQEKHAQAMGLWAMTTVVGPILGPILGGLISDNLSWHWIFFINLPVGIVCVLAAMRLLRVAETETISLRIDTVGLGLLILWIGALQLMLDLGHERDWFNSTSIVVLALTAAIGFVVFLIWELTDKHPVVDVKVFRHRGFAISVLALSLGFGAFFGSIVLIPQWLQMNLSYTATWAGYLTATMGFGSLTMSPIVAKLSTKHDPRALASFGLILLGIVTLMRAFWTTDADFMALAWPQILQGFAVPFFFIPLSNIALGSVLQQEIASAAGLMNFLRTMAGAIGASIAVTVWDDHAKVARSEMVSNLHTTEVQNTLLQNGFTADSTLGIISNLVDKEAITMSANHVFLLFAIVFVFAGLVIWLCPKPKQVSGMPSH ->ARGMiner~~~mdtH~~~WP_023311127.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023311127.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERHFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALSQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~emrA~~~WP_042030778.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_042030778.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALSSSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~norA~~~EIA14443.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~EIA14443.1~~~fluoroquinolone;acridinedye~~~unknown MQFVVEKKNNSFKHDMEKKRGEHMNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~Klebsiella pneumoniae acrA~~~EOQ55695.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Klebsiella pneumoniae acrA~~~EOQ55695.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPEVGIVTLKSAPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFVEGSDIQAGVSLYQIDPATYQASYDSAKGDLAKAQAAANMDQLTVKRYQKLLGTKYISQQDYDTAVATAQQSNAAVVAAKAAVETARINLAYTKVTSPISGRIGKSAVTEGALVQNGQTTALATVQQLDPIYVDVTQSSNDFLRLKQELADGRLKQENGKAKVELVTNDGLKYPQAGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGVNPDALLVPQQGVTRTPRGDASVMVVGEGDKVEVRQVTASQAIGDKWLVTDGLKTGDRVIVTGLQKIKPGVQVKAQEVASDDKQQAAGNAPSEQTKS ->ARGMiner~~~macB~~~WP_031525212.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_031525212.1~~~macrolide~~~unknown MTVLLELKDIRRSYPSGEEQVEVLKGINLAIHAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYHVAGTDVATLDGDALAQLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVSPKARRQRATELLTRLGLAERVDYSPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDTHSGEEVMATLKQLRDRGHTIIIVTHDLNVAAQAERVIEIRDGEIIANPPPAATAVNAQPIADKPQDSSAWQQFIGGFKEALTMAWLAMIANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRSIGTNTIDVYPGKDFGDDDPQYQQSLKYDDLTAIQKQPWVESATPAVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGSTFNDSQLAGRAQVVILDSNSRRQLFKDKPSVVGEVVLVGNMPATVIGVAEEKQSMFGSSKVLRVWLPYTTMSGRIMGQSWLNSITVRVKDGYQSAEAEQQITRLLTLRHGKQDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLLVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPVALATAFICSTVTGVVFGWLPARNAARLNPVDALARE ->ARGMiner~~~arnA~~~WP_021573537.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021573537.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERSIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~MexF~~~WP_004879650.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_004879650.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQATADGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGAPPSPNATSFQMSINTQGRLVTEEEFENVVIRAGADGEITRLKDVARVELGSNQYALRSLLNNQPAVALPIFQRPGSNAIDISNDVRAKMAELKKGFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVAATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKAHDAPKDRFSRFLDRMLGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWMGFSSTPTGFVPSQDKQYLVAFAQLPDAASLDRTEEVIKRMSDLALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERTDPSQSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDASIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVTDTAGPDRVMHYNGFITAEINGAAGPGYSSGQAQAAVEKLLKEELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNFVERREARKAARAQKLQTLPAEMH ->ARGMiner~~~FosA2~~~WP_063622660.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063622660.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSNLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFEPFSQKLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~smeA~~~WP_005414807.1~~~aminoglycoside;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~smeA~~~WP_005414807.1~~~aminoglycoside;cephalosporin;cephamycin;penam~~~unknown MSFLRPLSRSPRPLLLPLLLALAACSAGRTDAPAMPEVGVIIASARPLALQQTLPGRAVPFEISEVRPQVGGLIRQRLFTEGQQVKAGQLLYQVDPAPYQAAFDTARGQLAQAEATVLSAQPKAERTRALVSMDAASKQDADDATSALKQAQANVIAARAALQAARINLDYTRVTAPIDGRIGTSSVTAGALVAAGQDTALTTIQRLDPVYLDVTQSSTQMLALRKQIDAGLVKAIDGKAQVKVLLEDGSTYAHEGTLEFVGSAVDPGTGNVKLRAVIPNPDGLLLPGMYLKAVLPMATDARALLVPQKAVVRNERGEPLLRLLDAKDHVVERRVSTGQVVGNQWQITSGLKAGERVIVSNGSAVSLGQQVKAVAATTAQLAAMPAVDPNGNTDEKSH ->ARGMiner~~~EXO beta-lactamase~~~WP_033950645.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_033950645.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALTAATLVPGTAHASSDGRGRGRGSGSASDAERRLARLERASGARLGVYAYDTGSGRAVAYRADELFPMCSVFKTLSSAAVLRDLDRNGEFLSRRIFYTQDDVDRAGGAPETGKAENLANGMTVEELCEVSITASDNCAANLMLRELGGPTAVTRFARSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLALGDALNPRHRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRPPIVLTVLTAKTGEDAVRDDGLVADAARVLAETLG ->ARGMiner~~~mdtP~~~ESE82040.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~ESE82040.1~~~nucleoside;acridinedye~~~unknown MIRTSSRLFLCCLLGSATALSGCALIRSDSAPHQQLQPAQITLANDIHLASSGWPQAQWWRQFNDPQLDAIIQQTLAGSHTLAEAKLREKRAQSQAELLEAGSQLQVAALGMLNRQRASANGFLGPYALDAPRLGMDGPYYTEATIGLFAGIDLDFWGVHRSAVAAALGAQNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLQQTHDVVDYAIQAHQSKVAHGLEAKVPYHGARAQMLAVDKQIAAVKGQIKETRESLRALMGTEAMPDIKPVSLPQVSTGIPSTLSYELLARRPDLQAMRWYVQASLNQVDAARALFYPSFDIKAFFGLDSIHLDNLFKNTSKQINFIPGLRLPLFDGGRLNANLASTRAASNILIERYNQSVLNAVRDVAINGTRLQTLNDERAMQAQRVDATRYTQSSAEAALKQGLGSRLQATEARLPVLSEQVSLLMLDTQRIIQSIQLIKSLGGGYQAAKTEQDG ->ARGMiner~~~mdtH~~~WP_000092214.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092214.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGSPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~FosB~~~WP_003155086.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_003155086.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSDLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHTAFTIDPKDFDAILQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~aadK~~~WP_041333494.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_041333494.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVDSFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVNVLLDKDSFINYKVTPNDHQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYQYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~msbA~~~WP_052999080.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_052999080.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGKTVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELMSKQGAYEHLYSIQNL ->ARGMiner~~~emrA~~~WP_032648001.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_032648001.1~~~fluoroquinolone~~~unknown MSANAESTTPQQPGNKKGKRKSALLLLTLLFIIIAVAYGIYWFLVLRHTEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAQTALASSVRQTRQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGTANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMVLGTSLENQPAVQQAATEVRNAWLALQRTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPADNLWVDANFKETQLAHMRIGQTATVVSDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLADHPLRIGLSTLVTVDTANRDGQILASQVRSSPAYESNAREISLDPVNKLIDDIVKANAG ->ARGMiner~~~L1 beta-lactamase~~~CRD51280.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~CRD51280.1~~~cephalosporin~~~unknown MKEAHASFWASFKRTWIMRFTLLAFALAVALPAAHASAAEAPLPQLRAYTVDASWLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSEASAKALTCNAYADAAEKKFDAQLARETAGTR ->ARGMiner~~~sul1~~~AFN40206.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~AFN40206.1~~~sulfonamide;sulfone~~~unknown MEVANVPRRHCCLFARPIPIVEVAAEADAMVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGADVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITISETLAKFRSRDARDRGLDHA ->ARGMiner~~~acrE~~~WP_001497871.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_001497871.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVSPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~mdtO~~~WP_021564792.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021564792.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATMLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTNSLTPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAITEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPATKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMALRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQHVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQVQGATQ ->ARGMiner~~~hmrM~~~WP_048279785.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_048279785.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMGMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARTMRDIRCAERFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMRKQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~mdtH~~~WP_023292794.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023292794.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFMLCALFNGLFLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~emrB~~~WP_059329539.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_059329539.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEIYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~FosB~~~WP_000238418.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000238418.1~~~fosfomycin~~~unknown MVKGINHLCFSVSNLEKSITFYEKVLEGELLVKGRKLAYFNICGVWVALNEETHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMTFY ->ARGMiner~~~mdtN~~~WP_047601980.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_047601980.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVRGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~bcrA~~~WP_000074569.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074569.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGKQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGEDLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVYKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGRLLEEVSLDRLRKANRKYIEFQVNNENKAAMLLEKQFQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNDIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~acrB~~~CRH28493.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~CRH28493.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVLAIIVMLAGALSILKLPIEQYPNVAPPAIEIQANYPGADAKTLQDSVTQVIEQNMNGIDGLMYMSSSSDSSGALTLTISFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGIQVKKSSSSFLMVAGFISDDGSMNQNDISDYVASNIKDPISRTPGVGDTQVFGAQYAMRIWMDPSKLNNYQLTPVDVINALKTQNAQVAAGQLGGTPPVPGQQLNASIIAQTRLTSTKEFGNILLKVNADGSQVRLRDVAQIELGGENYEIIARYNGKPASGIGIKLATGANALNTAEAVKAELAKLQPTFPAGMKVVYPYDTTPFVKISIFEVVKTLMEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAIISAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMVEEGLPPKEATKRSMEQIQGALVGIALVLSAVFIPMAFFGGSTGVIYRQFSITIVSAMALSVLVALILTPALCATMLKPVKKGDHGKTTGFFGWFNRLFDKSTHHYVDSVGHIVRGTGRYLLLYLLIVLGMAFLFLRLPSSFLPEEDQGLLLAQAQLPAGATQERTQKVLDQVSDYFLTKEKDSVKSVFTVAGFGFAGRGQNTGIAFVSLKPWDERTSSDMKVPAIQGRAMQALGQIKDGMVFAFNLPAIIELGNATGFDFELIDQANLGHDKLTQARNQLFGMIAQHPDTLVGVRPNGLEDTPQFKLNIDQEKAQALGVSLSDINTTLAASWGGSYVNDFIDRGRVKKVYVMGKADARMLPDDINKWFVRNSNGEMVPFSAFSSAHWQYGSPRLERYNGLPAMEILGQAGPGKSSGEAMNLMEELASKLPTGIGFDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLFTTLRGLSNDVYFVVGLLTTVGLSAKNAILIVEFAKDLMEKEGKGLVEATLEACRMRLRPILMTSLAFILGVLPLAISTGAGSGAQNAVGTGVMGGMVTATALAIFFVPVFFVVVRRRFGKKADIEKDHPVDNHSH ->ARGMiner~~~bcr-1~~~YP_002385033~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~YP_002385033~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMATMGIAVLMLSLFILKETRPAAPAASDKPRENRESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAISLFGITLICAGFSIGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVTPGRPVAAHEEIHHHA ->ARGMiner~~~mdtM~~~WP_001727641.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001727641.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVCRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~vanYA~~~WP_013438844.1~~~glycopeptide unknown +>ARGMiner~~~vanYA~~~WP_013438844.1~~~glycopeptide~~~unknown MKKLFFLLLLLFLIYLGYDYVNEALFSQEKVEFQNYDQNPKEHLENSGTSENTQEKTITEEQVYQGNLLLINSKYPLRQESVKSDIVNLSKHDELINGYGLLDSNIYMSKEIAQKFSEMVNDAVKGGVSHFIINSGYRDFDEQSVLYQEMGAEYALPAGYSEHNSGLSLDVGSSLTKMERAPEGKWIEENAWKYGFILRYPEDKTELTGIQYEPWHIRYVGLPHSAIMKEKNFVLEEYMDYLKEEKTISVSVNGEKYEIFYYPVTKNTTIHVPTNLRYEISGNNIDGVIVTVFPGSTHTNSRR ->ARGMiner~~~CTX-M-3~~~AIC64345.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64345.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRANERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~BLA1~~~WP_061130519.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_061130519.1~~~penam~~~unknown MILKNKRLLKIGICVGILGLSITSLEAFTGGPLQVEAKEKKGKIKHKNQATHKEFSQLEKKYDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIARNLKDFTVGNALPHQKRNILTDWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRSPIIIAILSSKDEKEATYDNQLIKEAAEVVIDAIK ->ARGMiner~~~cmeA~~~WP_002922538.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002922538.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIVNKLFKAGDKVKKGQTLFVIEQDKFKASVDSAYGQALMARATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANAKIDLDHTEIKAPFDGTVGDALVNIGDYVSASTTELVRITNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQDQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~mdtM~~~WP_001637116.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001637116.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MAGLKATHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVSFSAKSVLRDFRNVFCNRQFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSTSLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFPLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~mdtP~~~WP_001572207.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001572207.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSVWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRNVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mdtM~~~WP_001136982.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001136982.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRKPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYITVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~lsaB~~~WP_010789887.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_010789887.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKIISSVEFNYFPYPVSDKNKYTHEIFEEICPEAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKMVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNQRLQTDIGRLKQSSKRSAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVENTESLKLEPLEFQSSELIVLADVSVKYDDQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGNPIQHTGSMNLGSGLIISYVQQDTSHLKGRLSDFIEEHEIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQRFNPTMVIVEHDQAFQQKVATKTISM ->ARGMiner~~~TEM-1~~~ANG27874.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG27874.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGVVAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~hmrM~~~WP_032264335.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032264335.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLMVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVKPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~emrB~~~CRL59874.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~CRL59874.1~~~fluoroquinolone~~~unknown MIKEPLQGGKLALMTIALALATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFALTSWLCGISQSLEMLIFFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSVTIVVAPILGPILGGYISDNYHWGWIFFINVPFGVLIIMCISNTLAGRETKTEIKPIDTIGLVLLVVGIGALQIMLDQGKELDWFNSTEIIVLTIVAVVALSFLIVWELTDDHPVIDLSLFKSRNFTIGCVTLSLAYMIYFGTIVLLPLLLQEVFGYTATWAGLASASVGLLPLIITPIIGKFGGKVDLRYIISFSFIIFSVCFYWRAYTFEPGMDFATVAWPQFWQGLAVACFFMPLTTMTLSGLPPEKMASASSLSNFLRTLAGAIGASLTTTIWTQRESLHHETFVEKINPLDPDSQMAFQQMNDLGLSNEQASAYLAKTITEQGLIISANEIFWLAAGIFLIMMIVVWFAKPPFSPGK ->ARGMiner~~~TEM-206~~~ANG33262.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-206~~~ANG33262.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVTLIPFFAAFCLPVFAHPETPVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~emrA~~~WP_001726936.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001726936.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQARLDVAIQQYNANQAMILNSKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTVITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~TEM-207~~~ANG29622.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG29622.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYVELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLVKHW ->ARGMiner~~~macA~~~WP_041144772.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_041144772.1~~~macrolide~~~unknown MKLNGKRRKVWWLLAIIVLALAIWGWRVLNAPLPQYQTLVVRKGDLQQSVLATGKLDAVRKVDVGAQVSGQLKTLRVSIGDKVQKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERQLAAVTLARQQQLAQRQLVSRQELDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRAGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQMAEVKNVITIPLSALGDAIGENRYHVRLLRTGEVKEREVTIGARNDIDVAIVKGLDEGDEVIVGESAPGTAK ->ARGMiner~~~tolC~~~WP_060633232.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_060633232.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDSVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSNTHGPGSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLTIKYALGTLNEQDLLALNSTLGKPIPTSPENVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~mdtH~~~WP_053897590.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053897590.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSHLGLAIGGAIGYIGGGWLFDLGKSVHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~TEM-1~~~ANG23656.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG23656.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSVQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIRHW ->ARGMiner~~~bcr-1~~~EGK17483.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~EGK17483.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSKSLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTFSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~acrB~~~WP_014606426.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_014606426.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVLAIIVMLAGALSILKLPIEQYPNVAPPAIEIQANYPGADAKTLQDSVTQVIEQNMNGIDGLMYMSSSSDSSGALTLTISFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGIQVKKSSSSFLMVAGFISDDGSMNQNDISDYVASNIKDPISRTPGVGDTQVFGAQYAMRIWMDPSKLNNYQLTPVDVINALKTQNAQVAAGQLGGTPPVPGQQLNASIIAQTRLTSTKEFGNILLKVNADGSQVRLRDVAQIELGGENYEIIARYNGKPASGIGIKLATGANALNTAEAVKAELAKLQPTFPAGMKVVYPYDTTPFVKISIFEVVKTLMEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAIISAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATKRSMEQIQGALVGIALVLSAVFIPMAFFGGSTGVIYRQFSITIVSAMALSVLVALILTPALCATMLKPVKKGDHGKTTGFFGWFNRLFDKSTHHYVDSVGHIVRGTGRYLLLYLLIVLGMAFLFLRLPSSFLPEEDQGLLLAQAQLPAGATQERTQKVLDQVSDYFLTKEKDSVKSVFTVAGFGFAGRGQNTGIAFVSLKPWDERTSSDMKVPAIQGRAMQALGQIKDGMVFAFNLPAIIELGNATGFDFELIDQANLGHDKLTQARNQLFGMIAQHPDTLVGVRPNGLEDTPQFKLDIDQEKAQALGVSLSDINTTLAASWGGSYVNDFIDRGRVKKVYVMGKADARMLPDDINKWFVRNSNSEMVPFSAFSSAHWQYGSPRLERYNGLPAMEILGQAGPGKSSGEAMNLMEELASKLPTGIGFDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLFTTLRGLSNDVYFVVGLLTTVGLSAKNAILIVEFAKDLMEKEGKGLVEATLEACRMRLRPILMTSLAFILGVLPLAISTGAGSGAQNAVGTGVMGGMVTATALAIFFVPVFFVVVRRRFGKKADIEKDHPVDNHSH ->ARGMiner~~~msbA~~~WP_000597240.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597240.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQESLDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~BcI~~~WP_016117948.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_016117948.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGESLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKYVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILKEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAVVWPPNREPIIIVILSSKDEKEATYDNQLIADATKVIVKALR ->ARGMiner~~~mdtB~~~WP_001197789.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_001197789.1~~~aminocoumarin~~~unknown MQVLPPGSTGGPSRLFILRPVATTLLMAAILLAGIIGYRFLPVAALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVVTLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPIYSKVNPADPPIMTLAVTSNAMPMTQVEDMVETRVAQKISQVSGVGLVTLAGGQRPAVRVKLNAQAVAALGLTSETIRTAITGANVNSAKGSLDGPERAVTLSANDQMQSADEYRKLIIAYQNGAPVRLGDVATVEQGAENSWLGAWANQAPAIVMNVQRQPGANIIATADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVRDTQFELMLAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAVTLAVAILISAVVSLTLTPMMCARMLSQQSLRKQNRFSRACERMFDRVIASYGRGLAKVLNHPWLTLSVAFATLLLSVMLWIVIPKGFFPVQDNGIIQGTLQAPQSSSYASMAQRQRQVAERILQDPAVQSLTTFVGVDGANPTLNSARLQINLKPLDARDDRVQQVISRLQTAVATIPGVALYLQPTQDLTIDTQVSRTQYQFTLQATTLDALSHWVPKLQNALQSLPQLSEVSSDWQDRGLAAWVNVDRDSTSRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTASTPGLAALETIRLTSRDGGTVPLSAIARIEQRFAPLSINHLDQFPVTTFSFNVPEGYSLGDAVQAILDTEKTLALPADITTQFQGSTLAFQAALGSTVWLIVAAVVAMYIVLGVLYESFIHPITILSTLPTAGVGALLALIIAGSELDIIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIFQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIAMVGGLLVSQVLTLFTTPVIYLLFDRLSLYVKSRFPRHKEEA ->ARGMiner~~~cmeB~~~WP_038402039.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_038402039.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGVISLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALVLAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGESFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLNKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~mdtH~~~WP_062939381.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_062939381.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAMLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLTVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~emrA~~~WP_053901360.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_053901360.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSINTTNRDGQVLANKVRSTPVAVSTAREISLASVNKLIDDIVKANAG ->ARGMiner~~~macB~~~WP_052924706.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_052924706.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTARTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli ampC~~~WP_059342968.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_059342968.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQINLKPLDINEKTLQQGIQVAQSRYWQAGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~mtrR~~~EFH22883.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~EFH22883.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MILLKDFRFNRNSRFKIQNRLLRHPCIQTGCKAGGFGTSALGNCLNFRGVIIHTRLHGYKVFFIIPTVKPSRNETAIMRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQAAGVTRGALYWHFKNKEDLFDALFQRICDDIENCIAQDAANAEGESWTVFRHTLLHFFERLQSNDIHYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITAVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWLSSGENFDLGKTAPRIIGIMMDNLENHPNLRRK ->ARGMiner~~~emrB~~~WP_052907821.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_052907821.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGDGGGGAH ->ARGMiner~~~sdiA~~~WP_063942277.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_063942277.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCSLRFQELTCAAEVYHELQRQTQALEFDYYALCVRHPVPFTRPKIAVHATYPQQWLAQYQSENYFTIDPVLKPENFIQGHLPWTDELFADAQELWRSAQDFGLRSGITQCLMLPNHALGFLSVSRTRAQEGPFAGEEIELRLQMLVQMALTALLRFEDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~OprN~~~WP_042929352.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_042929352.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANNRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~hmrM~~~WP_001633039.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001633039.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAEAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVKPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~arnA~~~WP_044190481.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_044190481.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFIEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~MexD~~~WP_034031531.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_034031531.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGAVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLASQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAALEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~sdiA~~~WP_040188680.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_040188680.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMATGKEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWNDSLFRDAPALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPGGYPEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~MexE~~~WP_033963465.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_033963465.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGERIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~macB~~~WP_023262971.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023262971.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTVPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKKMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~norB~~~WP_007477706.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_007477706.1~~~fluoroquinolone~~~unknown MTTTAYKGTNKLIVGIVFGVITFWLFAQSMVNIVPDVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRMKLTYFGLVLSIIGSLLLVITQGATLLIIGRIIQGLSAACIMPATLALMKTYFEGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIFSIAFSLLAMLLIKGTPESKVVQTTKAKFDSFGLILFVVAMVCLNLIITRGSTFGWASATTITMIIIFVLSTGLFFRVELRQANSFIDFSLFKNRAYTGATLSNFLLNAVAGTLVVANTYVQVGRGFTAFQSGLLSIGYLVCALGMIRVGEKILQRIGARKPMIMGSSITAIGITLMALTFIPGILYTILVFIGFALFGVGLGMYATPSTDTAVSNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIAASGNVDLAALIGLLTNVAFCIVSLIAVIVTTPRAKKVLSVELNQ ->ARGMiner~~~macB~~~WP_063924113.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063924113.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSDEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_017724946.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_017724946.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSANKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~BLA1~~~WP_041185119.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_041185119.1~~~penam~~~unknown MIVLKNKKMLKIGMCVGILGLSITSIEAFTGGPLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAXAIARNLKAFTAGNALPNHKRNILTEWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~emrB~~~WP_032232005.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032232005.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGTH ->ARGMiner~~~tolC~~~WP_050942021.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_050942021.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYSDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSATPAVQPTAARANSNNGNPFRH ->ARGMiner~~~macB~~~WP_032348033.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032348033.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLNIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRGLAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtB~~~WP_001510083.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_001510083.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVSVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKVEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALMIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~Erm(41)~~~WP_032691806.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~WP_032691806.1~~~macrolide;lincosamide;streptogramin~~~unknown MDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRVAEADLLAFRWPRRPFRVVASPPYHVTSALIRSLLTPESRLLAADLVLQRGVVHKHAKRAPVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~mfpA~~~WP_015345178.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_015345178.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSAFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~FosA2~~~WP_063616366.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063616366.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHALLGLTLHARWNTGAYLTCGELWVCLSYDEARRYVPPQESDYTHYAFTVAEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~macA~~~WP_050162714.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050162714.1~~~macrolide~~~unknown MAKMMKWAAVAAGAAAAVWGGWSYLKPEPQASYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~mexH~~~WP_016252959.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_016252959.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDVGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~emrA~~~WP_053529697.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_053529697.1~~~fluoroquinolone~~~unknown MSANAEIQPPQQSAKKKGKRKTALLLLTLLFIIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFEKSKTALASSVRQTHQLMINSKQLQANIDVRKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDTRQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~norA~~~WP_061739505.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061739505.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKELGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~macB~~~WP_003840216.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_003840216.1~~~macrolide~~~unknown MTALLELSHIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPPQKPSGGRDIAEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~novA~~~WP_030194633.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030194633.1~~~aminocoumarin~~~unknown MKPDTPPWTPPPDARSVTDRQPGEVRRILRLFHPYRARLALVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEGVDFSYDEKNGPTLTGIDLTVPAGSGLAVVGSTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATAEEIETAARAAQIHDHIASLPDGYDTLVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLEGGRIAERGTHEDLLARDGRYAALIRRDAHPVPAPAP ->ARGMiner~~~macB~~~WP_063619656.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063619656.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTVSGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~SBX18126.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~SBX18126.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLANARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~TEM-1~~~ANG19619.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG19619.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQVTMDERNRQIAEIGASLIKHW ->ARGMiner~~~sdiA~~~WP_032299328.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032299328.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPESWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEVQPLWEAAHAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~FosA2~~~WP_063401818.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063401818.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVTEEDFEPFSHRLEQAGVTVWKQNKSEGASYYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~msrA~~~WP_001590778.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_001590778.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETVGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLIDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSLHDIT ->ARGMiner~~~norA~~~WP_046207372.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_046207372.1~~~fluoroquinolone;acridinedye~~~unknown MNKQFYILYFNIFLVFLGIGLVVPVLPVYLKDLGLKGSDLGILVAVFALAQMIISPFGGTLADKLGKKLIICIGLALFAISEFLFAASHTFSLLIVSRILGGFSAGMVMPGVTGMIADISIGRDKAKNFGYMSAIINSGFILGPGLGGLLAEVSHRLPFYVAGTSGVIALILSITLIKNPKNETQDGFTHYQPELLSKINWKVFLTPVILTLVLAFGLSAFETLFPLYTADKAHYSPIDISFAITGGGIFGAIFQVFFFDKFMKYFEELTFITYALLYSAIILLALTFMHNYWSIMIISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFIGPLVAGTLYDINFEFPLYMAILVMFIGMIVIFIEKSIRNRLKKS ->ARGMiner~~~mdtH~~~WP_058650201.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_058650201.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMDFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~mdtE~~~WP_024240023.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_024240023.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAILTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYGQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~emrB~~~WP_045395214.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_045395214.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFVWSTVAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPFNPNAQQMYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWVSAGIFILLLSLVWFARPPFSAGGGGGGAH ->ARGMiner~~~MexF~~~WP_054089584.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_054089584.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIKLGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAAKAQRLQNLPAEMH ->ARGMiner~~~mdtG~~~HE999704.1.gene3083.p01~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~HE999704.1.gene3083.p01~~~fosfomycin~~~unknown MLGALIGSMLLQIPMAFAQNPLQLGILRFLLGLTDGALLPAVQSLLTKNTPREVSGRIFGYNQSFQYIGNVIGPLVGSSVAAHFGYGDVFLVVAGFIFINVLISFYFNRKMHGEKGNHAN ->ARGMiner~~~mdtP~~~WP_032238292.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032238292.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTALISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~tolC~~~WP_053528752.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_053528752.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNQTSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVAYQTDQQTLILNTANAYFKVLNAIDMLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGVSDTSYNGSNTHGPGSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYEAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDATADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~macB~~~WP_001467831.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001467831.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLNIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~WP_028132277.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_028132277.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTTKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~macA~~~WP_002249288.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002249288.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGQPRR ->ARGMiner~~~adeG~~~WP_043276065.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_043276065.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPQAAQGNARA ->ARGMiner~~~mdtH~~~WP_023279555.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023279555.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFTILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKHFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~hmrM~~~WP_032446138.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032446138.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARTMRDIRCVERFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMCKQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~AQU-1~~~WP_050438069.1~~~cephalosporin unknown +>ARGMiner~~~AQU-1~~~WP_050438069.1~~~cephalosporin~~~unknown MPGTRRPVRLTAPEHTEIFAMKQTRSLPLLALGTLLLAPISLAAPVDPLNAVVDDAIRPMLKQHRIPGMAVAVLKGGQAHYFNYGLADMAAGKQVSEQTLFEIGSVSKTYTATLGAYAVVKGGIGLDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVESVEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGYLAASSLQQPFAQLMAQTLLPGLGMHHTYLDVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANISGVDDKALQQAISLTHQGRYSVGEMTQGLGWESYAYPVSEQTLLAGNSSAVIYNANPVKPVAASQETGGARLYNKTGSTNGFGAYVAFVPAKGIGIVMLANRNYPNEARVSAAHAILSQLAP ->ARGMiner~~~FosB~~~WP_033730260.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_033730260.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENSITFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRKEIHQSYTHLAFSVEQKDFERLLHRLEENNVHILQGRERNVRDCRSIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~macB~~~WP_033869633.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_033869633.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLMRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~lsaA~~~OCK16447.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~OCK16447.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIVLKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDIEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVRPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~vanXF~~~WP_039833226.1~~~glycopeptide unknown +>ARGMiner~~~vanXF~~~WP_039833226.1~~~glycopeptide~~~unknown MEKGFVFLDEVLHGVRWDAKYATWDNFTGKPVDGYEVNRIVGTHALALALLEVQKQAAALGYGLLLWDGYRPKRAVECFLRWSEQPENNLTKERYYPNLERTEMFAKGYIASQSSHSRGSAIDLTLYRLDTGELVSTGSSFDFMDKRSHHAAKGITSMEARNRRLLRSIMENNGFEPYSFEWWHYVLRSEPYPDSYFDFPIL ->ARGMiner~~~emrB~~~WP_052284485.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_052284485.1~~~fluoroquinolone~~~unknown MQPQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFVIASWACGMSTSLSMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGALVVLLSLQSLRGRETRTEQRRIDGIGLALLVLGIGSLQVMLDQGKELDWFNSTEIIVLTIVAVISLSFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVLLSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREAMHHAQLTESVTPFNPNAQQMYDQLQSMGMTQQQASGWIAQQITNQGLIISANEIFWISAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~msbA~~~WP_061398366.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_061398366.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDMKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELMSKQGAYEHLYSIQNL ->ARGMiner~~~EXO beta-lactamase~~~WP_003949984.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_003949984.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAATLVPGTAHASSGGRGHGSGSVSDAERRLAGLERASGARLGVYAYDTGSGRTVAYRADELFPMCSVFKTLSSAAVLRDLDRNGEFLSRRILYTQDDVEQADGAPETGKPQNLANGMTVEELCEVSITASDNCAANLMLRELGGPAAVTRFVRSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLVLGDALNPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRAPIVLTVLTAKTEQDAARDDGLVADAARVLAETLG ->ARGMiner~~~L1 beta-lactamase~~~WP_019337222.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_019337222.1~~~cephalosporin~~~unknown MRCSLLAFALTAAVPVAHASAADAPLPQLRAYTVDTSWLQPMAPLQIADHTWQIGTENLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKVRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVVANAESAVLLARGGSNDLHFGDGITYPPTSADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYKLAGNPRYPRLIEDYKRSFTTVRGLPCELLLTPHPGASNWNYAAGSKAGAKALTCSAYADAAEKTFDAQLARETAAHR ->ARGMiner~~~msbA~~~WP_064272854.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_064272854.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDMLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~OprN~~~WP_023106587.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_023106587.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLAPALGLFSLLALSACTVGPDYRPPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLNGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSESSRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~mdtE~~~WP_039020663.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_039020663.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGTMLTACDDKSTENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQADLNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~amrA~~~WP_006760390.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_006760390.1~~~aminoglycoside~~~unknown MNNKRSLGRRLRLAPVALAAMLAVAGCGKGDKDKAPEAIQNATVVTVRPTAVPMTVELPGRLDAYRQAQVRARVAGIVTARTYEEGQEVKQGAVLFRIDPAPLKAARDAAQGALAKAQAAALAASDKRRRYDDLVRDRAVSERDHTEAVADDTRAKADVASAKAELARAQLQLDYATVTAPISGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVDALRRAVKSGHATGIAQHDVTVTLRRADGTAYPLKGKLLFSDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDAAVDQRAILVPRDALLRTAERTSVRVVGTNGKVKDVEVVADQMSGRDWRVTRGLSGGERVIVDNAAQFAPDTAVKPVEQASPTKAAQPAAARQT ->ARGMiner~~~cpxA~~~CP000034.1.gene3672.p01~~~aminoglycoside;aminocoumarin unknown +>ARGMiner~~~cpxA~~~CP000034.1.gene3672.p01~~~aminoglycoside;aminocoumarin~~~unknown MRRLRFSPRSSFARTLLLIVTLLFASLVTTYLVVLNFAILPSLQQFNKVLAYEVRMLMTDKLQLEDGTQLVVPPAFRREIYRELGISLYSNEAAEEAGLRWAQHYEFLSHQMAQQLGGPTEVRVEVNKSSPVVWLKTWLSPNIWVRVPLTEIHQGDFSPLFRYTLAIMLLAIGGAWLFIRIQNRPLVDLEHAALQVGKGIIPPPLREYGASEVRSVTRAFNHMAAGVKQLADDRTLLMAGVSHDLRTPLTRIRLATEMMSEQDGYLAESINKDIEECNAIIEQFIDYLRTGQEMPMEMADLNAVLGEVIAAESGYEREIETALYPGSIEVKMHPLSIKRAVANMVVNAARYGNGWIKVSSGTEPNRAWFQVEDDGPGIAPEQRKHLFQPFVRGDSARTISGTGLGLAIVQRIVDNHNGMLELGTSERGGLSIRAWLPVPVTRAQGTTKEG ->ARGMiner~~~mdtF~~~WP_000024871.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_000024871.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLAYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~acrB~~~WP_021523795.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_021523795.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTNYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSTFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~BLA1~~~WP_016087828.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_016087828.1~~~penam~~~unknown MEQTCFGDCLLCVSKKSVKNLKGMMILKNNRMLKIGICVGILGLSLTTLEAFTGGALQVEAKEKKGQVKHKHQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPDHKRQVLTEWMKGNATGDKLIRAGMPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAVLSSKEEKEATYDNQLIAEAAEMIVKALK ->ARGMiner~~~hmrM~~~WP_001394334.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001394334.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAGYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~hmrM~~~WP_001174937.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174937.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLAAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~macA~~~WP_002221377.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002221377.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSAPTIIQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~mdtH~~~WP_000092198.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092198.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMIRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~mdtH~~~WP_063147634.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063147634.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHDPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~hmrM~~~EUL65205.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~EUL65205.1~~~fluoroquinolone;acridinedye~~~unknown MNEARQLLALAIPVIVAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVFAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMVVLWNAGHIIRAMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYVFIYGHFGMPELGGVGCGVATAAVYWVMFFSMLTFVKRARSMRDIRNENRFSTPDWNIMTRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVNVAGHQIALNFSSLMFVLPMSLAAAVTIRVGFRLGQGSTLDAQTAARTGLGVGVCMAICTALFTVALREQIALLYNDNPEVVALASHLMLLAAIYQISDSIQVIGSGVLRGYKDTRSIFFITFIAYWVLGLPCGYILALTDLVVDRMGPAGFWMGFIIGLTSAAIMMMLRMRFLQRQPSTIILQRAAR ->ARGMiner~~~emrA~~~WP_021514432.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_021514432.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPIKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~emrB~~~WP_050939282.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_050939282.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSVGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macB~~~WP_042101370.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_042101370.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLEWKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLXSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~WP_031905541.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031905541.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGISGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGSQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~emrB~~~CP001918.1.gene4071.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~CP001918.1.gene4071.p01~~~fluoroquinolone~~~unknown MQQQKPQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFVLASWACGMSSSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSVALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGAVVVLMTLQSLRGRETRTEQRRIDSIGLALLILGIGSLQIMLDRGKELDWFNSQEIIILTIVAVVSLSFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVLLSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNRESMHHAQLTEAVNPYNPNAQQMYSQLQGMGMTEQQASGWIAQQITNQGLIISANEIFWISAGIFIVLLGLVWFARPPFGAGGGGGGAH ->ARGMiner~~~rosB~~~WP_038243756.1~~~peptide unknown +>ARGMiner~~~rosB~~~WP_038243756.1~~~peptide~~~unknown MHHSTPLITTIVGGLVLAFLLGTLAHRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGIILLMFGVGLHFSLKDLLAVKSIAIPGAIAQIAVATLLGIGLSSLLGWDWVTGLVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLALVLLPAFAGVIGSENISLSQLFTELAITIGKVIAFITLMIVVGRRLVPWILAKTASTGSRELFTLAVLVLALGIAYGAVGLFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILIREPLAVIASLAIIIFGKSAAAFLLVRMFGHSKRTALTISVSLAQIGEFAFILAGLGISLGLMSEHGRNLVLASAILSIMLNPLLFSLLDRYLIKTETIEDQILEEAVEEEKQIPVNLCNHAVLVGYGRVGSLLGAKLHTAGVPVVVIENARTRVTALREQGIHAVLGNAANVEIMSLARLDCARWLLLTIPNGYEAGEIVASAREKRPDIKIIARAHYDDEVIYISDRGADQVVMGEREIANSMLNILKVDTLTEEDKQVVYPS ->ARGMiner~~~tolC~~~WP_023333430.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023333430.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDANEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPQQVAAVDNFNADSNTPAAQPAAARTAAPASKGNNPFRN ->ARGMiner~~~msbA~~~WP_000597242.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597242.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANTHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~y56 beta-lactamase~~~WP_050869942.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050869942.1~~~cephalosporin;penam~~~unknown MKHSSLRRALLLAGITLPLVNFSLPTWAAAIPGSLDKQLAALEHSANGRLGIAMINTANGTKILYRGAQRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLLKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDASIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATKIILSQIS ->ARGMiner~~~nalC~~~WP_058150266.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_058150266.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERASGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~sul2~~~WP_004009399.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_004009399.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGTAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~mdtN~~~EGJ82400.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~EGJ82400.1~~~nucleoside;acridinedye~~~unknown MALVALVFVIWLVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMTTVRAPFDGRIISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~OprJ~~~WP_009049038.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprJ~~~WP_009049038.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MRISAFSTLLIAMALGGCSLAPTYERPVAPVADSWSGQAHQRGSAVNEMVWQTFIVDPDLRRLVTTALDNNRSLRQTLLDIEQARAQYRIQRADRVPGLNAGASGNRQRVPADLSNNGRSGVVSSYQVGLSLPEYELDLFGRVKSLSDAALQEYLATEEAARAAQIALIAEVSQAYLIHDGALRRLDLTQQTLASREYSFALISQRRSAGTATALDYQEALGLVEQSRAEEESNQRQKQQAFNALVLLLGTADAAKSIPQGVRKEPMLVQDIAPGTPSALIERRPDILAAEHRLQARNADIGAARAAFFPRISLTGSFGTSSAQMSGLFDGGSRSWSFVPNLSLPLFDAGRNSAGLSLAEARKDSAVAAYEGTIQTAFREVADALAATDTLRREEAARRALADTSSESLKLAKARYEGGVDSHLRYLDAQRSSFVNETLFIEASTQRQIALVDLFRSLGGGWPSARHQAEQASR ->ARGMiner~~~novA~~~WP_024490169.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_024490169.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPPDAEQPPAELRRILRLFHPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLSLLALGMILTAVMNSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTKTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMIALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTKSFTEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGITLQSSGPAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPENPVRLEKIRGEIRFENVDFSYDEKGAPTLSGVDVAVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAIGVVSQETYLFHASVAENLRFAKPDATDDEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEFAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLDGGRTAERGSHEELLNRDGRYAALVRRDTQLAPAAAG ->ARGMiner~~~acrE~~~WP_024237642.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_024237642.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGNQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~emrB~~~WP_012599898.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_012599898.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mtrE~~~WP_002239968.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~WP_002239968.1~~~macrolide;penam~~~unknown MDTTLKTTLTSVATAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGTVGTGSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYEAAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~mdtH~~~WP_050068332.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_050068332.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLFVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~norA~~~WP_031825980.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031825980.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYSKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~tolC~~~WP_054411816.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_054411816.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQALILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLTLLQARLSQDLAREQIRLAQDGHLPTLNLTASTGISDTSYSGSKTRGANSAQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYTPDSPAPVVQPASVRTNTSTGKNPFRN ->ARGMiner~~~emrB~~~WP_001187289.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001187289.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLIIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGISSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macB~~~WP_000188160.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188160.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLNIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDIATLDADALAQLRREHFGFIFQRYHLLPHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtE~~~EIQ16513.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~EIQ16513.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACNDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~macB~~~WP_048979698.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048979698.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGSYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~YP_002328441~~~macrolide unknown +>ARGMiner~~~macB~~~YP_002328441~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGKDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTFLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrA~~~WP_048277746.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_048277746.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKVDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~macB~~~WP_000125896.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000125896.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTASGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGSTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanRD~~~WP_002586568.1~~~glycopeptide unknown +>ARGMiner~~~vanRD~~~WP_002586568.1~~~glycopeptide~~~unknown MNENILVVDDEKELADLVEVYLKNDGYNVYKCYSGTDALKCIETVGLDLAILDIMLPDIDGFQICQKIREKFYFPVIMLTAKVEDGDKIMGLSVADDYITKPFNPLEVVARVKAQLRQYMRYKQPCIKQEAERTEYDIRGMTISKSNHKCILFGKEIQLTPTEFSILWYLCERQGTVVSTEELFEAVWGERYFDSNNTVMAHIGRLREKMKEPSRNPKFIKTVWGVGYTIEK ->ARGMiner~~~CTX-M-14~~~AFK82162.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-14~~~AFK82162.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTGIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~mecI~~~WP_064133724.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_064133724.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINNVYKGGFNSLVLYFVEKEDLSQDEIEELRNILNKK ->ARGMiner~~~emrA~~~WP_021529643.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_021529643.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVQVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~mefA~~~WP_060470352.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_060470352.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGIVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEYFNGTPVHISITEISFAFGMLAGGLLLGRLGSFEKRVLLITSSFFIMGASLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCPMITEVRKLDLK ->ARGMiner~~~tolC~~~WP_048236776.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048236776.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQSIFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLDLTASTGVSDTSYSGSKTNTSQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTIAPENAQQDAAADGYTSNSATPAAQPTAARSTSSTGNNPFRN ->ARGMiner~~~lmrP~~~CDG45966.1~~~macrolide;lincosamide;streptogramin;tetracycline unknown +>ARGMiner~~~lmrP~~~CDG45966.1~~~macrolide;lincosamide;streptogramin;tetracycline~~~unknown MFRELHPNIRARILIQFLSKVIGSMIFPFMAIYFSMEINSSVAGFLLMINVLAQFLAGMYGGHLADIIGRKKLMVTGELLKVFAFLGMVLCNSPMFHSPWITFVMLLIIGVAQGLINPAGEAMLIDVSTPENRSFMYSVSYWANNLSIMIGIMVGGWFFVDYLFPLLVVLFIMSFVTAWLTISLISETLQQKALPQKGSYGLMGMLKNYGQVLHDYRFLLYTIGGIAIMSIEFQRSNYISVRLAEDVKVLLVHLGPLGNISLNGVQIVSVLTAVNTLFIVLFTVPIARFVTKRAQQPIMYVGFTLFALGFAVCAFANNLAVLLLATMVLSIGELLYVPTRQTILAAIVDDDKRGAYMAFNGIIFQIGKMIGSVSLVFAPFIGKYGMGAFTIILGILSIVFSAVALKSGWEKVLVK ->ARGMiner~~~emrB~~~WP_045446599.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_045446599.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRFGEVRLFMWSTIAFVIASWACGMSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVVVVLMTLQTLRGRETRTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIIILTVVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVLLSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPYNPNAQAMYDQLQGLGMTQQQASGWLAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~sul2~~~WP_050960181.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_050960181.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAALYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~TEM-1~~~ANG16637.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG16637.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAELGASLIKHW ->ARGMiner~~~emrA~~~WP_008502579.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_008502579.1~~~fluoroquinolone~~~unknown MSANAESTTPQQPANKKGKRKSALLLLTLLFIIIAVAYGIYWFLVLRHAEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAQTSLASSVRQTRQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGTANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMVLGTSLENQPAVQQAATEVRNAWLALQRTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPADNLWVDANFKETQLAHMRIGQTATVVSDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLADHPLRIGLSTLVTVDTANRDGQILASQVRSSPVYESNAREISLDPVNKLIDDIVKANAG ->ARGMiner~~~msbA~~~WP_031927672.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031927672.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIARPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNANFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macA~~~WP_014574186.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_014574186.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNSDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~emrA~~~WP_028016802.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_028016802.1~~~fluoroquinolone~~~unknown MSANAESTTPQQPANKKGKRKSALLLLTLLFIIIAVAYGIYWFLVLRHAEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAQTALASSVRQTRQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGTANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMVLGTSLENQPAVQQAATEVRNAWLALQRTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPADNLWVDANFKETQLAHMRIGQAATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDPKQLADHPLRIGLSTLVTVDTANREGQILASQVRSSPAYESNAREISLDPVNKLIDDIVKANAG ->ARGMiner~~~tolC~~~WP_000735294.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735294.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGQVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~tet(30)~~~WP_056234457.1~~~tetracycline unknown +>ARGMiner~~~tet(30)~~~WP_056234457.1~~~tetracycline~~~unknown MIVILATVAIDAIGGGLIFPILPDLLAEVTANGDIALLYGLMLVVYALMQFIFSPVLGALSDRFGRRPVLLLSLAGTLIDYLVMALSPLGWILVVGRAMAGITSANMAVASAYITDITPLDQRAQRFGLVGAVMSTGFIIGPVIGGVMGAWWLRSPFLAAAAFNGINLLVALFVLPESRKASQGKFDVKELNPLRPLVWLWNFRPLLPMVTVSVVFGLVAAIPGTIWVLYGAARFGWDLVHMGLSFAVFGICGTLTQAFLVGPLSRRFGDLGTLMIGVAFDMLAYILMAFADQSWMGYAVAPLFALGGVAMPALQSLLTSRVGDDQQGQLQGVMASLMSLAGIVGPVLTTAIFFSTKDIWIGTIWIVGAGLYLLATPLFATVRTPKTVAA ->ARGMiner~~~tolC~~~WP_039059584.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_039059584.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLAQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSIVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTITSNGHNPFRN ->ARGMiner~~~sdiA~~~WP_001157163.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001157163.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLHFQEMTTAEEVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVMHYQSENYFAIDPVLKPENFRQGHLHWDDVLFREAQSMWDAAQRFGLRKGVTQCVMLPNRALGFLSVSRGTLHSSSFTYDEIELRLQLLARESLSALTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~blaF~~~WP_065071331.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_065071331.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGGAAPAFAAPIDDQLAELERRDNVLIGLYAANLQSGRRITHRPDEMFAMCSTFKGYAAARVLQMAEHGEISLDNRVFVDADALVPNSPVTETRAGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAILAGDALSPPQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPDGQRLLLVMMTRSQAHDPKAENLRPLIGELTALVLPSLL ->ARGMiner~~~FosB~~~WP_054403074.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_054403074.1~~~fosfomycin~~~unknown MNLQPINHLCFSVSELERSISFYKDVFGAKLLVKGRKLAYFDLNGLWVALNEEQVDRSMANRTYTHIAFSMEEHEYEPTLLRLQALKVEILQGRSRDEKDKRSIYFLDPDGHMFEFHTGSLKDRLDYYRADKEHMTFYDAE ->ARGMiner~~~mgrA~~~WP_002433445.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_002433445.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSTASEKVATASSLSKEEVKELNRLLGKVIDAFTETKE ->ARGMiner~~~mecR1~~~WP_031833498.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_031833498.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKACQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~TEM-1~~~ANG25733.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG25733.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYVELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQVAEIGASLIKHW ->ARGMiner~~~mdtE~~~WP_016249323.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_016249323.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRKRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVMSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTAHTQLNEAEANVAVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQGDSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNSQGKATALILDKDDVVQLREIDATKAIGNQWVVTSGLQAGDRVIVSGLQRIHPGIKARAISSSQENASTESKQ ->ARGMiner~~~arnA~~~EHC34541.1~~~peptide unknown +>ARGMiner~~~arnA~~~EHC34541.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYDIAAIFTHADNPAENTFFGSVSQLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNEGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLDWEPSIAMRDTVEETLDFFLRSVDIAERAS ->ARGMiner~~~acrB~~~ABG77966~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~ABG77966~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLSILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDIIAKFNGKPASGLGIKLATGANALDTATAIRAELKKMEPYFPSGLKIVYPYDTTPFVKISIHEVVKTLAEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGGHGEHKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYIIIVAGMAILFVRLPSSFLPDEDQGVFLTMAQLPAGASQERTQKVLDEVTDYYLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGKENKVEAITGRAMGTFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQYKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAQYRMLPNDINSWFVRGSNGQMVPFSAFSTSRWEYGSPRLERYNGLPSMEILGQAAPGRSTGEAMNLMEELASKLPSGVGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSRKNEDVEHAHSVEPH ->ARGMiner~~~TEM-1~~~ANG14225.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG14225.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTVGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OprJ~~~EFQ38541.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprJ~~~EFQ38541.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MNEMRKPAFGVSALLIALTLGACSMAPTYERPAAPVADSWSGAAAQRQGAAIDTLDWKSFIVDAELRRLVDVALDNNRSLRQTLLDIEAARAQYRIQRADRVPGLNAAATGNRQRQPADLSAGNRSEVASSYQVGLALPEYELDLFGRVKSLTDAALQQYLASEEAARAARIALVAEVSQAYLSYDGALRRLALTRQTLVSREYSFALIDQRRAAGAATALDYQEALGLVEQARAEQERNLRQKQQAFNALVLLLGSDDAAQAIPRSPGRRPKLLQDIAPGTPSELIERRPDILAAEHRLRARNADIGAARAAFFPRISLTGSFGTSSAEMSGLFDGGSRSWSFLPTLTLPIFDGGRNRANLSLAEARKDSAVAAYEGTIQTAFREVADALAASDTLRREEKALRALANSSNEALKLAKARYESGVDNHLRYLDAQRSSFLNEIAFIDGSTQRQIALVDLFRALGGGWDEGRSLVVHRGGRS ->ARGMiner~~~AAC(6')-IIa~~~WP_058131000.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIa~~~WP_058131000.1~~~aminoglycoside~~~unknown MSANNLSITLRLMTEHDLPMLHDWLNRPHIVEWWGGKEKRPTLDDVLEHYQPGAMAEDSVIPYIAMLGEEPIGYAQSYVALGSGDGWWEDETDLGVRGIDQSLANPTQLNKGLGTKLVRALVELLFSDPAVTKIQTDPSPNNHRAIRCYEKAGFVQEKVITTPDGPAIYMVQTRQAFEGRRGVA ->ARGMiner~~~OXY-2-10~~~WP_036936514.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXY-2-10~~~WP_036936514.1~~~monobactam;cephalosporin;penam~~~unknown MFKTTYRQTAVIVVSLISLLVSPMLWAKTNSTIEEQLSTLEKNSQGRLGVALINTKDNSQITYRGDERFAMASTSKVMAVAAVLKESEKQAGLLDKHMTIKKTDLVTYSPITEKHLATGMTLAQLSAATLQYSDNTAMNKILDYLGGPSKVTQFARSINDVTYRLDRKEPELNTAIHGDPRDTTSPLAMATSLQLLTLGDALGQYQRQQLITWLKGNTTGDQSIKAGLPNNWVVGDKTGSGDYGTTNDIAIIWPENQAPLILVVYFTQQKQNAKPRKDIIVKATEIVTKEYINTSKIK ->ARGMiner~~~tolC~~~WP_059178023.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_059178023.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYGNGFRDSNGVNSNTTGASLQLTQTLFDMSKWRALSLQEKSAGIQDVTYQTDQQSLILNTATAYFNVLSAIDSLSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDNFKTDKPQAVNALLKEAENRNLALLQARLSQDLAREQIRQAQDGHLPTLSLTASSAVTDTSYSGSKTGGANASQFDDSNVGQNKVGLSFSMPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDASEAGYSVGTRTIVDVLDATTTLFNAKQQLSNARYNYLINQLNIKSALGTLNEQDLQMLNSTLGKPIPTTPENVAPENPQQDASADGYNANGAAPVAQPAAARTTTKNSAGNPFRN ->ARGMiner~~~emrA~~~WP_042313108.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_042313108.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKNGKRKNALLLLTLLFIIIAVAYGIYWFLVLRHVEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKQGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQASIEVQKTALAQAQSDFNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILGSKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPATNLWVDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKIVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAQQLAQHPLRIGLSTLVTVDTSNRDGQILASQVRTTPVSESNAREINLDPVNKMIEEIVRANAG ->ARGMiner~~~y56 beta-lactamase~~~WP_057620550.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_057620550.1~~~cephalosporin;penam~~~unknown MKHSSLRRSLLLAGITLPLVNFSLPTWAAGIPGSLDKQLAALEHSANGRLGIAMINTGNGTKILYRGARRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLVKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATKIILSQIS ->ARGMiner~~~macA~~~WP_010358876.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_010358876.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQAAYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQTVLWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSAPTIIQLANLDTMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~tetX~~~AMP56803.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP56803.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEVNCPGFFQLCNGNRLMAAHQGNLLFANPNNNGALHFGISFKTPDEWKSKTRVDFQDRNSVVDFLLKKFSDWDERYKELIRLTSSFVGLATRIFPLDKSWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLTNGKFNSIEEAIENYEQQMFAYGREAQAESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~mexH~~~WP_023125235.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_023125235.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHTRARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~EreA2~~~WP_022646491.1~~~macrolide unknown +>ARGMiner~~~EreA2~~~WP_022646491.1~~~macrolide~~~unknown MLNAIGLECGAIQASRLSEWLNSTAGAHELERFSDTLTFSLYGSVLIWVKSYLRESGRKLQLVGIDLPNTLNPRDDLAQLAEIIQVIDHLMKPHVDALTQLLTSIDGQSAVISSAKWGELETAQQEKAISGVTRLKLRLASLAPVLKNHVNSDFFRKASDRIESIEYTLETLRVMKAFFDGTSLEGDTSVRDSYMAGVVDGMVRANPDVRIILLAHNNHLQKTPVSFSGELTAVPMGQHLAEREEGDYRAIAFTHLGLTVPEMHFPSPDSPLGFSVVTTPADAIREDSVEQYVIDACGKEDSCLTLTDDPMEAKRMRSQSASVETNLSEAFDAIVCVPSAGKDSLVAL ->ARGMiner~~~sul2~~~WP_047715692.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_047715692.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPACDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~MexF~~~WP_014338188.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_014338188.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MKFSQFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKITLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYALLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAPPAPNAQAFQLSINTQGRLVNEEEFENIIIRSGANGEITRLKDIARVELGSSQYALRSLLDNQPAVAIPIFQRPGSNAIQISNDVREKMDELKKGFPAGMDYSIVYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLTPVEATKRAMGEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAINSLTLSPALAAVLLKGHDAPKDRFSKFLDKVFGGWLFRPFNRFFERASHGYVGTVARVIRSSGIALVLYAGLMVLTFFGFSNTPTGFVPGQDKQYLVAFAQLPDAASLDRTEDVIKRMSDLALKQPGVESAVAFPGLSINGFTNSPNAGIVFVTLKPFDERKDPSMSAGAIAGALNGQYAGIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETMNIITKSHNVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQIYLGSLYANDFNRFGRTYQVNVQAEQQFRLESDQIGQLKVRNNRGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGAAAPGYSSGQAEKAIEKLLKDELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQLEGMNPLAAVLEACRLRLRPILMTSFAFIMGVVPLVFSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNFVERSEARKAARALKLEAQQ ->ARGMiner~~~mdtH~~~WP_061856373.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_061856373.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLVLGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~Escherichia coli mdfA~~~SBZ85298.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~SBZ85298.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MQNYSLSGRRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVQEFQVGNEWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLTGVVWFIVTCLATLLAQTIEQFTLLRFLQGISLCFTGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHILPWEMMFVLFAVLAAISFFGLQRAMPETATRLGEKLSVKELGRDYRLVLKNLRFVAGALATGFVSLPLLAWIAQSPVIIISGEQATSYEYGMLQVPIFGALIAGNLVLARLTARRTVRSLIIMGGWPIMFGLILSAAATVVSSHAYLWMTAGLSFYAFGIGLANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIELSKHAYELGGNGLFSLFNLLGGVLWLGLMIYFLKDKSVGNSQQG ->ARGMiner~~~Klebsiella pneumoniae OmpK35~~~WP_008805872.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK35~~~WP_008805872.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MMKRNILAVVIPALLVAGAANAAEIYNKNGNKLDFYGKMVGEHVWTTNGDTSSDDTTYARIGLKGETQINDQLTGYGQWEYNMDASNVEGSQPTKTRLAFAGLKAGEYGSFDYGRNYGAIYDVEAATDMLVEWGGDGWNYTDNYMTGRTNGVATYRNSDFFGLVDGLSFALQYQGKNDHDRAVRKQNGDGFSTAATYAFDNGIALSAGYSGSNRSVDQKADGNGDKAEAWATSAKYDANNVYAAVMYSQTYNMTPEEDNHFAGKTQNFEAVVQYQFDFGLRPSIGYVQTKGKDLQSRAGFSGGDADLVKYIEVGTWYYFNKNMNVYAAYKFNQLDDNDYTKAAGVATDDQAAVGIVYQF ->ARGMiner~~~adeB~~~WP_000987617.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987617.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAQITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINFPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIERVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSAVNTSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKVSS ->ARGMiner~~~macB~~~WP_064159420.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064159420.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_058647111.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_058647111.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHDPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTIKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~emrB~~~WP_016154159.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_016154159.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRFGEVRLFMWSTIAFVIASWACGMSTSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGAAVVLMTLQTLRGRETKTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIIILTVVAVVAISFLIVWELTDEHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPYNPNAQAMYDKLQDLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~facT~~~P42670~~~elfamycin unknown +>ARGMiner~~~facT~~~P42670~~~elfamycin~~~unknown MARKPDISAVPVESAACQGPDPRRWWGLVVILAAQLLVVLDGTVVNIALPSVQRDLGMSDTSRQWVITAYTLAFGGLLLLGGRVADAFGRRRIFAVGILGFGLASLLGGAAPDPGTLFLARALQGVFAAALAPAALALINTLFTEPGERGKAFGVYGAVSGGGAAVGLLAGGLLTEYLDWRWCLYVNAPVALLALLGCRLLPRDRRTGRAVRLDLPGTLLGCGGLVAIVYAFAEAESGWGDPLVVRLLVLGVLMLVAFALVERRVQDPLLPPGVVAHRVRGGSFLVVGLPQIGLFGLFLFLTYYLQGILDYSPVLTGVAFLPLGLGIAVGSSLIAARLLPRTRPRTLIVGALLAAAAGMALLTRLEPDTPQVYLTHLLPAQILIGLGIGCMMMPAMHTATARVAPHEAGAAAAVVNSAQQVGGALGVALLNTVSTGATAAYLADHGTSPAATVDGTVHGYTVAIAFAVGVLLLTAVLAWVLIDSRTEAADETGSASVTPARPR ->ARGMiner~~~arnA~~~WP_000648754.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000648754.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYDIAAIFTHADNPAENTFFGSVSRLAAGLGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLNWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~bcr-1~~~WP_000085980.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_000085980.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGIALAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~norA~~~WP_031883090.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031883090.1~~~fluoroquinolone;acridinedye~~~unknown MNRFFVLYFNIFLIFLGIGLVIPVFPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~hmrM~~~WP_024357964.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_024357964.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFIEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSILVMVVLWNAGYIISSMHNIDPAMADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGIGCGVATASVYWVMFFSMLFWVRRARSMRDIHLQERFSKPDFAVIQRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTLEAQTSARTGVGVGVCMAVFTAVFTILMRKQIALLYNDNPEVVALASQLMLLAAIYQISDSVQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDLVVPRMGPAGFWCGFIIGLTSAAVMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~mdtH~~~WP_000092208.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092208.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGTFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~PDC-7~~~WP_060840246.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_060840246.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRQITLTGRGLFGACALLLGASQALAETTPDSALKATVDATIRPLMQQQGIPGMAVAIIVDGKRHYFNYGVASKDNQRPVDHDTLFEVGSVSKTFTATLAAYAQASGKLALNDNASQYLPALRGSAFDGISLLQLGTYTAGGLPLQFPDDVKGEDKTLDYYNTWKPTFSPGTQRLYSNPSLGLFGYLAARSLGQPFDQLMEQTLFPKLGLKHSYVRVPQDQRSHYAQGYDKQNKPSRVGPGAMDSEAYGVKTSAADLLQFVAGNLQPGQLDATVQRAIASTQSGYYRVGDMTQGLGWERYAYPVPLARLLAGNSSAMALEPHPVQWLTPAQAPQADALYNKTGSTNGFGAYVLFVPGKQIGIVLLANKNYPNEERVKAAHGILSALEAAR ->ARGMiner~~~macA~~~WP_001483437.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001483437.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEGEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macA~~~WP_063412301.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063412301.1~~~macrolide~~~unknown MNLKGKRRKWFLLLAIVVVSGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADIIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGDEVVTSETLPGAAK ->ARGMiner~~~macA~~~WP_021520619.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_021520619.1~~~macrolide~~~unknown MKKRKTVKKRYVIAMVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKINDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~APH(6)-Id~~~WP_024249947.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_024249947.1~~~aminoglycoside~~~unknown MFMPPVFPAYWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~mdtG~~~WP_004713249.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_004713249.1~~~fosfomycin~~~unknown MTSAPQPVNWKRNLFVTWLGCFLTGAAFSLIMPFLPLYVEELGVSGHQSLNMWSGLVFSITFLFSAIAAPFWGSLADRKGRKIMLLRSALGMGMVMVLMGMAQNIWQFLVLRALLGLLGGFIPNANALIATQVPRNRSGWALGTLSTGGVSGALIGPMIGGLLADNYGLRPVFFITAAVLFVCFVMTWLYVKEQFAPVLKKDMLNNRQVFNSLKNPKLILSLFVTTMIIQVATGSIAPILTLYVRELAGDIHNLAFVSGMIASVPGVAALISAPRLGKLGDKIGPERILVAMLALSILILIPMAFVQTPLQLGILRFLLGATDGALLPAVQTLLIYNCTNQVAGRIFSYNQSFRDVGNVSGPLLGAAVSASYGFRAVFCVTALVVLFNAIYSYWCLQRHPLKARQNNIEQQQDR ->ARGMiner~~~FosA2~~~WP_059294105.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_059294105.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~mdtM~~~CP001138.1.gene4809.p01~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~CP001138.1.gene4809.p01~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELATEK ->ARGMiner~~~tolC~~~WP_044707202.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_044707202.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKATGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~arnA~~~EHC36136.1~~~peptide unknown +>ARGMiner~~~arnA~~~EHC36136.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAGLGIPIYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNEGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPNIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~mdtG~~~WP_033650732.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_033650732.1~~~fosfomycin~~~unknown MASAAEPVNWKRNLFVAWLGCFLTGAAFSLVMPFLPLYVETLGVTGHQALNMWSGLLFSITFLFSAIAAPFWGALADRRGRKLMLLRSALGMAIVMALMGMAQTVWQFLALRAVLGLLGGFIPNANALIATQVPRNRSGWALGTLSTGGVGGALVGPLIGGLLADLYGLRPVFYITAAVLFVCFVLTLLYVKEQFTPVQKRDMLHAKQVFASLKNPKLVLSLFVTTMIIQIATGSIAPILTLYVRDLAGATHNLAFISGLIASVPGVAALMSAPRLGKLGDRIGPERILVFMLIVSVLLLIPMAFVQTPWQLGVLRFLLGAADGALLPAVQTLLIYNCTNQVAGRIFSYNQSFRDVGNVSGPLLGAAVSAGYGFRAVFGVTALVVLFNAGYSWWCLRRRPGYMREDTLQEEQ ->ARGMiner~~~emrB~~~WP_060619314.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_060619314.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFVWSTVAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVIMTLQTLRGRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFIRTLAGSIGTSITTTMWTNREALHHAQLTESVTPFNPNAQQMYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWVSAGIFILLLSLVWFARPPFSAGGGGGGAH ->ARGMiner~~~msbA~~~WP_031896424.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031896424.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNANFLTRALKHTRWNAYFFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~mdtF~~~WP_016157252.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_016157252.1~~~macrolide;fluoroquinolone;penam~~~unknown MANFFIERPVFAWVLAIIMMFTGGIAIMNLPIAQYPQIAPPTITISAAYPGADAKTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASIILTFKTGTSPDIAQVQVQNKLQLAMPSLPQEVQQQGISVDKSSSNILMVAGFISDNNSLSQYDIADYVASNIKDPISRTAGVGSVQLFGSQYAMRIWLDPQKLDKYNLTPQDVITQLKVQNNQISGGQLGGMPQSADQQLNASIIVQTRLQTTDEFGKIFLKVQQDGSQVLLRDVARIELGAENYATVARYNGKPAAGIAIKLAAGANALETSQAVKQELNRLSAWLPASMKTVYPYDTTPFIEISIQGVFHTLIEAIILVFLVMYLFLQSFRATLIPTIAVPVVILGTFAILDVAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERIIAEEHLSPKAATHKAMGQLQRALVGIAVVLSAVFMPMAFMSGATGEIFRQFSITLISSMLLSVFVAMSLTPALCAMLLKSHEGEKANTHFLFTRFNHFMEKCTQHYTDSTRKLLRCTGRYMVVYLVIGAGMIVLFLRTPTSFLPEEDQGVFMTTAQLPSGSTMVNTSKVLGEITDYYLTKEQKNVASVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIRRAMMALSTINNAVVYPFNLPAVAELGTASGFDMELLDNGNLGHEKMMQARNELLALANQSSGEVDGVRPNGLEDTPMFRIHVNATKAEAMGVALSDINQTISTAFGSRYVNDFLNQGRVKKVYVQADTPFRMLPDNINHWYVRNASGAMTPLSAYSSTEWTYGSPRLERYNGQPAMEILGQPVEGKSSGDAMKFMASLINKLPAGVGYAWTGLSYQEALSTNQAPMLYGISLIVVFLALAALYESWSIPFSVMLVVPIGVVGALLATDLRGLSNDVYFQVGLLTTMGLSAKNAILIVEFAVEIMQKEGKTPLEAAVEAAQMRLRPILMTSLAFILGVIPLAISNGAGSGAQNAVGTGVIGGMLAATVLAIYFVPLFFVLVENMLARFKTRR ->ARGMiner~~~mdtP~~~WP_001472721.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001472721.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQIPETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~FosB~~~WP_033673615.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_033673615.1~~~fosfomycin~~~unknown MINGVNHLCFSVSNLEKSIQFYKTVLEGSLLVRGRKLAYFDICGMWVALNEEVDIPRDEIHQSYTHLAFSVAREDFEKLLQRLEDNKVHILQGRERDVRDCQSIYFIDPDGHKFEFHSGTLQNRLNYYKEAKPHMEFYE ->ARGMiner~~~msbA~~~WP_031910860.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031910860.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNSLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_000188132.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188132.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKENVAGGTEPVVKTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTITGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtN~~~WP_053272605.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_053272605.1~~~nucleoside;acridinedye~~~unknown MESTPKKDPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mexH~~~WP_003144531.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_003144531.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPLVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~cmeA~~~WP_020246863.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_020246863.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIENKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYVSASTTELVRVTNLNPIYANFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~BLA1~~~WP_033671602.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_033671602.1~~~penam~~~unknown MILKNKRMLKIGICVGILGLSFTSLEAFTGGPLQVEAKEKKGQIKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTMKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTAGNALPNHKRNILTKWMKGNATGDKLIRAGVPTNWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~vanB~~~AGG19384.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~AGG19384.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLSEVNTLPGFTSYSRYPRMMAAAGITLPALIDSLITLALKR ->ARGMiner~~~y56 beta-lactamase~~~WP_050288210.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050288210.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITVPLLNFALPSWAVGAKMSLDNQLAELEKSSNGRLGLALINTGKGTKIHYRGGQRFPFCSTFKLMLAAAVLGRSQSQPNLLSKHITYHESDLLAYAPITRKHLAQGMTVAELCAATIQYSDNTAANLLIKQLGGLAMVNQFARSIGDQTFRLDRWEPELNTALPNDPRDTTTPAAMAASVNKLVLGDALAAPQREQLALWLKGNTTGAATIRAGAPLVLVIYFTQLKKEAEPRRDVLAAATKIVLAHLS ->ARGMiner~~~adeG~~~WP_017393822.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_017393822.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMFLHENADAKAAPTNAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVRKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGTQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPPQPQPTDKTSTPAKG ->ARGMiner~~~acrF~~~WP_001273280.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~WP_001273280.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MANFFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRLKDPEEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLPPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGFFGWFNTRFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMPVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIDQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAPGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVISRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~arnA~~~WP_021557970.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021557970.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIVITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPL ->ARGMiner~~~amrA~~~WP_040144156.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_040144156.1~~~aminoglycoside~~~unknown MNNKRTLWRLQLAPFALATLLAVAGCGKGEPDKAPDTLQKATVVTVRPTAVPMTIELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKQGAVLFRIDPAPLTAARDAAQGALAKAQAAALAASDKRRRYDDLVRDRAVSERDHTEAIADDTRAKADVASAKAELARAQLQLDYATVTAPISGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVDALRRAVKSGRATGIAQHDVTVTLLRADGSAYPLKGKLLFRDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDAAVDQRAILVPRDALVRTADRTSVRVVGANGKVKDVEVVADQMNGRDWRITRGLAGGERVIVDNAAQFAPDTPVKPIERPAPAQAASQPAARQT ->ARGMiner~~~FosB~~~WP_063536149.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_063536149.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILKGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~BcI~~~WP_065486068.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_065486068.1~~~cephalosporin;penam~~~unknown MILKNKKMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~macA~~~WP_017482042.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_017482042.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEAAKKSQGNGARLQRLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~blaR1~~~WP_063609471.1~~~penam unknown +>ARGMiner~~~blaR1~~~WP_063609471.1~~~penam~~~unknown MTLPHILLSLVLTTVTILVIFSVRAVFYKQLSAKWRYYLWFLLIVVLTLPFVPNQLFTGLSFFDQGRQQITPSAQERFDFTNQNEQWMVDFGTSVSRFDDTFIHAAFVSIWIGGMIFFLLLTLYHYAKLQRLVKAASRIQNQKVEKAFSDCMAELQIKNKLTILESTAIQTPMTFGWLKTYILLPKNIELYLSDDEIRHVLLHELHHYKSKHIKVNYIFVVYQIVYWFHPLVWKAFKEMRLDRELACDTEVLLTLGQREYKAYGQTIMRFLERNSRFLYLTNQLHSSKKALKIRILNIASFTGESKRRQLKSMVVFAVPSVFVIAQFPFLTATAVSTERYQFDESQAVVEDYSTYFAGNEGSFVLYSLNSDQFEIYNKEKSVRRVSPNSSYKIYTALMALELGVIGRDDSWLEWDGVEYEDEAWNADQDLKSAMSRSVTWYFQELDKRMEKDNIQSFVNQLDYGNEDLSGGLNNYWLESSLKISPIEQVELLQAFYTNELNFKEEHVQFVKEVMKLEENQKGTLYGKTGTGIVNGHAINGWFIGFVETETDTYFFATNIQQQDHAYGSTAAEITLSILSSKGIY ->ARGMiner~~~mdtA~~~ELI79602.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~ELI79602.1~~~aminocoumarin~~~unknown MCPSFRRFPTVFHNSSIFLPYWLATLVSFRETFQEEKLLTMKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLLFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~aadK~~~WP_046381214.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_046381214.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVESFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYKYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~bacA~~~Q9KIN5~~~peptide unknown +>ARGMiner~~~bacA~~~Q9KIN5~~~peptide~~~unknown MFIIELIKGIILGVVEGLTEFAPVSSTGHMILVDDMWLKSSEFLGSQSAFTFKIVIQLGSVFAAAWVFRERFLEILHIGKHKHVEGENDQQRRSKPRRLNLLHVLVGMVPAGILGLLFDDFIEEHLFSVPTVMIGLFVGAIYMIIADKYSVKVKNPQTVDQINYFQAFVIGISQAVAMWPGFSRSGSTISTGVLMKLNHKAASDFTFIMAVPIMLAASGLSLLKHYQDIQIADIPFYILGFLAAFTVGLIAIKTFLHLSNKIKLIPFAIYRIVLVIFIAILYFGFGIGKGI ->ARGMiner~~~smeE~~~WP_056930908.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_056930908.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGALAMLKLPISMYPEVAPPAVSISANYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQTSITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIGFVSEDGSMDEHDISDYVGSNVIDPLSRVPGVGSIQVFGGKYAMRIWLDPNKLQTYRVSVDEVTAAVRAQNAQVAVGQLGGAPAVKGQQLNATINAQDRLQTPQQFRDIVLRTEADGSTLKLGDVARVELGAETYDFVTRYNGKPASGLAVTLATGANALATAEGVRKTLDELAANYPHGLKAVIPYDTTPFVKVSIKGVVKTLLEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAALGFSINMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHRGLAGRFFNGFNNGFDRTSSTYQRGVRGILARPWRFMAVFAALAVAMGVLFMRLPSSFLPNEDQGILMALVQAPVGATQERTLESIYKLEDHFLQNEKDAVESVFSVQGFSFSGMGQNSGMAFVKLKDWHERTPDQGVGPITGRAMAALGQIKDAFIFAFPPPAMPELGTASGYTFFLKDNTGQGHDALVNARNQLLGMAGNSDKLANVRPNGLDDTPQLRLDIDVAKAGAHGLSLDAINSTLATAWGSSYVDDFIDRGRVKRVYMQADDGFRMNPEDFNLWTVKNSAGQMVPFSAFASQRWDYGSPRLERYNGVSALEIQGEAAPGVASGDAMAEVEKLASQLPPGFSIEWTAVSYQEREAGSQTPLLYTLSLLIVFLCLAALYESWSVPTAVLLVAPLGILGAVLANTFRGMERDVYFQVAMLTTVGLTSKNAILIVEFAKEHLEKGAGVIEATMHAVRDRLRPIVMTSLAFGLGVLPLAIASGAGSGAQRAIGTGVLGGMIAGTVLGLFFIPLFFVVVQRLFNRRRLAANGEPPQA ->ARGMiner~~~emrB~~~WP_057514414.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_057514414.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLIIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGISSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGSAH ->ARGMiner~~~macA~~~WP_042966294.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_042966294.1~~~macrolide~~~unknown MKKRKIVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~lsaA~~~WP_010821069.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010821069.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDIEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVRPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~hmrM~~~WP_001174972.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174972.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMVVLWNAGYIIRSMQNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKHARSMRDIRNEKGTAKPDPAALKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSDIILQRAAR ->ARGMiner~~~mdtC~~~WP_021501376.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_021501376.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAISNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGMVLLGAIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKSKQTVTE ->ARGMiner~~~MexB~~~WP_016702072.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_016702072.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSEDGSMSKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKNAVAAQNVQVSSGQIGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNADGSQVRLGDVADVALGGENYSVSAQFNGAPASGLAVKLANGANALDTAKALRKTISDLEPFFPEGMKVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITVVSAMALSVLVALIFTPALCATMLKAIPHGEHAAPKRGFFGWFNRTFDRSVKSYERGVGNILRHKAPYLLAYALIVVGMIWLFARIPTAFLPEEDQGVLFAQVQTPAGSSSERTQVVVDEMRAYLLDKEKDTVASVFTVNGFNFAGRGQSSGMAFIMLKPWDERSVDNSVFNLAARAQQHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKILSQVRPNGLNDEPQYQLEIDDEKASALGVTISDINNTLSIALGSSYVNDFIDRGRVKKVYIQGQPNARMSPEDLKKWYVRNSAGTMVPFASFAKGTWIYGSPKLARYNGVEAVEVLGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLVTIGLAAKNAILIVEFAKELHEQGRSLVDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATVLAIFWVPLFFVTVSKMGRRKADEAQTTETPEEAGQ ->ARGMiner~~~emrA~~~WP_043017319.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_043017319.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKNGKRKSTLLLLTLLFIIIAVAYGIYWFLVLRHVEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKQGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQASIEVQRTALAQAQSDFNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILGSKLEDQPAVQQAATEVRNAWLALERTKIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNLWVDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAQQLAQHPLRIGLSTLVTVDTANRDGQILASQVRTTPVAESNAREIDLAPVNKMIEEIVRANAG ->ARGMiner~~~mdtF~~~YP_002272991~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~YP_002272991~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVIYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mdtH~~~WP_063916724.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063916724.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPHRMLEPGA ->ARGMiner~~~mdtN~~~CSG56379.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~CSG56379.1~~~nucleoside;acridinedye~~~unknown MVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~sdiA~~~WP_014070752.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_014070752.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKETDFFTWRRECFLRFQEMTTADEVYLELQRQTQELEFDYFALCVRHPVPFTRPKISVHTTYPAQWLAQYQSENYFTIDPVLKPENFVQGHLPWTDELFTDAQALWDGARDHGLRKGITQCLMLPNHALGFLSVSRTSLVDNTFDVEEIELRLQMLVQMALTSLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mexY~~~WP_058171380.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_058171380.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSMLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~viomycin phosphotransferase~~~WP_030832078.1~~~peptide unknown +>ARGMiner~~~viomycin phosphotransferase~~~WP_030832078.1~~~peptide~~~unknown MGIIATHRDLLTRLLPGDTTDELAVHQGQFHHVVIGSDRVVCFPRTEAAARRLPERAAVLRALAGIDLGCRTPRPLFAGGAQDPDEPPYLVLSRIPGAPLADGVLDRPGIAEAVARGCVTLLSGLAAAGEDERARAALPEAPAGAWQEFAADVRTGLFPLMSADGRERAGRELAALDALPHLTTAVVHGDLGGENILWETAGGVPRPSGVVDWDEVRFGDPAEDLAAIGASYGEELLGRVLALGGWADRATAGRISAIRGTFALQQALCALRDGDEEELADGLDGYR ->ARGMiner~~~Escherichia coli mdfA~~~AGR58012.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~AGR58012.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MVMHNRTQSGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVIWFIVTCLATLLAKNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFILFAALAAIAFFGLQRAMPETATRRGETLSLKDLGRDYQRVIKNRRFVAGALALGFVSLPLLAWIAQSPIIIISGEQLSSYEYGLLQVPVFGALIAGNLVLARLTSRRTVRSLIVMGGWPIVAGLIIAAAATVVSSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFSSDMSKGTVSAAMGMLQMLIFTVGIEVSKHAWLSGGNGLFSLFNLANGILWLLLMLVFLKNKRTGDSQTDPESGYA ->ARGMiner~~~mecA~~~WP_031806544.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031806544.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKISYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~mdtM~~~ZP_03051054~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~ZP_03051054~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFMWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mdtM~~~EGB55847.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~EGB55847.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MNNHFGKGLMAGLKATHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~macB~~~WP_000188127.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188127.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQLAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_001513451.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001513451.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTITSNGHNPFRN ->ARGMiner~~~mdtC~~~EGW91413.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~EGW91413.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAISNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVSVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGVVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSMNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~tet(C)~~~WP_032140893.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_032140893.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITAPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~tolC~~~SAJ10578.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~SAJ10578.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSYNNVNASISSINAYKQAVVSAQSSLDANEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVPTSPDSVAPENPQQDAAVDNFTPNSSTPLAQPAAARSTAPASSGTNPFRH ->ARGMiner~~~arnA~~~WP_001494321.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001494321.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYATDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAAPQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtM~~~WP_001542462.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001542462.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFTRHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGSLTTSQFAWTQVPVFGAVIVANAIVACFVKDPTEPRFIWRAVPIQLVGLSLLIVGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNKLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELVEEQ ->ARGMiner~~~mdtG~~~WP_023337085.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023337085.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLVLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADNYGLRPVFFITASVLFLCFIVTLLCIRENFTPVAKKEMLHARDVLTSLKNPRLVLSLFVTTMIIQVATGSISPILTLYVRDLAGDVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLVPMSMVHSPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGAGISASFGFRAVFIVTAGVVLFNAMYSWLSLSRALRPTTE ->ARGMiner~~~FosB3~~~EFG56904.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~EFG56904.1~~~fosfomycin~~~unknown MNPMLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFKLAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~tetX~~~AMP49834.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP49834.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFFPDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLVPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~AAC(3)-Ib~~~WP_015057075.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ib~~~WP_015057075.1~~~aminoglycoside~~~unknown MLWSSNDVTQQGSRPKTKLGGSMSIIATVKIGPDEISAMRAVLDLFGKEFEDIPTYSDRQPTNEYLANLLHSETFIALAAFDRGTAIGGLAAYVLPKFEQARSEIYIYDLAVASSHRRLGVATALISHLKRVAVELGAYVIYVQADYGDDPAVALYTKLGVREDVMHFDIDPGTAT ->ARGMiner~~~MexD~~~WP_009049037.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_009049037.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSQFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPSVAPPQITITATYPGASAKVLVDSVTTVLEESLNGAKGLLYFESTNNSNGVAEIVVTFQPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQSSAGFLLIYALSYKEDVERSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGYGLSIDDVSNAIRGQNVQVPAGAFGAAPGSSQQELTATLAVKGTLDNPDEFGQIVLRANEDGSLLKLADVARLEVGKESYNLSSRLNGKPTVGGAIQLSPGANAIETATLVKARLAELSAFFPDDMEYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLLVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGLSPAEATIKAMQQVSGAIIGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLSVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARATERYSFLNTKLVARTSRFMLVYVGIIAVLGYFYLRLPEAFVPSEDLGYMVVDVQLPPGAARSRTDTTGEELERFLKSREAVASVFLVSGFSFSGQGDNAALAFPTFKDWSQRSAQQSAEAEIAAVNEHFAMPGDGSIMAVSPPPIDGLGNSGGFALRLMDRGGLGREALLQARDTLLSQVYGNPKFLYAMMEGLAEAPQLRLVIDREKARTLGVNFETISGTLSSAFGSDVINDFTNAGRQQRVVIQAEQGARMTPESVLKLYVPNAKGDLVPLSAFVTMKWEEGPVQLVRYNGYPSIRIVGDAGPGFSTGQAMEEIERLASQLPAGIGYEWTGLSYQEKVSSGQATQLFALAILVVFLLLVALYESWAIPLTVMLIVPIGAIGSVLAVMVVGLPNDVYFKVGLITIIGLAAKNAILIVEFAKELWEQGYSLRDAAIEAARLRFRPIIMTSMAFILGVVPLALATGAGAASQRAIGTGVIGGMLSATLLGVLFVPICFVWVLSMLRSKPAPIEQPALAQE ->ARGMiner~~~mdtH~~~WP_061388654.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_061388654.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTARTPVREGMRRVMSNKRFVTYVLTLAGYYMLAVQAMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASPADARARGSYMGFSRLGLAIGGAISYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLVLGWQFSHKRTPRRMLEPGA ->ARGMiner~~~FosA2~~~WP_045141656.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_045141656.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVAEADFEPFSQRFEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~TEM-207~~~ANG19887.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG19887.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHLRVALVPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~hmrM~~~WP_061495278.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_061495278.1~~~fluoroquinolone;acridinedye~~~unknown MQKYVTEARQLLALALPVIVAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWFPAILFGHGLLLAMTPVIAQLNGSGRRDRIAHQVGQGFWLAGIVAVLIMIVLWNAGHIIHAMHNIDPILAEKAVRFLRALMWGAPGYLFFQVMRNQCEGLARTQPGMVMGFVGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFICMRMYVKRARVMRDIQHAQRFAKPDFEVLKRLAQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGFRLGQGSTLDAKTSAHTGLIVGVCMAAVTAVFTVLMREQIALLYNKNPEVVTLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVERMGPAGFWMGFIIGLTSAAILIMLRMRYLQRLPSATILQRAAR ->ARGMiner~~~vanWG~~~AIK22068.1~~~glycopeptide unknown +>ARGMiner~~~vanWG~~~AIK22068.1~~~glycopeptide~~~unknown MIEVYKLTQRKRLTQLFPFMLPLRKWQRKKYFYFKMKFDGNRYAKKTSEKLLPNTVFETSSLMLNENSGFDMKYQINKVHNLKLAAKTINKVIIEPKETFSFWQLVRWADHHEKYKDGLNLVDGKIVGSYGGGLCQLSNMLFWLFLHTPLTVVERHGHAVESFPSTTEDLPCGTDATINEGWLDLKIRNDTDNTFQIEISFDDKYMYGRILSQSPVNIEYSVFNSSVSYIKRNGRTYQIAPVYRSERDKKTCRETGAELYINQCEIGYELPNDIKIEERGA ->ARGMiner~~~dfrA12~~~WP_025760450.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA12~~~WP_025760450.1~~~diaminopyrimidine~~~unknown MNSESVRIYLVAAMGANRVIGNGPNIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPKRHTLVISRQANYRATGCVVVSTLSHAIALASELGNELYVAGGAEIYTLALPHAHGVFLSEVHQTFEGDAFFPMLNETEFELVSTETIQAVIPYTHSVYARRNG ->ARGMiner~~~vanB~~~AGG19374.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~AGG19374.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACVDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMMAAAGITLPALIDSLITLALKR ->ARGMiner~~~macB~~~SBY45576.1~~~macrolide unknown +>ARGMiner~~~macB~~~SBY45576.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSKLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~sdiA~~~WP_016156833.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_016156833.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQGHDFFTWRRSMLLRFQEMATAEDVYNELQQQTQHLEFDFYALCVRHPVPFTRPKTSLHTTYPKAWVAHYQSENYFAIDPVLKPENFSQGHLPWNDTLFRDAQPLWDAARNHGLRKGMTQCLMLPNRALGFLSVSRASVRNSRFANDEVELRMQLLVRESLSVLTRLQDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~tolC~~~WP_001635614.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001635614.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSNTHGPGSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~Escherichia coli ampC~~~WP_001362064.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001362064.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~MexB~~~WP_003142649.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_003142649.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLAGGLSILSLPVNQYPAIAPPAIAVQVSYPGASAETVQDTVVQVIEQQMNGIDNLRYISSESNSDGSMTITVTFEQGTDPDIAQVQVQNKLQLATPLLPQEVQRQGIRVTKAVKNFLMVVGVVSTDGSMTKEDLSNYIVSNIQDPLSRTKGVGDFQVFGSQYSMRIWLDPAKLNSYQLTPGDVSGAIQAQNVQISSGQLGGLPAVKGQQLNATIIGKTRLQTAEQFENILLKVNPDGSQVRLKDVADVGLGGQDYSINAQFNGSPASGIAIKLATGANALDTAKAIRQTIANLEPFMPQGMKVVYPYDTTPVVSASIHEVVKTLGEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPREAARKSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVIVALILTPALCATMLKPIEKGDHGEHKGGFFGWFNRMFLSTTHGYERGVASILKHRAPYLLIYVVIVAGMIWMFTRIPTAFLPDEDQGVLFAQVQTPPGSSAERTQVVVDSMREYLLEKESSSVSSVFTVTGFNFAGRGQSSGMAFIMLKPWEERPGGENSVFELAKRAQMHFFSFKDAMVFAFAPPSVLELGNATGFDLFLQDQAGVGHEVLLQARNKFLMLAAQNPALQRVRPNGMSDEPQYKLEIDDEKASALGVSLADINSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPDARMNPDDLSKWYVRNDKGEMVPFNAFATGKWEYGSPKLERYNGVPAMEILGEPAPGLSSGDAMAAVEEIVKQLPKGVGYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSMRGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKELHEQGKGIVEAAIEACRMRLRPIVMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMVTATVLAIFWVPLFYVAVSTLFKDEASKQQASVEKGQ ->ARGMiner~~~tolC~~~WP_045327474.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045327474.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQMLILNTATAYFQVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSYNNVNASISSINAYKQAVVSAQSSLDANEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVPTSPDSVAPENPQQDAAVDNFTPNSSAPLAQPAAARSTAPASSGTNPFRH ->ARGMiner~~~mdtH~~~WP_047343579.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047343579.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFIVCALFNGLYLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYTGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~macA~~~WP_040025694.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_040025694.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPNYQTLVVRKWDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~hmrM~~~ESM50626.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~ESM50626.1~~~fluoroquinolone;acridinedye~~~unknown MIEARQLLALAIPVIVAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRVAHQVRQGFWLAGFVSVLIMIVLWNAGYIIRAMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFGSMLTYIKHARSMRDIRNDTAFSTPDWSMLTRLTQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGFRLGQGSTLDAQTAARTGLGVGVCMAVCTALFTVLLREQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGVLRGYKDTRSIFFITFIAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAIMMMLRMRFLQRQPSTVILQRAAR ->ARGMiner~~~mdtH~~~WP_064528529.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064528529.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHDPWMLWFSCLLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPVGMVSGLQQLFTLICPFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSTQQPELPWMMLGVIGIITFLALGWQFSHKRAARRLLERDA ->ARGMiner~~~emrB~~~WP_051422531.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_051422531.1~~~fluoroquinolone~~~unknown MTIALSLATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLARRIGEVRLFLWSTGLFALTSWLCGISNSLEMLILFRVLQGLVAGPLIPLSQSLLLNNYPPAKRSMALALWSMTIVVAPICGPILGGYISDNYHWGWIFFINVPFSIAIIFAIMRTLKGRETQIAIKPIDTVGLVLLVVGIGALQIMLDQGKELDWFNSTEIIVLTVIAVVAIAFLIVWELTDEHPVIDLSLFKERNFTIGCLALSLAYMLYFGTIVLLPQLLQEVYGYTATWAGLASAPVGLLPLLITPIIGRFGNRIDMRYLVTFSFIMYAVCYYWRAYTFEPGMGFAAAAWPQFVQGLAIACFFMPLTTITLSGLPPERMASASSLSNFTRTLAGAIGTSITTTLWTQREAMHHENLTEFVNPYNPNAQHMYSELAQIGMNEQQSAAYIARSITEQGLIISANEIFWMSAGVFILLMVIVWFAKPPFGAGSKDGGGAH ->ARGMiner~~~mdtP~~~WP_000610596.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610596.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPSLGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIHSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~sdiA~~~WP_050153564.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_050153564.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISFRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mdtH~~~WP_004204547.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_004204547.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGGLFDAGKAAGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~tolC~~~AJQ71211.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~AJQ71211.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESIAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~TEM-1~~~ANG30669.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG30669.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIRHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPVMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~acrB~~~WP_021530337.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_021530337.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKAGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~nalD~~~WP_033946265.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_033946265.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAVEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~y56 beta-lactamase~~~WP_049608939.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_049608939.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGISVPLVSFVLPAWAAAIPASLDNQLAELEQTSNGRLGIALINTANGKKVQYRGGQRFPFCSTFKLMLAATVLGHSQFQPNLLNKHLRYHENDLLSYAPITRKNLAQGMTISQLCAATLQYSDNTAANLLIKELGGLGSVNQFARSIGDQTFRLDRWEPDLNSALPNDPRDTTTPVAMAASLQKLVLGDALAAPQREQLARWLKGNTTGGETIRAGAPADWVVGDKTGSGDYGTTNDVAVLWPTQGAPLVLVIYFTQRNKEATSRRDVLASATKIVLSHLA ->ARGMiner~~~hmrM~~~WP_023278941.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_023278941.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGTPELGGVGCGVATASVYWVMFASMLWWVRRARTMRDIRCAERFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMRKQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~macB~~~WP_032455256.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032455256.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLARLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPSASRQGGGLRARQQVEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSDTAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanWG~~~WP_021425649.1~~~glycopeptide unknown +>ARGMiner~~~vanWG~~~WP_021425649.1~~~glycopeptide~~~unknown MSRKRLTQVFPFLLPLRKWQRKKYFYFKMKFDGNRYAKKTSEKLLPNTVFETSSLMLNENSGFDMKYQINKVHNLKLAAKTINKVIIEPKETFSFWQLVRWADQHEKYKDGLNLVNGKIVGSYGGGLCQLSNMLFWLFLHTPLTIVERHGHAVESFPSTTEDLPCGTDATINEGWLDLKIRNETDNTFQIEVSFDERFMYGRILSQNPVNTEYTVFNSAVSYIKQDGRLFQIAHVCRAEKDKKSDIQVSKELYINQCEIGYELPDNTKYEERGV ->ARGMiner~~~tolC~~~WP_060572121.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_060572121.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGSAPAAQPAAARSTAPASSGSNPFRN ->ARGMiner~~~tet(C)~~~WP_032072899.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_032072899.1~~~tetracycline~~~unknown MSTNLSVIKNPRVQSDQRRLVRRPDVKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATTPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~macA~~~WP_049034529.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_049034529.1~~~macrolide~~~unknown MTLNGKRRKVWWLLASVVVIAAIWGWRILNAPLPQYQTLVARKSDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAENQIKEVDATLMELRAQLKQAQAERKLAQVTLARQQQLAQRQLVSRQDLDTAATDVAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLAEVKNVITIPLSALGDAIGDNRYNVRLLRNGEVKDREIVIGARNDTDVAVAKGLEEGDEVIISESTPGATK ->ARGMiner~~~ErmA~~~WP_020277967.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmA~~~WP_020277967.1~~~macrolide;lincosamide;streptogramin~~~unknown MYQDNVIEIGSGKGHFTKELVKMSRSVTAIEIDGGLCQVTKEAVNPSENIKVIQTDILKFSFPKHINYKIFGNIPYNISTDIVKRITFESQAKYSYLIVEKGFAKRLQNLQRALGLLLMVEMDIKMLKKVPPLYFHPKPSVDSVLIVLERHQPLISKKDYKKYRSFVYKWVNREYRVLFTKNQFRQALKHANVTNINKLSKEQFLSIFNSYKLFH ->ARGMiner~~~mgrA~~~WP_042738161.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_042738161.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEELNLKEQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWSESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTEKSEEIRPELDTACQDVAVASSLSSDESDELNRLLSKVINAFTEEKAK ->ARGMiner~~~emrB~~~WP_023340643.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_023340643.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFVWSTVAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVIMTLQTLRGRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPFNPNAQQMYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWVSAGIFILLLSLVWFARPPFSAGGGGGGGAH ->ARGMiner~~~tetW~~~ABF72138.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~ABF72138.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISREKLAREEQRRVQDASLFPVYHGSAKNGLGIQPLMDAVTGLFQPIGEQGGAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYQGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTSIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETVQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGQSVCLTELKGYQAAVGKPVIQPRRPNSRLDKVRYMFQKIM ->ARGMiner~~~Escherichia coli ampC~~~AIW80319.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~AIW80319.1~~~cephalosporin;penam~~~unknown MAGRFVWKPDPMFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLGWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~cmeB~~~WP_002892822.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002892822.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGVISLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALVLAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLNKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~sul1~~~WP_024439378.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_024439378.1~~~sulfonamide;sulfone~~~unknown MTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITISETLAKFRSRDARDRGLDHA ->ARGMiner~~~arnA~~~WP_031601992.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_031601992.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAYSYNGSQRFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~TEM-1~~~ANG09825.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG09825.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYVELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~emrA~~~WP_023333175.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_023333175.1~~~fluoroquinolone~~~unknown MSANAESTTPQQPGNKKGKRKSALLLLTLLFIIIAVAYGIYWFLVLRHAEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAQTALASSVRQTRQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGTANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMVLGTSLENQPAVQQAATEVRNAWLALQRTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPADNLWVDANFKETQLAHMRIGQTATVVSDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLADHPLRIGLSTLVTVDTANRDGQILASQVRNSPAYESNAREISLDPVNKLIDDIVKANAG ->ARGMiner~~~TEM-1~~~ANG10160.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG10160.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKVLESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mexW~~~YP_606823~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexW~~~YP_606823~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol~~~unknown MAFTDPFIRRPVLACVVSLLILLLGAQAWSKLQIRQYPQMENALITVTTAYPGANAETIQGYITQPLQQSLASADGIDYMTSVSRQNFSVISVYARIGSDTDRLFTQLLAKANEVRNKLPQDSEDPVLSKEAADASALMYISFYSNEMSNPQITDYLSRVIQPKLATLPGMAEAQILGNQLFAMRIWIDPVKLAGFGLSAVDVTNAVRHYNFLAAAGEVKGEYVVTSVNATTELKSAEAFAALPVKIAGDSRVLLGDVARIEMGAENYDTVSSFDGTPSVYIGIKATPAANPLQVIKEVRRIMPQLEEQLPSGLKVSIAYDATLFIQASINEVIKTLGEAVLIVIVVVFLFLGALRSVLIPVVTIPLSMIGVLFFMQMMGYSLNLLTLLAMVLAIGLVVDDAIVVVENIHRHIEEGKTPRDAALEGAREIALPVVSMTITLAAVYAPIGFLTGLTGALFKEFALTLAGAVIISGVVALTLSPMMCALLLRHEQNPSGLAHRLDVLFEQLKVRYQRLLHGTLNSRPVVLVFAVIILGLIPVLLKFTQSELAPNEDQGVIFMMSNSPQTANLDYLNAYTDEFTPLFKTFPEYYSSFQINGFNGVQSGIGGFLLKPWSERQRTQMELLPLVQAKLEEVGGLQIFGFNLPSLPGTGEGLPFQFVINTAGDYTALLEVAQRVKERAQASGKFAFLDIDLAFDKPEVMIDIDRAKAAQMGVSMDTLGGTLATLLGEAEINRFTLEGRSYKVIAQVERAYRATPGWLNNYYVKNEQGQLLPLSTLITLSDRARPRQLNQFQQLNSAIIQGVPLVSMGEALDTVRTIAHEEAPEGFAVDYAGTARQFVQEGSALWVTFGLALAMIFLVLAAQFESFRDPLVILVTVPLSICGALVPLFLGVSSMNIYTQVGLVTLIGLITKHGILIVEFANQLREEKGLGVREAIEEAAAIRLRPVLMTTAAMVFGMVPLILATGAGAVSRFDIGTVIATGMSVGTLFTLFVLPCIYTVLAHRGGREVA ->ARGMiner~~~BcI~~~WP_016101982.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_016101982.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKDDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPADKRKVLTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEAAYDNQLIAEATEVIVKALK ->ARGMiner~~~mdtE~~~WP_042004421.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_042004421.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTIQRLDPIYVDLTQSVQDFLRMKEEIASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~emrA~~~WP_045446596.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_045446596.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVNKNGKRKSALLLLTLLFIIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVKQGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQASIEVQKTALAQAQSDFNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILGSRLEDQPAVQQAATEVRNAWLALERTKIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNLWVDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAQQLAQHPLRIGLSTLVTVDTSNRDGQILASQVRTTPVAESNAREINLDPVNKMIEEIVRANAG ->ARGMiner~~~tet(30)~~~NC_011586.7043399.p01~~~tetracycline unknown +>ARGMiner~~~tet(30)~~~NC_011586.7043399.p01~~~tetracycline~~~unknown MNRSLFIIFATIALDAIGIGLIFPILPLLLQDMTHSTHISIYMGILASLYAAMQFIFSPLLGALSDRWGRRPVLLISLAGSAVNYLFLTFSHSLILLLVGRIIAGITSANMAVASAYIVDVLHENNRAKYFGLINAMFGAGFIIGPVLGGFLSEYGLRLPFFAAAILTGLNLLSAYFVLPESRKVTLENKQLSTLNPFKIFAGISSIRGVLPLITTFFIFSAIGEVYGVCWALWGHDTFQWSGFWVGLSLGAFGLCQMLVQALIPSHASRLLGNRNAVLAGIACSCFALAVMAFAQSGWMIFAIMPIFALGSMGTPSLQALASQKVSADQQGQFQGVIASTVSMASMIAPMFFSTLYFQFQEKWPGANWLSVILIYLLTLPIILYSTRPVVQQR ->ARGMiner~~~nalD~~~WP_012074742.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_012074742.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTDELREAQERNNAFVQMFIELCEQLFAREECRVRLHPGLTPRIASRALHALILGLFNDWLRDPRLFDPATDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~MexB~~~WP_045885237.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_045885237.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSEDGSMSKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVRTAVAAQNVQVSSGQIGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNADGSQVRLGDVADVALGGENYSVSAQFNGAPASGLAVKLANGANALDTAKALRKTISDLEPFFPQGMKVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITVVSAMALSVLVALIFTPALCATMLKAIPHGEHAAPKKGFFGWFNRNFDRSVRSYERGVGNILRHKAPYLLAYVLIVVGMIWLFTRIPSAFLPEEDQGVLFAQVQTPAGSSAERTQVVIDEMRAYLLDKEKDTVASVFTVNGFNFAGRGQSSGMAFIMLKPWGERSAENNVFNLAARAQQHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKILSQVRPNGLNDEPQYQLEIDDEKASALGVTISDINNTLSIALGSSYVNDFIDRGRVKKVYIQGQPGARMSPEDLKKWYVRNSAGTMVPFSSFAKGTWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALLATSLRGLSNDVYFQVGLLVTIGLAAKNAILIVEFAKELHEQGRSLIDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATVLAIFWVPLFFVTVSSMGRRNVDEPETTETPKEAGQ ->ARGMiner~~~norB~~~WP_054314487.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_054314487.1~~~fluoroquinolone~~~unknown MTSTAYKGTNKLIVGIVFGVITFWLFAQSMVNIVPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGSTLLIIGRIIQGLSAACIMPATLALMKTYFDGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGATFGWTSPITITMLVVFLVSAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMVLGSGITAVGIALMALTFIPGTLYTVLVFIGFALFGIGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLISVAITTPSAKKALELKAAKE ->ARGMiner~~~macA~~~WP_001124218.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124218.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~nalC~~~WP_033975727.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_033975727.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFKPTMALLETRLKLSVDIIACYLEHVSQRPAQG ->ARGMiner~~~cmx~~~AAB96371.1~~~phenicol unknown +>ARGMiner~~~cmx~~~AAB96371.1~~~phenicol~~~unknown MPFALCVLALAVFVMGTSEFMLAGLLPAIATELDVSVGTAGLLTSAFAVGMVVGAPVVAAFARRWSPRLTLIVCLLVFAGSHVIGAMTPVFSLLLITRVLSALANAGFLAVALSTATTLVPANQKGRALSILLSGTTIATVVGVPAGALLGTALGWRTTFWAIAILCIPAAVGVIRGVTNNVGRSETSATSPRLRVELSQLATPRLILAMALGALINGGTFAAFTFLAPIVTETAGLAEAWVSVALVMFGIGSFLGVTIAGRLSDQRPGLVLAVGGPLLLTGWIVLAVVASHPVALIVLVLVQGFLSFGVGSTLITRVLYAASGAPTMGGSYATAALNIGAAAGPVLGALGLATGLGLLAPVWVASVLTAIALVIMLLTRRALTKTAAEAN ->ARGMiner~~~Escherichia coli ampC~~~WP_001765279.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001765279.1~~~cephalosporin;penam~~~unknown MLKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIDQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~mdtM~~~WP_021554057.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_021554057.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGASLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWMWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~MexF~~~WP_025385660.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_025385660.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALMLMFLGLIFIAVLPVSQYPAIVPPTIQVTTNYPGADAKTLINTVALPIEQQVNGVENMLYMQSTSTNSGNYNLIVTFAIGTDLNFAQVLVQNRVQAAMAQLPQPVQQQGVLVQQKSTAILQFITLTSEHGEYDGLFLNNYATINMQDELSRLPGVGNVVIFGSGTYAMRIWLDPQKMRAFSLTPREVLEAISNQNKEVSAGQIGNPPTVGPQAYQLTVNVPGQLADPEQFGDIIIKSQATEADENANASSSAQIIRVRDVGRVELGSSTYSQLAKLNGKPTAAIGIFQLPGANALQVASEVRKTVDKMAKKFPPGMQYSIPFDTTIFVKASVDEVYKTLFEAGILVLLVIVVFLQNFRATLVPATTVPVTIIGTFIAMFMLGYSINLLTLFALVLAIGIVVDDAIVIVEGVTQHIERGIPPKEAAIQTMKELFGPIIGITLVLMAVFVPAGFMPGLTGSMYAQFALVIAATAFISAINAMTLKPTQCALWLKPIDENKPKNIFFRTFDRLYNPVEAAYVRFMDRLVHHSGRVCLIGIVLVACAIFGLSRIPTGFIPIEDQGYVILSVLLPDGASLQRTEAVLDKLSKEASKVAGVANVIAIDGISLLDNNSSLANAGVVYVMFKDWSVRGKNEDLLAMYKTFNDIATKTLDAKVLVVVPPPIQGLGLSGGFQMQVELQDGSFDYKKLQDVTDQLIHYSTQWPEVQKLMTSFRSSVPQLLAPINRTKAESLGVSIGDAFDTLQTYLGSSYVNLFTKFGQVFQVYVQADASSRMTIEDVRNYYVKNQSGEMVPLGTLTDMVPAVGPGIISLYNLYPSSSINGMAGTGYSSGQAMQTMEKLAQQLLPAGVSYEWTSTAYQEKIAGNLSYFIFILSLILVYMILSGQYENWLTPAAIILSVPLALIGTVLVLSLLGLANNMYTQIGILLLIALAAKNAILIVEVAREERLIHNKSIIEAAVLGAKTRFRPILMTSFAFIMGVMPLVFASGAGANARRSIGIAVASGMLASTCLAVVFVPVFYVLIQSWQERRRLQKERRLAG ->ARGMiner~~~arnA~~~WP_001502581.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001502581.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYATDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~Escherichia coli mdfA~~~EGJ08009.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~EGJ08009.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MRDYYWRRNCMQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLVVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIITCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQQAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIIIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~macA~~~WP_047951649.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047951649.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVSIPVEEGQTVNAAQSAPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERVMGGPPRR ->ARGMiner~~~sdiA~~~WP_032675117.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032675117.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDLDFFTWRRDCSLRFQELTCAAEVYQELERQTQALEFDYYALCVRHPVPFTRPKISLQTTYPKLWMAQYQSANYFAIDPVLKPQNFIQGHLPWTDALFAEAQELWHSAQDHGLRSGITQCLMLPNHALGFLSVSRTRVQEGPLAHEEIELRLQMLVQMALISLMRFDDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~arnA~~~EIQ28065.1~~~peptide unknown +>ARGMiner~~~arnA~~~EIQ28065.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQMLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTECLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~CRP~~~WP_000242758.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_000242758.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSSQMARRLQVTSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~tolC~~~WP_063941830.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063941830.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQSIFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLNLTASTGVSDTSYSGSKTNTSQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTIAPENAQQDAAADGYTSNSATPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~arnA~~~WP_001639677.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001639677.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQEPIDETLDFFLRTVDLTDKPS ->ARGMiner~~~y56 beta-lactamase~~~WP_050163074.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050163074.1~~~cephalosporin;penam~~~unknown MKHSSLRRALLLAGITLPLVNFSLPTWAAAIPGSLDKQLAALEHSANGRLGIAMINTGNGTKILYRGARRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLVKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDASIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATKIILSQIS ->ARGMiner~~~tetW~~~AMP42228.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~AMP42228.1~~~tetracycline~~~unknown MNIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMLLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMDIPTVIFINKIDQAGVDLQGVYQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISREELAQEEQQRVQDASLFPVYHGSAKNGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCDQRLIYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTSIAPKTAAQRERLLDALTQLADTDPLLRYEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPSVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFILYAPQEYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGRSVCLTELKGYQATVGEPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~FosB~~~WP_036159178.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_036159178.1~~~fosfomycin~~~unknown MKIKGLNHLLFSVSDLEQSIAFYTDVFDAKLLVKGRTTAYFDLNGLWLALNEEKDIPRNEISESYTHIAFTIEAADFDEVYNKLEQLDVNILAGRKRDERDKKSIYFTDPDGHKFEFHTGTLQDRLDYYNKEKTHMEFFED ->ARGMiner~~~EXO beta-lactamase~~~WP_033034676.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_033034676.1~~~penam~~~unknown MRKPTSSLTRRSMLGAGLGLGGALALGSTTASAASAGTTPSENPAAVRRLRALEREHQARIGVFALNLATGASLLHRAHELFPMCSVFKTLAAAAVLRDLDHDGSQLSRVIRYTEADVTKSGHAPVTKDHIDTGMTIRDLCDATIRYSDNCAANLLLRELGGPTAVTRFCRSLGDPVTRLDRWEPELNSAEPDRRTDTTSPYAIARTYQRLVLGNALNRPDRALLTDWLLRNTTTLTTFRTGLPKGWTVADKSGGGDTYGTRNEAAIAWTPDGAPVLLTALTHKPSLPTAPGDTPLIIKLATVLSEAVAPA ->ARGMiner~~~tolC~~~WP_000735296.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735296.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQALILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLTLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTRGANSAQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYTPDSPAPVVQPASVRTNTSTGKNPFRN ->ARGMiner~~~adeB~~~WP_000987597.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987597.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHIVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~macB~~~WP_001538507.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001538507.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNIIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~blaF~~~WP_064925591.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_064925591.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGGAAPAFATPIDDQLAELERRDNVLIGLYAANLQSGRRITHRPDEMFAMCSTFKGYAAARVLQMAEHGEISLDNRVFVDADALVPNSPVTETSAGAEMTLDELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAILAGDALSPPQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPDGQRLLLVMMTRSQAHDPKAENLRPLIGELTGLVLPSLL ->ARGMiner~~~macA~~~WP_045616254.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045616254.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKAQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGESREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~BcI~~~WP_048568166.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_048568166.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSLTSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTEWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKTLR ->ARGMiner~~~mecI~~~WP_049310647.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_049310647.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKNKIVVFTTIHRKQRKQSSR ->ARGMiner~~~mdtH~~~WP_057055943.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_057055943.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVSSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMAFSRLSLAFGGALGYTGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~cmeB~~~WP_002922543.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002922543.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALVLAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVYE ->ARGMiner~~~mdtP~~~WP_001375868.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001375868.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHDLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~arnA~~~WP_001561727.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001561727.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPTIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLTVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~FosB3~~~WP_002512015.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_002512015.1~~~fosfomycin~~~unknown MIQSINHVTYSVSDISKSINFYKGILKAKILVESDKTAYFTIGGLWLALNEEKDISRNEIQYSYTHMAFTINENEFDEWYQWLKDNNVNILEGRNRDIRDKQSIYFTDPDGHKFELHTGTLQDRLNYYKEEKPHMKFYI ->ARGMiner~~~arnA~~~WP_032237896.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032237896.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVEPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtG~~~WP_021530156.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_021530156.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKKPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNLSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~acrE~~~WP_021499401.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_021499401.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDLAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~Escherichia coli acrA~~~WP_046623773.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_046623773.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGNKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~macB~~~WP_048215946.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048215946.1~~~macrolide~~~unknown MTALLELSHIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPPQKPSGGRDIAEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGILKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli acrA~~~WP_050958093.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_050958093.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQAPAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGDKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~L1 beta-lactamase~~~WP_053443113.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_053443113.1~~~cephalosporin~~~unknown MRCSLLAFALAAAVPVAHASAAEAPLPQLRAYTVDTSWLQPMAPLQIADHTWQIGTENLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKVRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVVANAESAVLLARGGSNDLHFGDGITYPPTSADRIIMDGEVVTVGGIAFTAHFMPGHTSGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFTTVRGLPCDLLLTPHPGASNWNYAAGSKAGAKTLTCSAYADAAEKTFDAQLAKESAAHR ->ARGMiner~~~mdtH~~~WP_020898672.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_020898672.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITLLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071130.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071130.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPIIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIISIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~cmeA~~~WP_002912580.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002912580.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACSKEEVPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIVNKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASAKAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~macA~~~WP_032252297.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032252297.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDTVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~Escherichia coli ampC~~~WP_001468906.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001468906.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDDVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~mexH~~~WP_047947588.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_047947588.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGGPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~adeG~~~WP_020849959.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_020849959.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKSATPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETAHINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATSVAGGVQRPRAAQGNARA ->ARGMiner~~~mdtP~~~WP_016249479.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_016249479.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSATLISGCALVRKDSAPHQQLEPEQIKLADDIHLASSGWPQAQWWKQFHDPQLDALIQRTLSGSHTLAEAKLREEKTQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSALAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRSVIDYAVRAHQSKVTHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLNQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNIMIERYNQSVLNAVRDVAVNGTRLQTLNEEREMQAERVEATRFTQRAADAAYRRGLTSRLQATEAQLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPIVEKK ->ARGMiner~~~MexD~~~WP_010953727.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_010953727.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSRFFIHRPNFAWVVALFISLAGLLVIPSLPVAQYPNVAPPQISITASYPGASAKVMVESVTSIIEQSLNGAKGLLYYESTNNSNGVAEVMVTFEPGTDPDMAQVDVQNRLKQAEARMPQAVLTQGLKVEQASSGFLLIYALTSTAGNRGDTTALADYAARNINNELLRVPGVGKLQFFASEAAMRVWVDPQKLVGYGLSIDDINSAIRGQNVQVPAGSFGSTPGASEQELTATLAVQGTLDTPEAFAGIVLRANPDGSSVRLGDVARMAIGSENYNLSARLNGHPAVAGAVQLAPGANAIQTATLVKERLAELSQFFPEGVEYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLVPSIVVPVCLLGTLMIMKLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERLMAEEGLSPVEATIKAMGQVSGAIIGITLVLAAVFLPLAFMSGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPVPHGHHEKAGFFGAFNRGFARVTERYSLLNSELVARAGRWMLAYVGILVVLGYSYLRLPEAFVPAEDLGYSVVDVQLPPGASRVRTDHTAEALEKFLMSRDAVANSFIVSGFSFSGQGDNAALAFPTFKDWSQRDKAQSAEAETAAINAQFAANGDGAITAVMPPPIDGLGNSGGFALRLMDRGGLGREALLAARDQLLARANGNPVILYAMMEGLAEAPQLRLHIDREKARALGVSFEAINSTLATAFGSAVINDFTNAGRQQRVVVQAEQGERMTPESVLRLYAPNANGEQVPFSAFVTTQWEEGPVQLVRYNGYPSIRIAGDASPGHSTGQAMAEMERLVSELPPGIGYAWTGLSYQEKVSSGQAASLFALAILVVFLLLVALYESWAIPLTVMLIVPIGALGAVWAVTLTGMPNDVYFKVGLITIIGLAAKNAILIVEFAKELWEKGYSLCDAAIEAARLRFRPIVMTSMAFILGVVPLAIASGAGAASQRAIGTGVIGGMLSATLLGVVFVPVCFVWVLTLLKRKPSPVQQAVEEAE ->ARGMiner~~~cphA7~~~WP_024945765.1~~~carbapenem unknown +>ARGMiner~~~cphA7~~~WP_024945765.1~~~carbapenem~~~unknown MKGWIKCGLAGAVVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYVQENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRKPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRELMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHEGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAAPQS ->ARGMiner~~~adeG~~~WP_031962469.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_031962469.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANATLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~FosB3~~~WP_060398708.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_060398708.1~~~fosfomycin~~~unknown MEIKGINHLLFSVSHLDTSIDFYQNVFGAKLLVKGRTTAYFDLNGIWLALNEEPDIPRNDIKASYTHIAFTIEEREFEEMSAKLKRLQANILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMEFYD ->ARGMiner~~~CTX-M-3~~~AIC64407.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64407.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKESDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~acrB~~~WP_029568496.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_029568496.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVLAIIVMLAGALSILKLPIEQYPNVAPPAIEIQANYPGADAKTLQDSVTQVIEQNMNGIDGLMYMSSSSDSSGALTLTISFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGIQVKKSSSSFLMVAGFISDDGSMNQNDISDYVASNIKDPISRTPGVGDTQVFGAQYAMRIWMDPSKLNNYQLTPVDVINALKTQNAQVAAGQLGGTPPVPGQQLNASIIAQTRLTSTKEFGNILLKVNADGSQVRLRDVAQIELGGENYEIIARYNGKPASGIGIKLATGANALNTAEAVKAELAKLQPTFPAGMKVVYPYDTTPFVKISIFEVVKTLMEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAIISAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATKRSMEQIQGALVGIALVLSAVFIPMAFFGGSTGVIYRQFSITIVSAMALSVLVALILTPALCATMLKPVKKGDHGKTTGFFGWFNRLFDKSTHHYVDSVGHIVRGTGRYLLLYLLIVLGMAFLFLRLPSSFLPEEDQGLLLAQAQLPAGATQERTQKVLDQVSDYFLTKEKDSVKSVFTVAGFGFAGRGQNTGIAFVSLKPWDERTSSDMKVPAIQGRAMQALGQIKDGMVFAFNLPAIIELGNATGFDFELIDQANLGHDKLTQARNQLFGMIAQHPDTLVGVRPNGLEDTPQFKLDIDQEKAQALGVSLSDINTTLAASWGGSYVNDFIDRGRVKKVYVMGKADARMLPDDINKWFVRNSNGEMVPFSAFSSAHWQYGSPRLERYNGLPAMEILGQAGPGKSSGEAMNLMEELASKLPTGIGFDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLFTTLRGLSNDVYFVVGLLTTVGLSAKNAILIVEFAKDLMEKEGKGLVEATLEACRMRLRPILMTSLAFILGVLPLAISTGAGSGAQNAVGTGVMGGMVTATALAIFFVPVFFVVVRRRFGKKADIEKDHPVDNHSH ->ARGMiner~~~emrB~~~WP_050763760.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_050763760.1~~~fluoroquinolone~~~unknown MTIALSLATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLARRIGEVRLFLWSTGLFALTSWLCGISGSLEMLILFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSMTIVIAPICGPILGGYISDNYHWGWIFFINVPFSIAIIFAIMRTLKGRETKISIQRIDTIGLVLLVVGIGALQIMLDQGKELDWFNSTEIIVLTVIAVVAIAFLIVWELTDDHPVIDLSLFKERNFTIGCLSLSLAYMLYFGTIVLLPQLLQEVYGYTATWAGLASAPVGLLPLLITPIIGRFGNRIDMRYLVTFSFIIYAVCYYWRAYTFEPGMSFAEAAWPQFVQGLAIACFFMPLTTITLSGLPPEKMASASSLSNFTRTLAGAIGTSITTTMWTQRESMHHENLAEFVNPYNPNAQQMYSELAKIGMNEQQSAAYLAKTITDQGLIMSANEIFWLSAGIFILLMVIVWFAKPPFGAGSKDGGGAH ->ARGMiner~~~acrB~~~WP_050191476.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_050191476.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~mdtO~~~YP_002331862~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~YP_002331862~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATMLEIGSLFLIYKWSYGEPLIRLIIAGPILMGGMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVTTVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHSITEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMALRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQVQGATQ ->ARGMiner~~~OpmH~~~WP_027220262.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~WP_027220262.1~~~triclosan~~~unknown MRKSLFCWILTLGVSTHVFATDLMDIYQQALENDTIFKEAYDTYMSSTEAIPQARAALYPQVGLGSQAGRNYQDAVAGAFSANQYYGSYLWQVNASQALFNYQAWAKVAQAKASVKAAQATFNDAAQNLILRTAKAYFDVLFAKDTLDFAEAKKRANKRQYDQATQRFQVGLDAITSVYEAKAAYDQSIATVIAARNNQINQSENLRKLTNHVYETLAPLKDSKIPLVKPEPNDVNQWIDTGLKQNYKLYAAKYNLEVAKDNVKAISAGNWPVFSLQSNASQVHNNASGNTVFIPSKQTQANIAIAMNFPVFQGGLVQAQTRQAQYGFQSTSEKLEQTYRDVVVNSRIAFNTITDGISKVKADRQTVISVQNSLQSTEAQFEVGTRTMVDVVNAQQRLFEAQEQLARDQYDLINSILTLKYLAGTLNVNDLEQINSWLATTRVNGFSPVDNKTSK ->ARGMiner~~~cmeA~~~WP_002892821.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002892821.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MELFQKNTILALGVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIVNKLFKAGDKVKKGQTLFVIEQDKFKASVDSAYGQALMARATFENASKDFNRSKALFSKSAISQKEYDSSLASFNNSKASLASARAQLANARIDLDHTEIKAPFDGTVGDALVNIGDYVSASTTELVRITNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQDQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~vanB~~~WP_060811963.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_060811963.1~~~glycopeptide~~~unknown MNKIKVAIIFGGCSEEHDVSVKSAIEIAANINTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAIFSPDRKTHGLLVMKEREYETRRIDVAFPVLHGKCGEDGAIQGLFELSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQVIEKGGKPEARTLTYPVFVKPARSGSSFGVTKVNSTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMIIVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMAAATGITLPALIDSLITLAIER ->ARGMiner~~~mdtM~~~WP_038994632.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_038994632.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFVSFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~tolC~~~WP_000694658.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000694658.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKIKLMLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEVTLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDRLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~macA~~~WP_003697392.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_003697392.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQAAYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~mdtH~~~WP_045902818.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045902818.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPLHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGALLFILCALFNALFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALSQPELPWVMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~AAC(6')-Ib7~~~AF479774.1.gene5.p01~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib7~~~AF479774.1.gene5.p01~~~aminoglycoside~~~unknown MSIQHFQTKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSVA ->ARGMiner~~~acrB~~~WP_050178862.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_050178862.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPSEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~acrE~~~WP_025108493.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_025108493.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTPHVRVTLLSSLIIPAILLSGCDNSGDRQPHAQIPQVSVYVVHSAPLSVTTELPGRTSAYRVAEVRPQVSGIILQRNFVEGSDVTAGQSLYQIDPATYQAAYNSTKGDEAKAEAAAAIAHLTVKRYAPLLGTKYISQQEYDQAVATARQADADVIAAKAAVESARINLAYTKVTSPISGRIGKSSVTEGALVTNGQADAMATVQQLDPIYVDVTESSNDFMRLKQESLQHGSGTKSVQLIMENGKPYALQGTLQFSDVTVDESTGSITLRAIFPNPQHALLPGMFVRARIDEGVSPDAILVPQQGVTRTPRGDASVMLVNDKNQVETRAVTASQAIGDKWLITSGLKAGEKVIVSGLQKVRPGVTVKAEEDTATSVAQ ->ARGMiner~~~mdtH~~~WP_023046226.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023046226.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIHFVEQLGWAALVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVTGMLLRALGFALIALATEPWILWLACILSALGGTLFDPPRTALVIKLTRPHERGRFYSLLLMQDSAGAVVGALIGSWLLQYDFHLVCWTGAAIFVLAAIWNAWQLPAYRISTTRTPIWEGMGRVIKDRRFFTYVLTLTGYFMLSVQVMLMFPIIVNEIAGTPAAVKWMYAIEATLSLTLLYPIARWSEKRFRLEQRLMAGLFLMSLSMFPIGLIGEINTLFGIICLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLALGGALGYTGGGWLYDTGHTLNMPQLPWILLGIIGFITLYALHRQFNQRKIESAMLSGS ->ARGMiner~~~macB~~~WP_001675419.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001675419.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRCEHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHLGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALVRE ->ARGMiner~~~Escherichia coli emrE~~~CP004022.1.gene1549.p01~~~macrolide unknown +>ARGMiner~~~Escherichia coli emrE~~~CP004022.1.gene1549.p01~~~macrolide~~~unknown MNGLTYLILAIISEVIATTVLKASDGFSRLYPSIVVVVGYCFSFWALSQVVKVMPLGIAYAIWSGLGIVLVSVAAVFVYQQKLDLPAIVGMTLIIAGVLVINLLSNSTSH ->ARGMiner~~~adeG~~~WP_032045743.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032045743.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTNKTSTPAKG ->ARGMiner~~~EXO beta-lactamase~~~WP_018893895.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_018893895.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAATLVPGTAYASSGGRGHGSGSVSDAERRLAGLERASGARLGVYAYDTGSGRTVAYRADELFPMCSVFKTLSSAAVLRDLDRNGEFLSCRIFYTQDDVAQADGAPETGKPENLANGMTVEELCEVSVTASDNCAANLMLRELGGPAAVTRFVRSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLVLGDALNPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRAPIVLTVLTAKTEQDAARDDGLVADAARVLAETLG ->ARGMiner~~~emrA~~~WP_064327128.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_064327128.1~~~fluoroquinolone~~~unknown MSANAEMTPPQQPANKKGKRKSALLVLTLLFIIIAVAYGIYWFLVLRHVEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVQKGDVLVTLDPTDAQQAFEKAQTELASSVRQTRQSMINSKQLQASIDVQKTALAQAQSDLNRRIPLGTANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILGTTLENQPAVKQAATEVRNAWLALQRTKIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPASNLWVDANFKETQLAHMRIGQTATIVSDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLADHPLRIGLSTLVTVDTAVRDGQMLANQVRTDPAYESNAREISLDPVNKLIDEIVKANAG ->ARGMiner~~~MexB~~~WP_019692214.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_019692214.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTSSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLMVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKTAIAAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKEILLRVNKDGSQVRLSDVADVGLGGENYSVSAQFNGSPASGLAVKLANGANALDTAKALRKTIDNLKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGAPKRGFFGWFNRNFDRSVKSYERGVGNMLKHKAPFLLAYIIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTSQRTQVVVDEMREFLLRPGKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLKPWGERNADNSVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDKLMAARNQFLGMAAQSKVLSQVRPNGLNDEPQYQLEIDDEKASALGITMADINSTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMSPEDLKKWYVRNSHGTMVPFSAFAKGEWIYGSPKLARYNGVEAVEILGTPAPGYSTGEAMAEVEALAQKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALLATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLTDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATVLAIFWVPLFFVTVSSMGQRKTADQDVDAIETPKEAGQ ->ARGMiner~~~hmrM~~~WP_021573603.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_021573603.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALTDKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVVGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~macA~~~WP_003834288.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_003834288.1~~~macrolide~~~unknown MKLKGKIKKRYFLFAIILIVAVIALWRTLNAPLPQYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAEAEWKLARVTLSRQQQLAKTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLHPGQRAWFTVLGDPQTRYEGKLKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTDVKNVLTIPLSALGDPIGNNRYNVRLLRNGETREREVVIGARNDTDVEIVKGLEEGDEVITGEGNAGAAK ->ARGMiner~~~mdtF~~~WP_001665416.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001665416.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTPIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mdtG~~~EFF12375.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~EFF12375.1~~~fosfomycin~~~unknown MAHQQRIAMSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~BcI~~~WP_016098536.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_016098536.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRNILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKALR ->ARGMiner~~~macB~~~WP_032359333.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032359333.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLENRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_001462366.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001462366.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLLVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macB~~~WP_001553627.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001553627.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERGIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_063449190.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063449190.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTGGTYRVAGMDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIRDGELVSNPPPRQSRAAAPKEALPASGGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tetX~~~WP_032557848.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~WP_032557848.1~~~glycylcycline;tetracycline~~~unknown MNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEVEMFKPDFTFQQLLNV ->ARGMiner~~~mefA~~~WP_009317659.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_009317659.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPIWMVMVVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWGLNAIIAIDVLGAVIASITVAIVRIPKLGDQVQSLKPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMEYFNGTPMHISITEIAYASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADRIGVNHWFLLSGILIICIAIVCPMITEIRKLDAK ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071142.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071142.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTSVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGEFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~vanRB~~~WP_060488987.1~~~glycopeptide unknown +>ARGMiner~~~vanRB~~~WP_060488987.1~~~glycopeptide~~~unknown MDIRILLVEDDEHICNAVKVFLSGAGYKVDACFNGDEAHTKFYDNTYQLVILDILLPGMNGHELLREFRKLNNTPVLMMTALSDDENQIRAFDAEADDYVTKPFKIQLLLKRVEALLRRSGAVAKEIHYGKLTLLPEDFKVFYDDEELLLTLKEFEILMLLVQKNGKTLSHEVILSRVWGYDFDGDGSTVHTHIKNLRAKLPDNIIKTVRGVGYRLEETS ->ARGMiner~~~patB~~~WP_061663029.1~~~fluoroquinolone unknown +>ARGMiner~~~patB~~~WP_061663029.1~~~fluoroquinolone~~~unknown MSQFDEVIPRIGTNSEKWDGAEELFGRKDIIPMWVADMDFRAPKPVLDAFQRQIDHGIFGYSTKSKALVEAVIDWNKEQHQFEIDPSTLFFNGAVVPTISLAIRSLTNEGDAVLMVSPIYPPFFNVTKATERKVVMSPLIYENRQYRMDFNDLEKRMKEENVKLFLLCNPQNPGGRCFTKEELVELTKLCEKYQIPIVSDEIHADLVMKNHKHVPMMVAAPFYQDQIITLMAATKTFNLAAIKASYYIITNKDYQTRFAAEQKYATTNGLNVFGIVGTEAAYRHGAPWLKELKEYIYSNYEYVKAELEKEVPEVGVTDLEATYLMWLDCRALPKDEKTIYTDLIEAGVGVQMGSGFGHSGKGFVRFNIACPKETLEKAVKLLIQGLKK ->ARGMiner~~~novA~~~WP_040019608.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_040019608.1~~~aminocoumarin~~~unknown MKSVLSTWTPSPRPEDPLRKAKTSAQPEPPAELRRIFGLFRPYRARLGLVGLLVAASSLVSVASPFLLREILDVAIPHGRTGLLSLLALGMIATAVVNSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATVVAMIALDWRLTIVSMLLLPVFVWISRRVGRERKKITTQRQRQMATMAATVTESLSVSGILLGRTMGRSDSLTKAFSDESEQLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWVAGLALQTQGLAVSIGTLVAFVSLQQGLFRPAVSLLATGVQMQTSLALFQRIFEYLDLPVDITEPESPVPLGKVDGEVRFDHVDFSYDEKDGKTLHGIDLTVPAGSTLAVVGPTGSGKSTLSYLVPRLYDVTGGRVLIDGIDVRDMDFDTLARAVGVVSQETYLFHASVAENLRFAKPEATDDEIETAAKAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDSLTEGRTTITIAHRLSTIRDADQIVVLDAGRIAERGTHDELLAQDGRYAALVRRDSRPAGAAESPAGSLAPASP ->ARGMiner~~~bcrA~~~WP_016107411.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_016107411.1~~~peptide~~~unknown MSPIHTIIKTTNLTKVYGTQKSVDNLNINVQQGEVYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLFQNQKNILRRIGSIVEVPGFYENLTAKENLLINAKIIGIHKKNAIEEALEIVGLQHETTKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITILISSHILAEVEQLVDRMGIIHEGKLLEEVSLDTLRKTNRKYIEFQVNHDNRATMLLENHLQIFDYEVHDEGNIRIYSHFGQQGHINRTFVLNDVDVLKMAMSEDRLEDYFTNLVGGGTIG ->ARGMiner~~~ACT-29~~~WP_028016423.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_028016423.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLSTSCSVFAAPMSEKQLAAVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLSDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQDWQPEWKPGTTRLYANASIGLFGALAVKPSGMNYEQAITTRVFKPLKLDHTWINVPESEQEHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVKDMASWVMANMSPDTLQDTSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVEAKTVVEGSDNKVALAPLPAVEVNPTAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYHILDALK ->ARGMiner~~~lsaB~~~WP_036227195.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_036227195.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFAYPGSFDPIFEGVNFQLDTDWKLGFIGRNGRGKSTFFQLLLGKYEYSGKINASVDFTYFPYPVADRNKYTYEIFEEICPQAEDWEYLREISYLHVDAEVMYRPFYTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKIVANYLKKKKGFILISHDRTFLDGCVDHILSINRANIDVQSGNYSSWKLNFDRQQEHEEATNHRLQKDIERLKHSSKRSAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKHIESRQQKAIDEKSKLLKNVEKTESLKLEPLAFQSKELITLTDVSVRYDDNMINQPLSFKVEQGDRIVLDGKNGSGKSSILKLIHGNDIQHTGTIHVGSGLIISYVQQDTSHLKGPLSDFIEEHGIDETLFKSILRKMDFDRIQFEKDIAHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRLQIEELIQSFNPTMVFVEHDQVFQQTIATKIISM ->ARGMiner~~~OpmH~~~WP_061640132.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~WP_061640132.1~~~triclosan~~~unknown MRKSLFCWILTLGVSTHVFATDLMDIYQQALENDTIFKEAYDTYMSSTEAIPQARAALYPQVGLGSQAGRNYQDAVAGAFSANQYYGSYLWQVNASQALFNYQAWAKVAQAKASVKAAQATFNDAAQNLILRTAKAYFDVLFAKDTLDFAEAKKRANKRQYDQATQRFQVGLDAITSVYEAKAAYDQSIATVIAARNNQINQSENLRKLTNHVYETLAPLKDSKIPLVKPEPNDVNQWIDTGLKQNYKLYAAKYNLEVAKDNVKAISAGNWPVFSLQSNASQVHNNASGNNVFIPSKQTQANIAIAMNFPVFQGGLVQAQTRQAQYSFQSTSEKLEQTYRDVIVNSRIAFNTITDGISKVKADRQTVISVQNSLQSTEAQFEVGTRTMVDVVNAQQRLFEAQEQLARDQYDLINSILTLKYLAGTLNVNDLEQINSWLATTRVNGFSPVDNKTSK ->ARGMiner~~~tetO~~~ACT76128.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~ACT76128.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSDLEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPGGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIKISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~mdtG~~~HE999704.1.gene3084.p01~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~HE999704.1.gene3084.p01~~~fosfomycin~~~unknown MENWKKNLYVVWVGCFLTGTGLNLIMPFLPLYIEELGVHNPDQVSMWSGIALSSTFLVSAIMSPIWGKLADQKGRRIMLLRAALGMAIAMILMGLVSNVYQFVGLRLLMGIFSGYISTANALIATQVPRHRSGWALGALSTAAVSGVLIGPLIGGALSDTFGVRPVFYITGVLLLGSFFLTLFFVKEKFTPVEKKEMRSGKEVFLSLKNPGLIISLFITTMMIQIASNSVKF ->ARGMiner~~~arnA~~~WP_001613499.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001613499.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVTRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNNQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~Escherichia coli ampC~~~WP_057695927.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_057695927.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLCANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~Escherichia coli ampC~~~AIW80391.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~AIW80391.1~~~cephalosporin;penam~~~unknown MAGRFVWKPDLMLKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAAHPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~rosA~~~YP_001605796~~~peptide unknown +>ARGMiner~~~rosA~~~YP_001605796~~~peptide~~~unknown MTDRSDTGCQQPVNVSVKRTSFSILGAISVSHLLNDMIQSLILAIYPLLQAEFSLSFAQIGLITLTYQLTASLLQPLIGLYTDKHPQPYSLPIGMGFTLSGILLLAVATTFPVVLLAAALVGTGSSVFHPESSRVARMASGGRHGLAQSVFQVGGNFGSALGPLLAAIIIAPYGKGNVGWFSLAALLAIVVLLQVSKWYKLQQRASYGKVLKISSAKTLPKNKIISTLAILMVLIFSKYFYLTSISSYYTFYLIHKFGVSVQSAQIHLFVFLFAVAAGTIIGGPLGDKIGRKYVIWGSILGVAPFTLALPYASLYWTGILTVFIGVILASAFSAILVYAQELIPGKVGMVSGLFFGFAFGMGGIGAAVLGYVADLTSIELVYQICAFLPLLGIFTALLPNLDDK ->ARGMiner~~~CTX-M-3~~~AIC64330.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64330.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mdtH~~~WP_046976358.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_046976358.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIHFVEQLGWAALVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVTGMLLRALGFALIALATEPWILWLACILSALGGTLFDPPRTALVIKLTRPHERGRFYSLLLMQDSAGAVVGALIGSWLLQYDFHLVCWTGAVIFVLAAIWNAWQLPAYRISTTRTPIWEGMGRVIKDRRFFTYVLTLTGYFMLSVQVMLMFPIIVNEIAGTPAAVKWMYAIEATLSLTLLYPIARWSEKRFRLEQRLMAGLFLMSLSMFPIGLIGEINTLFGIICLFYLGTVTAEPARETLSASLADPRARGSYMGFSRLGLALGGALGYTGGGWLYDTGHRLNMPQLPWILLGIIGFITLYALHRQFNQRKIESAMLSGN ->ARGMiner~~~norB~~~WP_014602313.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_014602313.1~~~fluoroquinolone~~~unknown MTSTAYKGTNKLIVGIVFGVITFWLFAQSMVNIIPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGATLLIIGRIIQGLSAACIMPATLALMKAYFEGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGATFGWTSPITIAMLVVFLISAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSGITAVGIALMALTFVPGTLYTVLVFIGFALFGIGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLIAVAVTTPSAKKALELKAAKE ->ARGMiner~~~Erm(41)~~~ACO89576.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~ACO89576.1~~~macrolide;lincosamide;streptogramin~~~unknown MSGQRSRRQWGWYPLTDDWAARIVAESGVRSGEFVVDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRVAEADLLAFRWPRRPFRVVASPPYQVTSALIRSLLTPESRLLAADLVLQRGAVHKHAKRALVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~cphA2~~~WP_045529203.1~~~carbapenem unknown +>ARGMiner~~~cphA2~~~WP_045529203.1~~~carbapenem~~~unknown MKGWIKCGLAGAVVLMASFWGGSVRAAGMSLTQVNGPVYVVEDNYYVQENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRQPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWVEIVAFTRKGLPDYPDLPLVLPNVVHQGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDQQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAAPQS ->ARGMiner~~~macA~~~WP_050891053.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050891053.1~~~macrolide~~~unknown MVIFIVGSLPPWMGQGLAVESASDLWDWRIQRIENMAKMMKWAAVAAVAAAAVWGGWSYLKPEPQASYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEMTADEQQESGVRVMGGPPRR ->ARGMiner~~~adeG~~~WP_038749598.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_038749598.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAATLAAVVIAGLGAFGAIRVNAGAPDKAAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREATANLKAAEAALETARINLGYTRISAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANERGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGSQHGNQRVIAGGLAAGDRIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPQGAPGNARA ->ARGMiner~~~norA~~~WP_016528694.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_016528694.1~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAALALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAIGQNFLILMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFTGALGILAFIMSIVLIHDPKKVSTNGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~acrB~~~WP_021531079.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_021531079.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTNYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVSFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~acrB~~~WP_001132515.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132515.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDSTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPADVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~MexD~~~WP_038405087.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_038405087.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGEESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~BcI~~~WP_002082757.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002082757.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEASYDNQLIAEATEVIVKALR ->ARGMiner~~~tolC~~~WP_000735324.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735324.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKDNDPQLLSVAAQRDAAFEAVTSSRSTLLPQINLTAGYNVNRSDQDPRESDLFSAGINFSQELYQRSSWVTLDTAEKKARQADSEYAATQQGLILRVSKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENNLTNSYETLREITGQEYSKLAVLDTKRFAASRTTESTDALIEQAQQKNLSLLSARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDSAKGTSGEEYNDFKIGVNLSVPLYSGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDIMDVNAGLKVAKK ->ARGMiner~~~emrA~~~WP_032642979.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_032642979.1~~~fluoroquinolone~~~unknown MSANAESTTPQQPANKKGKRKSALLLLTLLFIIIAVAYGIYWFLVLRHAEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAKTALASSVRQTRQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGTANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMVLGTSLENQPAVQQAATEVRNAWLALERTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPADNLWVDANFKETQLAHMRIGQTATVVSDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLADHPLRIGLSTLVTVDTANRDGQILASQVRSSPAYESNAREISLDPVNKLIDDIVKANAG ->ARGMiner~~~hmrM~~~WP_032218252.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032218252.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPALLFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~macB~~~WP_038984467.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_038984467.1~~~macrolide~~~unknown MTALLELTDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGADVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLARAQALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRASRAAAPKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTFQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQIFLPGWEIGFSPVAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_031601912.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_031601912.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSIIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~mdtH~~~WP_057509482.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_057509482.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFFLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTARTPVREGMRRVMSNKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASPADARARGSYMGFSRLGLAIGGAISYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~TEM-1~~~ANG18949.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG18949.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKVLESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKRW ->ARGMiner~~~tet(30)~~~WP_056309827.1~~~tetracycline unknown +>ARGMiner~~~tet(30)~~~WP_056309827.1~~~tetracycline~~~unknown MIVILATVAIDAIGAGLIFPILPELLTQLTEGGDIGFLYGAMLAVYAVMQFIFSPILGALSDRFGRRPVLLLSLAGTLIDYLVMALTPLGWVLVVGRAMAGITSANMAVASAYITDITPPDQRAQRFGLIGAVMSMGFIIGPVIGGVMGAWWLRSPFLIAALFNGLNLAVALFVLPESRKAADGIFDWKQLNPLAPLVWLWNFKPLLPMVIVSVVFGLIAAVPGTIWVLYGAERFGWDSVHMGISLSVFGVSGALAQAFLVGPLTKRFGDLGTLMIGVAFDTLAYTLMAFAYQSWMGYAVAPLFALGGVAMPALQSLLTSRVSDEQQGQLQGVLASLMSLAGIIGPVLTTAIFFSTRSIWIGTVWIVGAALYLLATPLFATVRQPKLATA ->ARGMiner~~~mdtG~~~WP_032223627.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032223627.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFFLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~sdiA~~~WP_065518777.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_065518777.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMATGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWNDSLFRDAPALWDGARDHGLQKGVTQCLRLPNHAQGFLSVSANNRLPGGYPEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~AAC(3)-VIa~~~NC_009838.1.5616796.p01~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-VIa~~~NC_009838.1.5616796.p01~~~aminoglycoside~~~unknown MMTDPRKNGDLHEPATAPATPWSKSELVRQLRDLGVRSGDMVMPHVSLRAVGPLADGPQTLVDALIEAVGPTGNILAFVSWRDSPYEQTLGHDAPPAAIAQSWPAFDPDHAPAYPGFGAINEFIRTYPGCRRSAHPDASMAAIGPDAAWLVAPHEMGAAYGPRSPIARFLAHAGKILSIGAGPDAVTALHYAEAVARIEGKRRVTYSMPLLREGKRVWVTTSDWDSNGILDEYAAPDGPDAVERIARDYLARTRVAQGPVGGAQSRLIDAADIVSFGIEWLEARHAAPAAAALKPKQRRD ->ARGMiner~~~macB~~~SBY84545.1~~~macrolide unknown +>ARGMiner~~~macB~~~SBY84545.1~~~macrolide~~~unknown MTALLELRNIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYTGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTYTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexB~~~AEO72915.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~AEO72915.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MIALVIMLAGGLSILSLPVNQYPAIAPPAIAVQVSYPGASAETVQDTVVQVIEQQMNGIDNLRYISSESNSDGSMTITVTFEQGTDPDIAQVQVQNKLQLATPLLPQEVQRQGIRVTKAVKNFLMVVGVVSTDGSMTKEDLSNYIVSNIQDPLSRTKGVGDFQVFGSQYSMRIWLDPAKLNSYQLTPGDVSSAIQAQNVQISSGQLGGLPAVKGQQLNATIIGKTRLQTAEQFENILLKVNPDGSQVRLKDVADVGLGGQDYSINAQFNGSPASGIAIKLATGANALDTAKAIRQTIANLEPFMPQGMKVVYPYDTTPVVSASIHEVVKTLGEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPREAARKSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVIVALILTPALCATMLKPIEKGDHGEHKGGFFGWFNRMFLSTTHGYERGVASILKHRAPYLLIYVVIVAGMIWMFTRIPTAFLPDEDQGVLFAQVQTPPGSSAERTQVVVDSMREYLLEKESSSVSSVFTVTGFNFAGRGQSSGMAFIMLKPWEERPGGENSVFELAKRAQMHFFSFKDAMVFAFAPPSVLELGNATGFDLFLQDQAGVGHEVLLQARNKFLMLAAQNPALQRVRPNGMSDEPQYKLEIDDEKASALGVSLADVNSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPDARMNPDDLSKWYVRNDKGEMVPFNAFATGKWEYGSPKLERYNGVPAMEILGEPAPGLSSGDAMAAVEEIVKQLPKGVGYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSMRGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKELHEQGKGIVEAAIEACRMRLRPIVMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMVTATILAIFWVPLFYVAVSTLFKDEASKQQASVEKGQ ->ARGMiner~~~acrE~~~WP_016808171.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_016808171.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTRHVRVTLLSSLIIPALLLSGCDDSGDRQPHAQIPQVSVYVVNSAPLSVTTELPGRTSAYRVAEVRPQVSGIILHRNFVEGSDVAAGQSLYQIDPATYQAAYNSAKGDEAKAEAAAAIAHLTVKRYAPLLGTKYISQQEYDQAVATARQADADVIATKAAVESARIDLAYTKVTSPIGGRIGKSSVTEGALVTNGQADAMATVQQLDPIYVDVTESSNDFMRLKQESLQQGSGTKSVQLIMENGQPYALRGTLQFSDVTVDESTGSITLRAIFPNPQHTLLPGMFVRARIDEGISPDAILVPQQGVTRTPRGDASVMLVNDKNQVETRPVTASQAIGDKWLITSGLKAGEKVIVSGLQKVRPGVTVKAEEDTATTVAQ ->ARGMiner~~~mdtN~~~YP_002331863~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~YP_002331863~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETELKNIRSGTPATIRLMSNSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVEKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~tetX~~~AIW80477.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AIW80477.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMLPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~arnA~~~WP_001764755.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001764755.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIITGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtO~~~CSR65384.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~CSR65384.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPMVRLLAFFHEELSERRPGRVPQIVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGRMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAVAARECNLENICQTLLQLGQMNPNTPPTPAAKPPSMVADAFTNPDYIRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVSNPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQSRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~arnA~~~WP_016247806.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_016247806.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLKWHKPASMLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~TEM-1~~~ANG24271.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG24271.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSTRHFRVALLPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtG~~~WP_042309229.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_042309229.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGLAQNIWQFLVLRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFILTLFFIRERFQPVSKKEMLHIREVVASLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVHTPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQVAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNAIYSWNSLRRRRSVELAG ->ARGMiner~~~amrA~~~WP_034201805.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_034201805.1~~~aminoglycoside~~~unknown MNNKRTLWRRMRLAPFALAALLAVAGCGKGDKDAAPETAKQATVVTVRPTAVPMTVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKQGAVLFRIDPAPLKAARDAAQGALAKAQAAALAATDKRRRYDDLVRDRAVSERDHTEAVAADTQAKAEVASAKAELARAQLQLDYATVTAPIAGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVDALRRAVKSGRATGIAQHDVAVTLLRADGTAYPLKGKLLFSDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDTAVDQRAILVPRDALLRTADRTSVRVVGANGKVKDVEVTADQMSGHDWRITRGLAGGEHVIVDDAAQFAPDTAVKPVEKAPPSKAAPPAAASQAAARQT ->ARGMiner~~~Escherichia coli acrA~~~CRL61725.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~CRL61725.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRKNRGVLPLALLVLSGSLVLAGCDDKAKQSAGGPPPAPAVGVVTLGAEALTITTDLPGRTSAFRIAEVRPQVGGIILKRNYTEGSYVEAGTSLYQIDPAIFEATLNSAKAELAKAKANAEIARLTVERYKPLLGTNYVSKQDFDTATSQYAQAVAAVKAGEAAVTTAKINLEYTKVTAPISGRSGKSTVTEGALVAPGQQVALTTVQQIDPIYVNVTQSSEDYLKLKNEIESGVIRQEQGKPVVHLTLTNGQAYAQKGHLEFSDVTVDETTGSITMRAIVPNPNGELLPGMFVRTKLENGIRQNAILIPQQAVIRTARGEATTMVVNKDNVVEVRTIDVSQAVGNKWLVNSGVQAGERVIVSGLQKAKPKMTVTPQEENLDAKPSPEQTEPAKNPQ ->ARGMiner~~~lsaB~~~WP_007131565.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_007131565.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDHIFEGVNFQIDTNWKLGFIGRNGRGKTTFFQLLLGKYEYSGNILSSVEFNYFPYPVSDPNKLTYEVLEEICPQAEDWEFLREISYLKVDAEVMYRPFKTLSNGEQTKVLLAGLFLNEGQFLLIDEPTNHLDTDGRKVVSDYLRKKKGFILISHDRSFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEVINQRLQKDIGRLKESSKRSANWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKSIESRQQKAIEEKSKLLKNVEKTEPLKLEPLEFQSKELIVLADLSVMYDDQIVNKPVSFTVEQGDRIILDGKNGSGKSSILKLILGNEIQHTGSIKRGSGLIISYVEQDTSHLKGQLSDFIEEHEIDESLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCERAHVYIWDEPLNFIDLYSRMQLEELIQQFNPTMIMVEHDQAFQQSVATKMISV ->ARGMiner~~~y56 beta-lactamase~~~WP_050299934.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050299934.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITLPLVNFSLPAWAVPTSDLLGNQLAELERNSNGRLGVTMINTANGRKIQYRGTQRFPFCSTFKFMLAAAVLGKSQTQPGLLDKHIKYHESDLLSYAPITRKNLAHGMTVSQLCAATMQYSDNTAANLLIKELGGVASVNAFARSIGDQAFRLDRLEPDLNTALPNDPRDTTTPAAMADSMNKLVLGDALPAAQREQLALWLKGNTTGANTIQAGAPADWIVGDKTGSGDYGTTNDIAVLWPTQGAPIVLVIYFTQREKDAKPRRDVLAAATKIVLSHLSPAQ ->ARGMiner~~~adeB~~~NC_009085.4919117.p01~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~NC_009085.4919117.p01~~~glycylcycline;tetracycline~~~unknown MNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLNPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSAVNTSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~FosB~~~WP_016088234.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_016088234.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEKSIIFYEKVLEGELLVKGRKLAYFHICGVWVALNEETHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCKSIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMTFY ->ARGMiner~~~arnA~~~WP_001535462.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001535462.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~tetX~~~AMP52291.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP52291.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATQIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~mdtH~~~WP_050164880.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_050164880.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTXXXGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~BcI~~~WP_044306568.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_044306568.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQETYKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~vanTC~~~WP_029487032.1~~~glycopeptide unknown +>ARGMiner~~~vanTC~~~WP_029487032.1~~~glycopeptide~~~unknown MKNKGIDQFRVIAAMMVVAIHCLPLHYLWPEGDILITLTIFRVAVPFFFMISGYYVFAELAVANSYPSRQRVFNFIKKQLKVYLLATLMFLPLALYSQTIGFDLPVGTLVQALLVNGILYHLWYFPALITGSLLLTSLLIHVSFKKVFWLAAGLYLIGLGGDSWFGLIQQTPIEPFYTAVFHLLDGTRNGIFFTPLFLCLGVLVRKQSEKRSLSKTALFFLISLIGLLIESAYLHGFSIPKHDSMYLFLPVVLFFLFPLILRWHPHRTWKHPGQLSLWLYLLHPYTIAGTHFLSQKISILQNNLINYLVVLILTIGFICLFLRQKHSWFRHKQTTPVKRAVKEFSKTALLHNLQEIQRIISPKTKVMAVVKADAYGCGAKEVAPVLEQAGIDFFAVATIDEGIRLRKNAVKSPILVLGYTSPKRIKELRRYSLTQSIISEGHAVALSQRKVAIDCHLAIDTGMHRLGVTPTIDSILSIFDLPFLTISGVYSHLGSADRLNPDSMIRTQKQIACFDQILLELDQRQISYGITHLQSSYGILNYPDLNYDYVRPGILLTGSLSDTNEPTKQRVSLQPILTLKAQLITKRVVAKGEAIGYGQTAVANQETTVGVVSIGYCDGLPRSLSNQEFCLSYRGQSLPQIGLICMDMLLIDLSHCPTIPIESEIEILTDWSDTAEQVQTITNELICRIGPRVSARIK ->ARGMiner~~~macB~~~WP_038857601.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_038857601.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGETEVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLGDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAAREARARELLARLGLEARVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSRSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPAGKRGVARLPAQPHDAPALGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQALQRQPWVSSATPAVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSGEAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~FosB~~~WP_025649575.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_025649575.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~mdtM~~~WP_032256232.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032256232.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTFFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEERLFLRDPAVRLYS ->ARGMiner~~~macA~~~WP_002245942.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002245942.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQASYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~acrB~~~YP_668451~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~YP_668451~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTNYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKNWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~sdiA~~~WP_048348805.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_048348805.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDTAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mexY~~~WP_061189484.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061189484.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFTGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGALGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~macA~~~WP_032943950.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032943950.1~~~macrolide~~~unknown MKLKGKIKKRYFLFAVILIVAVIALWQTLNAPLPQYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAEAEWKLARVTLSRQQQLAKTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLHPGQKAWFTVLGDPQTRYEGKLKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTDVKNVLTIPLSALGDPIGNNRYNVRLLRNGETREREVVIGARNDTDVEIVKGLEEGDEVITGEGNAGAAK ->ARGMiner~~~norA~~~WP_040030241.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_040030241.1~~~fluoroquinolone;acridinedye~~~unknown MNKQFYILYFNIFLVFLGIGLVVPVLPVYLKDLGLKGSDLGILVAVFALAQMIISPFGGTLADKLGKKLIICIGLALFAISEFLFAASHTFSLLIISRILGGFSAGMVMPGVTGMIADISIGKDKAKNFGYMSAIINSGFILGPGLGGLLAEVSHRLPFYVAGTSGIVALILSITLIKNPKNETQDGFTQYQPELLAKINWKVFLTPVILTLVLAFGLSAFETLFPLYTADKAHYSPIDISFAITGGGIFGAIFQVFFFDKFMKYFEELTFITYALLYSAIILLALTFMHSYWSIMIISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFIGPLVAGTLYDINFEFPLYMAILVMFIGMIVIFFEKAIRNRLKKS ->ARGMiner~~~mdtM~~~WP_021558988.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_021558988.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGSINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~hmrM~~~WP_028119961.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_028119961.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPSVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~adeA~~~WP_014619701.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~WP_014619701.1~~~glycylcycline;tetracycline~~~unknown MKIERLRRDGGVHALLVAMLAGCGPSEPQNAPPPAQVAATTVVPTHLELTEDLPGRVAAVRVAEIRPQVSGIVLRRLFEQGTEVRAGQPLFQINPAPFRADADTAAAALRRAEAALARARVQTTRLQPLVEADAVSRQVYDDAVSQRDQAAADVEQARATLARRQLDLKFATVEAPIPGRIDQALVTEGALVNSGDSNPMARIQQIDQVYVDVRRPASSLEALRQTLATQTAGAGNGLPVAVLRGNGEPYEAKGRILFSGINVDAGTGDVLLRVLVNNPQRQLLPGMFVRARVPHVRYTDALTVPQQAVVRVGGQPRVWALDANGHARLKPVELGELVDRRYRIRTGLSAGQKIVVEGMERLSDGAAVTAHDWKSPEPVPAGPAH ->ARGMiner~~~TEM-206~~~ANG29619.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-206~~~ANG29619.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVTLIPFFAAFCLPVFAHPETLVKVRDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtO~~~EGI38628.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~EGI38628.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLTPLPEKRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHSITEGQCWQSDWRISESEAMTARECNLENICQTLLQLGQMDPNTPPTPATKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIKRSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~CTX-M-3~~~AIC64426.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64426.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAERHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~smeE~~~WP_049463852.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_049463852.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGGLALFKLPISMYPNVAPPAVEISASYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIAFVSEDGSMDANDIADYVGSNVVDRLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYGMSVPEVVAAVKAQNAQVAIGQLGGAPSVKGQQLNATINAQSRLQTPEQFRNIIVRGAQDGAELRLGDVARVELGAESYDFVTRYNGQPASGLAVTLATGANALDTAAGVDETLKELEGFFPAGLKAEIPYDTTPFVRVSIKGVVQTLLEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGVLSVLGFSVNMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMSQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHRGFAGRFFNGFNRGFDRTSESYQRGVRGILHRPWRFMGIVAALFLLMGVLFVRLPSSFLPNEDQGVLMALVQAPVGATQERTLESIAALEKHFMENEKGAVESVFSVQGFSFAGMGQNAGMAFVKLKDWKDRDADNGVMPITGRAMAALGQIKDAFIFAFPPPAIPELGTASGYTFFLKDNSGQGHEALLAARNQLLGLAAGSKKLANVRPNGQEDTPQFRIDIDVAKASSLGLSIDQINTTLATAWGSSYIDDFIDRGRVKRVFVQADQPFRMVPEDFDLWSVKNDKGEMVPFSAFASKHWDYGSPRLERYNGVSATEIQGEPAPGVASGDAMAEIEQLAKQLPAGFGVEWTAMSYQERQAGSQTPLLYTLSLMIVFLCLAALYESWSVPTSVLLVAPLGILGAVLANTFRGMERDIYFQVAMLTTVGLTSKNAILIVEFAKEHLEKGAGLIEATMHAVRDRLRPIIMTSLAFGMGVLPLAISSGAGSGAKQAIGTGVLGGMVVGTVLGVFFVPLFFVVVQRVFKKRNATE ->ARGMiner~~~lmrP~~~WP_003742638.1~~~macrolide;lincosamide;streptogramin;tetracycline unknown +>ARGMiner~~~lmrP~~~WP_003742638.1~~~macrolide;lincosamide;streptogramin;tetracycline~~~unknown MFRELHPNIRARILIQFLSKVIGSMIFPFMAIYFSREINSSVAGFLLMINVLAQFLAGMYGGHLADIIGRKKLMVTGELLKVFAFLGMVLCNSPMFHSPWITFVMLLIIGVAQGLINPAGEAMLIDVSTPENRSFMYSVSYWANNLSIMIGIMVGGWFFVDYLFPLLVVLFIMSFVTAWLTISLISETLQQKEMPHKGSYGLMGMLKNYGQVLHDYRFLLYTIGGIAIMSIEFQRSNYISVRLAEDVKALLVHLGPLGNISLNGVQIVSVLTAVNTLFIVLFTVPIARFVTKRAQQPIMYVGFTLFALGFAVCAFANNLTVLLLATMVLSIGELLYVPTRQTILAAIVDDERRGAYMAFNGIIFQIGKMIGSVSLVFAPFIGKYGMGAFTITLGVLSIVFSAVALKSGWEKVLVK ->ARGMiner~~~mefA~~~WP_027625762.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_027625762.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPIWMVMVVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGDQVQSLKPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMEYFNGTPMHISITEIAYASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADRIGVNHWFLLSGILIICIAIVCPMITEIRKLDAK ->ARGMiner~~~macA~~~WP_048957125.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_048957125.1~~~macrolide~~~unknown MNLKGKRRTLFLLLALVIVAGGFWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGENRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~tolC~~~WP_038867854.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_038867854.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGVDTTSKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDSFKTNKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTSSAAAASRYADSKVGQNSVGLSFSMPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTAPESVAPENPEQDAAVNNMANGGGAAPAVQPAAATRSSNGNSSNPFRQ ->ARGMiner~~~acrB~~~WP_046594599.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_046594599.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAIFKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLPALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~acrE~~~WP_004206259.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_004206259.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNPQPHAQAPQVTVHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATKASVESARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDTLATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHILLPGMFVRARIDEGTDPQAILVPQQGVTRTPRGDASVMLVNDKNQVETRQVVASQAVGDKWLITSGLKPGDKVIISGLQKVRPGVTVKAEAERAAPVAQ ->ARGMiner~~~emrA~~~WP_051124499.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_051124499.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFERAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLLDDIVQANAG ->ARGMiner~~~adeG~~~WP_005123578.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_005123578.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVISKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~acrE~~~WP_001564909.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_001564909.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYNQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~TEM-1~~~ANG28625.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG28625.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGDRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mexH~~~WP_050480566.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_050480566.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGETAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~acrB~~~WP_013576609.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_013576609.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVIAIIIMLAGGLAILKLPIAQYPTVAPPAIQLTATYPGADAQTVQDTVTQVIEQNMNGIDNMMYMSSTSDSSGTVQITLTFASGTDADIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFISNNGSMTQDDIADYVGSNVKDPISRTAGVGDVQLFGAQYAMRIWMDPNKLNNFQLTPVDVINAIKVQNNQIAAGQLGGTPPVPGQQLNSSIVAQTRLKSPDEFGKIILKVNQDGSQVRLKDVAKIELGGENYDVIARFNGQPASGLGIKLATGANALNTAASVKATLAKLEPFFPAGLEVVYPYDTTPFVKISIKEVGKTLFEAIVLVFLVMFLFLQNFRATLIPTIAVPVVLLGTFAILAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMVEEGLPPKEATKKSMEQIQGALVGIAMVLSAVFIPMAFFGGSTGVIYRQFSITIVSAMVLSVLVAMILTPALCATMLKPVAKGEHHEKKGFFGWFNKKFEQSTHHYTDSVGNILRSTGRYLVIYLLIVVGMAFLFIRLPTSFLPEEDQGVFLTMAQLPAGATQERTQKVLDEVTDYYLNKEKANVNSVFTVNGFGFAGRGQNTGIAFVSLKDWSERSGAENKVPAIAGRAMGAFSTIKDALVFPFNLPAIVELGTATGFDFQLIDQANLGHDKLTQARNQLLGMVAQHPDLLTQVRPNGLEDTPQYKIEIDQEKATALGVSISDINTTLGASVGGSYVNDFIDRGRVKKVYVQAENKYRMLPSDINNLYVRGSAGQMVPFSAFSSAKWEYGSPRLERYNGLPSMEILGQPAPGKSSGAAMAMMESLASKLPNGIGYDWTGLSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALIAATMRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIESTLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGSQNAVGTGVMGGMITATLLAIFFVPVFFVVVRRRFGKKNEDIEHTHKVEHPTL ->ARGMiner~~~emrB~~~WP_048266923.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_048266923.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTLAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~MexE~~~WP_058167630.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_058167630.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MAESSTEQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEARAAVAATQAQLDAARLNLSFTRVTAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASTDTLATLARLRQSVGDSEPPKVAATKDNATRNEPRG ->ARGMiner~~~macB~~~WP_060876116.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_060876116.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGRERRARLARAHDLLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVATQAERIIEIRDGEIVRNPPGSRQGGGLRARQQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrA~~~WP_061092010.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_061092010.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEDDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~macA~~~WP_045911941.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045911941.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKLLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAVQ ->ARGMiner~~~mdtE~~~WP_029487705.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_029487705.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQNFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~aadK~~~WP_029318148.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_029318148.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVDSFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDRQYWMKRPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSANGYQEMWKSLFTCYALFRKYSKAVSESLAYKYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~mdtE~~~WP_001080593.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001080593.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRKRKLLIPLLFCSAMLTACDDKSTENAAAMTPEVGVVTLSPGSVNVMSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQGDALVAVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREINATKAIGNQWIVTAGLQAGDRVIVSGLQRIHPGIKARAISSSQENASTESKQ ->ARGMiner~~~macB~~~WP_048348817.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048348817.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQSVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtB~~~WP_042017057.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_042017057.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSIDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALMIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPREAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~emrB~~~WP_033884998.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_033884998.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERWRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~floR~~~WP_050949501.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_050949501.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTYFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCIQSLIVSIVGTLAVTLLNDDTAWPVICYATAMAVLVSLGLALLRSRDAATEKSPVV ->ARGMiner~~~mdtB~~~WP_023138386.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_023138386.1~~~aminocoumarin~~~unknown MQVLPPGSTGGPSRLFILRPVATTLLMAAILLAGIIGYRFLPVAALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVVTLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPIYSKVNPADPPIMTLAVTSNAMPMTQVEDMVETRVAQKISQVSGVGLVTLAGGQRPAVRVKLNAQAIAALGLTSETIRTAITGANVNSAKGSLDGPERAVTLSANDQMQSADEYRRLIIAYQNGAPVRLGDVATVEQGAENSWLGAWANQAPAIVMNVQRQPGANIIATADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVRDTQFELMLAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAVTLAVAILISAVVSLTLTPMMCARMLSQQSLRKQNRFSRACERMFDRVIASYGRGLAKVLNHPWLTLSVAFATLLLSVMLWIVIPKGFFPVQDNGIIQGTLQAPQSSSYASMAQRQRQVAERILQDPAVQSLTTFVGVDGANPTLNSARLQINLKPLDARDDRVQQVISRLQTAVATIPGVALYLQPTQDLTIDTQVSRTQYQFTLQATTLDALSHWVPKLQNALQSLPQLSEVSSDWQDRGLAAWVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTASTPGLAALETIRLTSRDGGTVPLSAIARIEQRFAPLSINHLDQFPVTTFSFNVPEGYSLGDAVQAILDTEKTLALPADITTQFQGSTLAFQAALGSTVWLIVAAVVAMYIVLGVLYESFIHPITILSTLPTAGVGALLALIIAGSELDIIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIFQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIAMVGGLLVSQVLTLFTTPVIYLLFDRLSLYVKSRFPRHKEEA ->ARGMiner~~~mexH~~~WP_003151780.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_003151780.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALLCAAVVGIAVYATGSAKKDTGGFAGYPPVKVALATVERRVVPRLFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVERGQLLVQLNDAVEQADLIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIEQKAIRAPFSGRLGIRRVHLGQYLGIAEPVASLVDARTLKSNFSLDESTSPELKVGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQAVLENPEGLLAAGMFASVRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~FosB~~~WP_041809648.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_041809648.1~~~fosfomycin~~~unknown MIKGINHICFSVSNLETSIAFYEKVLEGELLVKGRKLAYFRICGTWVALNEETDIPRKEIHQSYTHIAFSIEKEDFERLLQRLKENDVHILQGRKRDVRDCKSIYFTDPDGHKFECHTGTLEERLQYYKEAKPHMTFY ->ARGMiner~~~macA~~~WP_002222893.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002222893.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~arnA~~~WP_000648776.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000648776.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRQAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDSTYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRMCPDAQGALPGSVISVSPLRVACADGALEIITGQAGDDITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDIAERAS ->ARGMiner~~~macB~~~WP_000188198.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188198.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVVEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_032103100.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032103100.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPVSARTNQSSGSNPFRQ ->ARGMiner~~~SRT-2~~~WP_063198554.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_063198554.1~~~cephalosporin~~~unknown MKRIHKLAVALLTALAIPAGHAADKVDIDAIVQPLMQKYGVPGMAIAVSVEGKQQFYHYGVASKQTGKPITNQTLFEIGSLSKTFTATLAAYANDEGKLSFAEPASRYLPELRGSAFDHVSLLNLATHTSGLPLFVPDEVTNDAQLMAYYKQWQLPQPAGSTRVYSNLGIGMLGMITAKSLNQPFAQAMEQRLFPALGLTHSYINVPTGQMANYAQGYNKKDQPVRVTPGPLDAESYGVKSNAQDLIRYLEANMQVAKVGDKWRKALDETHTGYYRAGVFTQDLMWESYPYPVELARLTEGNNAGMIMNGTPATAITPPQREQGAAWFNKTGSTGGFSTYAVFLPKQKIAVVMLANKWFPNDDRVAATYRIVQALEKP ->ARGMiner~~~mefA~~~WP_048764447.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_048764447.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVTHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~SRT-2~~~WP_020826741.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_020826741.1~~~cephalosporin~~~unknown MTKINRLAAALFAALILPAGHAADKADIDAIIQPLMQKYAVPGMAIAVSVEGKQQFYHYGVASRQTGKPITSQTLFEIGSLSKTFTATLATYAVSEGKMSFADPASRYLPALRGSAFDHVTLLNLATHTSGLPLFVPDDVTNDAQLMAYYRQWQPPHAVGSYRVYSNLGIGMLGMITAKSLNQSFTQAMEKQLLPALGMNHTYIKVPAEEMANYAQGYNKKDQPVRVTPGPLDAEAYGIKSNAQDLIRYLDANMQVVKVGDPWRKALAATHTGYYRTGVFTQDLMWESYAYPEKLATLTEGNNAGMIMNGAPATAITPPKQDQGAAWYNKTGSTGGFSTYAVFIPSQKIAVVMLANKWFPNDDRVAATYRIVQALDKR ->ARGMiner~~~mepA~~~WP_031905637.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031905637.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNYAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMSAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~nalC~~~WP_034009100.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_034009100.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGPLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~norA~~~WP_061641648.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061641648.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRSAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~msrC~~~WP_002390740.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrC~~~WP_002390740.1~~~macrolide;streptogramin~~~unknown MENLAINITNLQVRFGNQLELSIDSLRVYQQDRIGIIGENGVGKSTLLKLIAGELIPNQGKIQTEITFNYLPQLTYLAETKDLNLELASHFQLKLEETSERKWSGGEERKIELIRLLSSYEQGMLLDEPTTHLDRKSVDRLIEELRYYYGTLIFVSHDRYFLDELATKIWEVKDGEIREFSGNYRTYLTQKELEKKTQLREAESIMKEKKRLEKSIQEKKKQAEKLEKVSSKKKKQQIRPDRLSSSKQKDSVQKAIQKNAKTLERRLQKIGETTKPQQMKQIRFPVPKSLALHNRYPIMGQNIQLERNGRILLINSDFQFSLGKKIAIVGENGSGKTTLLEHIRKQGEGILLSPKVSFQVYQQKDYQMTSEESVIRFVMRQTEFSESLVRSLLNHLGFAQETLTKPLCTLSGGEATRLTIALLFTKPSNVLLLDEPTNFIDMATIEALEQLMQVYPGTILFTSHDSYFVERTADEVYEIKGQKIKKVLTRNF ->ARGMiner~~~emrB~~~WP_049201851.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_049201851.1~~~fluoroquinolone~~~unknown MIKEPLQGGKLAIMTIALALATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFALTSWLCGISQSLEMLIFFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSVTIVVAPILGPILGGYISDNYHWGWIFFINVPFGVLIIMCISNTLAGRETKTEIKPIDTIGLVLLVVGVGALQIMLDQGKELDWFNSTEIIVLTIIAVVALSFLIVWELTDEHPVIDLSLFKSRNFTIGCLTLSLAYMIYFGTIVLLPLLLQEVFGYTATWAGLAAASVGLLPLIITPIIGKFGGKIDLRYIISFSFIMFAVCFYWRAYTFEPGMDFATVAWPQFWQGLGVACFFMPLTTMTLSGLPPEKMASASSLSNFLRTLAGAIGASLTTTIWTQRESLHHETFVEKINPLDPDAQLAFQQMRELGLSDEQTSAYLAKTITEQGLIISANEIFWLAAGIFILMLVVVWFAKPPFSPGK ->ARGMiner~~~cmeB~~~WP_002878968.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002878968.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALYTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMIGIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~macA~~~WP_022647609.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_022647609.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLSRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAQ ->ARGMiner~~~macA~~~WP_045435047.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045435047.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIVIIALLAWKFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTRSTAQTNIGYTRILAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGEDTKRYATLRQIEPAPDSISSESTNTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKASSTPEAAKKSQGNGARLERLNLTAEQKQLIEQGKLTLSVVRILQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~macA~~~WP_021437946.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_021437946.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERVLGGPPRR ->ARGMiner~~~MexB~~~WP_058545935.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_058545935.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLMVIGVVSRDGSMTKDDLANYIVSNLQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKNAIAAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNPDGSQVRVGDVADVGLGGENYSVSAQFNGAPASGLAVKLANGANALDTAKALRKTIDDLKPFFPQGMEVVFPYDTTPVVSESIKGVVETLVEAVVLVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVMVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRNFDRGVRSYERGVGNMLKHKAPYLLAYVLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVVDKMREFLLRPSKDGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLKPWDERNADNSVFKLAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDKLMEARNQFLGMASQSKILSQVRPNGLNDEPQYQLEIDDEKASALGITLSDINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPSSRMSPEDLKKWYVRNSAGTMVPFTAFAKGEWVYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRTLVEAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSMGRRKPIDQDDTPETSKEAGQ ->ARGMiner~~~arnA~~~WP_032224529.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032224529.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLLAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPAAVLHNMVRAVADPWPGAFSYAGNQKFTVWSSRVHPHTSAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~emrB~~~WP_053886868.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_053886868.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLSPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~OXA-2~~~CUK24193.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~OXA-2~~~CUK24193.1~~~carbapenem;cephalosporin;penam~~~unknown MAIRIFAILFSIFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFTKEIGDDKARRYLKKIDYGNADPSTSNGDYWIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAILRSIEALPPNPAVNSDAAR ->ARGMiner~~~Escherichia coli ampC~~~WP_045149331.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_045149331.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNSAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVDAAWQILNALQ ->ARGMiner~~~tolC~~~WP_023972176.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023972176.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADNTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~sdiA~~~WP_001157169.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001157169.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSVSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~tolC~~~WP_023210986.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023210986.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYLELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRHR ->ARGMiner~~~BcI~~~WP_046393154.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_046393154.1~~~cephalosporin;penam~~~unknown MILKKKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~FosB~~~WP_046959204.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_046959204.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRELVYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDIRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMTFY ->ARGMiner~~~mdtG~~~WP_048335084.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_048335084.1~~~fosfomycin~~~unknown MSSAETPINWKQNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQKPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~macA~~~WP_064548715.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_064548715.1~~~macrolide~~~unknown MIIKGKFKKRYWLILAVLIVALIAVWKMLNAPLPNYQTLIVRKGSLEQSVLATGKLDALRKVDVGAQVSGQLKTLSVNIGDKVVKDQLLGVIDPEQAENQIKEVEATLMELRAQRKQAEAEWKLASVTFSRQQQLAKTQVVSRQDLDTAATDMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMRGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPNGVLRLEMTAQVHIQLGGVNDVLTIPLSALGDSVGDNRYNVRLLRNGEVKTREVVIGARNDTDVEIAKGLEAGDEVIIGEGKAGAVK ->ARGMiner~~~mexH~~~WP_047950042.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_047950042.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGXXPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~sdiA~~~WP_001157175.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001157175.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRATLLRFQEMATAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSVSRGSLRCSSFPYDEVELRLQLLARESLSALTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~sdiA~~~WP_010432419.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_010432419.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDSDFFNWRRDCFLRFQEMSCADEVYQELQRQTQALEFDYFSLCVRHPVPFTRPRISVQTSYPQEWMAQYKSENFFAIDPVLKPENFIQGHLPWTDELFADAQALWDGARDHGLRTGITQCLMLPNHALGFLSVSRTSAQADKLSGEEIELRLQMLVQMALTSLLRFEHEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~FosX~~~WP_061104906.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_061104906.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLQTIFDAEEIYSSGDKTFSLSKEKFFLIAGLWICIMEGEALQERTYNHIAFQIQAEEMDEYIERIKSLGLEIKPERARVKGEGRSVYFYDYDNHLFELHAGTLEERLKRYHE ->ARGMiner~~~Escherichia coli ampC~~~WP_001335128.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001335128.1~~~cephalosporin;penam~~~unknown MLKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYPAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~emrB~~~WP_049043311.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_049043311.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRFGEVRLFMWSTIAFVIASWACGMSTSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGAAVVLMTLQTLRGRETKTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIIILTVVAVVAISFLIVWELTDEHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPYNPNAQAMYDKLQDLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGSGAH ->ARGMiner~~~mdtG~~~WP_021571807.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_021571807.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCILTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~mdtF~~~WP_016234987.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_016234987.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMAVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mdtG~~~EJK91404.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~EJK91404.1~~~fosfomycin~~~unknown MRHLFLRRIISRLIFSLNLTCRAGQWNPMSSAETPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~hmrM~~~WP_016506266.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_016506266.1~~~fluoroquinolone;acridinedye~~~unknown MQKYTSEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLVMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNEKGFGKPDSVVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGICMAVVTAIFTVTLRKHIALLYNDNPEVVALAAQLMLLAAVYQISDSIQIIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGVIIGLTSAAVLMMLRMRYLQRQPSAIILQRAAR ->ARGMiner~~~vanB~~~WP_002563847.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_002563847.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQIINKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTMPGFTSYSRYPRMVAAAGITLPALIDSLITLALKR ->ARGMiner~~~blaF~~~WP_065019937.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_065019937.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAAAPAFAAPIDDQLAELERRDNVLIGLYAANLQSGRTIRHRPDEMFAMCSTFKGYAAARVLQMAERGEISLDNRMFVDADALVPNSPVTETRAGAEMTLAELCQAALQRSDNTAANLLLKAIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAILAGDALSPPQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPGGQRLLLVMMTRSQGLDPKAENLRPLIGELTALVLPSLL ->ARGMiner~~~lsaB~~~WP_000061774.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061774.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYTVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRVFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEALKFKSNELVTLAVVSVTYDEQIVNEPINFVVEQGDRIVLDGKNGSGKSSILKLILGYPIQHTGSVTLGTGLTISYVQQDTSHLKGSLSNFIEEHKIEETLFKSILRKMDFDRIQFEKDIFHYSGGQKKKLLIAKSLCEKAHMYIWDEPLNFIDIYSRMQIEELIQQFNPTMIIVEHDKAFQQTVATKTISM ->ARGMiner~~~BcI~~~WP_002103118.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002103118.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTEWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKTLR ->ARGMiner~~~lmrB~~~NC_002952.2859362.p01~~~lincosamide unknown +>ARGMiner~~~lmrB~~~NC_002952.2859362.p01~~~lincosamide~~~unknown MTTTFIISYIVLALIIVGVINLLLIRSRRKAKRQQKEQHFATRQSNQSKFKASDLDRTTDQSSQHTAREEARIDNKDNQNQVSLNKQTEGSEQDQASFTDNNESDEEVYAAKNPNSEEYKVNEKIKKEHKNFIFGEGVSRGKILAALLFGMFIAILNQTLLNVALPKINTEFNISASTGQWLMTGFMLVNGILIPITAYLFNKYSYRKLFLVALVLFTIGSLICAISMNFPIMMVGRVLQAIGAGVLMPLGSIVIITIYPPEKRGAAMGTMGIAMILAPAIGPTLSGYIVQNYHWNVMFYGMFIIGILAILVGFVWFKLYQYTTNPKADIPGIIFSTIGFGALLYGFSEAGNKGWGSVEIETMFAIGIIFIILFVIRELRMKAPMLNLEVLKFPTFTLTTVINMVVMLSLYGGMILLPIYLQNLRGFSALDSGLLLLPGSLIMGLLGPFAGKLLDTIGLKPLAIFGIAVMTYATWELTKLNMDTPYMTIMGIYVLRSFGMAFIMMPMVTAAINALPGRLASHGNAFLNTMRQLAGSIGTAILVTVMTTQTTQHLSAFGEELDKTNPVVQDHMRELASQYGGQEGAMKVLLQFVNKLATVEGINDAFIVATIFSIIALILCLFLQSNKKAKATAQKIDADNSINHE ->ARGMiner~~~Escherichia coli ampC~~~WP_039067374.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_039067374.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPPEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLPPLDINEKTLQQGIQLAQSRYWQTGDMHQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAIKPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAACQILNALQ ->ARGMiner~~~macA~~~WP_063433938.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063433938.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVILVGGFWLWQVLNAPVPQYRTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLIRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDNRYKVKVLRNGETRDREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~mdtB~~~WP_001197846.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_001197846.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSADEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVNDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALMIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~bacA~~~KFJ50164.1~~~peptide unknown +>ARGMiner~~~bacA~~~KFJ50164.1~~~peptide~~~unknown MSSTGHLIVIGDWINFASSSGKVFEVVIQFGSILAVMWIFRARLWQLIRGTLTGVRQEVNFTRNLLLAFLPAAVIGAIFIKSIKQVFYHPGVVAVTLVVGGFIMLWVERRAPHTPGDAPGAADDTASDERATAHTLEQISAKQALGVGVAQCVAMIPGVSRSGATIIGGMIAGIQRKTATEFSFFLAMPTMLGAAVYDLYRNIGLLSQHDMSAIAVGFVAAFLSALVVVRAVLRFVANHTYRVFAWYRIALGLVVAAWIYAK ->ARGMiner~~~TEM-1~~~ANG18799.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG18799.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASPIKHW ->ARGMiner~~~TEM-1~~~ANG18929.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG18929.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPEMNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~nalC~~~WP_058154928.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_058154928.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDPATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDQETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~cmeB~~~EIB26857.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~EIB26857.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKKR ->ARGMiner~~~lsaA~~~WP_002394132.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002394132.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFTPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVRPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~macA~~~WP_004306762.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004306762.1~~~macrolide~~~unknown MKKKVILIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYARDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIANKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHATIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLAPKMKLRF ->ARGMiner~~~macA~~~WP_045854631.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045854631.1~~~macrolide~~~unknown MKLNGKRRKVWWLLAIVVLALAIWGWRVLNAPLPQYQTLVVRKGDLQQSVLATGKLDAVRKVDVGAQVSGQLKTLRVSIGDKVQKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERQLAAVTLARQQQLAQRQLVSRQELDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKIVAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRAGQKAWFTVLGDPLTRYEGSLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQMAEVKNVITIPLSALGDAIGENRYRVRLLRTGEVKEREVTIGARNDIDVAIVKGLDEGDEVIVGESAPGTAK ->ARGMiner~~~vanWB~~~WP_060811966.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~WP_060811966.1~~~glycopeptide~~~unknown MNRKRLTQRFPFLLPMRQAQRKICFYAGMRFDGCCYAQTIGEKTLPYLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTVNGLLIKPGETFSFWRLVRHADKDTPYKDGLTVANGKLTTMSGGGMCQMSNLLFWVFLHTPLTIIQRSGHVVKEFPEPNSDEIKGVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVFADKQPQALYKIANGSIQYVRESGGIYEYAQVERMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEANQ ->ARGMiner~~~macA~~~WP_023292895.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023292895.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVIISESLPGAAK ->ARGMiner~~~norA~~~WP_053868275.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_053868275.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGDFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~macA~~~WP_044101209.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_044101209.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPGAAKKSQGNGARLERLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~AAC(3)-Ia~~~ALE32149.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~ALE32149.1~~~aminoglycoside~~~unknown MGIIRTCRLGPDQVQSMRAALDLFGREFGDVATYSQHQPDSDYLGNLLRSKTFIALAAFEQEAVVGALAAYVLPKFEQARSEIYIYDLAVSGEHRRQGIATALINLLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREDVMHFDIDPSTAT ->ARGMiner~~~CTX-M-22~~~AIC64364.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-22~~~AIC64364.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIEKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~MexA~~~WP_042853548.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_042853548.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKANAAPAKTDSKG ->ARGMiner~~~sdiA~~~WP_032453406.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032453406.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMAVGEEVYNLLQRETETLEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFTIDPVLRPENFMRGHLPWEDGLFRDAQALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPNAYPDDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~mdtF~~~WP_016237164.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_016237164.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLVLAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~MexD~~~WP_023122781.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_023122781.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLHANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDASIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~Escherichia coli acrA~~~ZP_03163985~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~ZP_03163985~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPAALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGDKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~tolC~~~WP_042324263.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_042324263.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTFQTDQQTLILNTASAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTRGATGSQYDDSNMGQNKIGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYNYLINQLNIKSALGTLNEQDLVALNNTLGKPISTSPEHVAPETPQMDANADGYAANAAAPAAQPASARSSSSNGNNPFRN ->ARGMiner~~~FosB~~~WP_014304771.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_014304771.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSDLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHTAFTIDPKDFDAILQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFRTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~msbA~~~WP_061843746.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_061843746.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTGEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELMSKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_000188189.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188189.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGYTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAKAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~novA~~~WP_051778889.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_051778889.1~~~aminocoumarin~~~unknown MPHDEPKWIPSKDPLDPTRPAPAEQPRELRRIVGLFRPYRGRLAVVGLLVGASSLVGVASPFMLREILDVAIPEGRTGLLSLLALGMILTAVVTSVFGVLQTFISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTLVSLLLLPVFVWISRRVGHERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTSAFSAESEKLVGLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQTGTPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERPDPVRLDRAKGEVTLEDVHFTYDAKNGPTLSGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVALDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEITDAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDNLSAGRTTITIAHRLSTVRDADQIVVLDAGRIAERGTHEELLKADGRYAALVRRDRDAALAPEPPEGLQLAPVNV ->ARGMiner~~~Escherichia coli ampC~~~WP_063086404.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_063086404.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANASIGLFGALAVKPSGLSFEQAMQTRVFQPLKLTHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKAITPPAPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~MexF~~~WP_034048685.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_034048685.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVRGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~macB~~~WP_000188188.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188188.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPTIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_048271543.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_048271543.1~~~fosfomycin~~~unknown MSSADTPINWKQNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMSVVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIAKKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGRLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSILSLRRPAAEASQPDRHSVN ->ARGMiner~~~macA~~~WP_001202482.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001202482.1~~~macrolide~~~unknown MRANGKKFKKRYLVIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~adeG~~~WP_032041457.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032041457.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMFLHENADAKAAPTNAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVRKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLKAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGTQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPPQPQPTDKTSTPAKG ->ARGMiner~~~ACT-29~~~WP_065421376.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_065421376.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKFLCCALLLSTSCSVLAAPMSEKQLADVVERNVTPLMKAQGIPGMAVAVIYQGQPHYFTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPDLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANSSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEETHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMANMAPDALQDSSLKQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVSPPVPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~mdtH~~~WP_064720052.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064720052.1~~~fluoroquinolone~~~unknown MALVTQARTLGKYFLLVDNMLVVLGFFVVFPLISIRFVEQLGWAGVIVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIITGMLLRALGFALMAMADQPWILWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLLYDFHLVCWVGAGVFVIAAIFNAWLLPAYRISTTRTPIKEGLKRVMVDKRFVTYVLTLTGYFILSVQVMLMFPIIVNDIAGSPTAVKWMYAIEALLSLTLLYPIARWSEKRFRLEQRLMAGLFLMSISMFPVGMIHSLQGIFLIIGLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWMYDLGKQFDMPELPWFLLGVTGFITLYALHRQFNRKKVETAMLTP ->ARGMiner~~~macB~~~WP_000188200.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188200.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGVSGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtA~~~WP_023194008.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~WP_023194008.1~~~aminocoumarin~~~unknown MKGSNTFRWAIAIGVVVAAAAFWFWHSRSESPTAAPGVAAQAPHTAAAGRRGMRDGPLAPVQAATATTQAVPRYLSGLGTVTAANTVTVRSRVDGQLIALHFQEGQQVNAGDLLAQIDPSQFKVALAQAQGQLAKDNATLANARRDLARYQQLAKTNLVSRQELDAQQALVNETQGTIKADEANVASAQLQLDWSRITAPVSGRVGLKQVDVGNQISSSDTAGIVVITQTHPIDLIFTLPESDIATVVQAQKAGKALVVEAWDRTNSHKLSEGVLLSLDNQIDPTTGTIKIKARFTNQDDTLFPNQFVNARMLVNTEQNAVVVPAAAVQMGNEGHFVWVLNDENNVSKKRVKIGIQDNRNVVISAGLSAGDRVVTDGIDRLTEGAKVEVVEPQTTVADEKSPSRHEGQKGARA ->ARGMiner~~~Escherichia coli ampC~~~WP_005111907.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_005111907.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPPEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLTPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAIKPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAACQILNALQ ->ARGMiner~~~emrA~~~WP_001817019.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001817019.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAHSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~macB~~~WP_001501956.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001501956.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGRSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sdiA~~~WP_032721508.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032721508.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRREMLQQFQSVSAGEGVYHLLQQEAKGLEYDYFALCVRHPVPFTRPRVTLQSTYPQAWMAHYQAENYLAIDPVLRKENFLRGHLPWNDKLFHDTPELWDGAREHGLRKGVTQCLTLPNHAQGFLSVSGTSHCQGPFAEDELEMRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~vanWG~~~WP_044689526.1~~~glycopeptide unknown +>ARGMiner~~~vanWG~~~WP_044689526.1~~~glycopeptide~~~unknown MLPLRKWQRKKYFYFKMKFDGNRYAKKTSEKLLPNTVFETSSLMLNENSGFDMKYQINKVHNLKLAAKTINKVIIEPKETFSFWQLVRWADHHEKYKDGLNLVNGKIVGSYGGGLCQLSNMLFWLFLHTPLVIVERHGHAVESFPSTTEDLPCGTDATINEGWLDLKLRNDTDNTFQIEISFDDNFMYGRILSQSSVNIEYTVFNSSVSYFKREEKVYQIASVCRTEKDKMTGSQTEKELYVNQCEIAYKLPDDVKIEERGV ->ARGMiner~~~macA~~~WP_040088746.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_040088746.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPSYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAELKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~mecR1~~~WP_039698519.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_039698519.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVIVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~mdtM~~~WP_032342544.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032342544.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRVGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFIKDPTEPRFIWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNSGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~lsaB~~~WP_061463312.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_061463312.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQMDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKITSSVEFNYFPYPVSDKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKMVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNFSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSEAWSHQVEATKNGTRNSGSKLDKGFVGHKAAKMMKRSKNLESRKQKAIEEKSKLLKNVEKTDSLKLEQLKFQSNELVVLADVSVQYDDQIVNEPISFIIEQGDRIVLDGKNGSGKSSILKLILGHPIQHTGSVNLGSGLIISYVQQDTSHLKGSLSDFIEEQEIDETLFKSILRKMDFDRIQFEKEISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDQAFQQTVATKTISM ->ARGMiner~~~FosB~~~WP_031378485.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_031378485.1~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSNLEKSIVFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHQRLKNLNVNILNGRPRDKQDHKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~adeB~~~WP_004708753.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_004708753.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISAVYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQIVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSVEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLNPKEATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATTERTRNVVNQFEHNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTGTASEMTNAVNTSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~msbA~~~WP_052998194.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_052998194.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVKQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~MexD~~~WP_023875987.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_023875987.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMISGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSRPAPIEQAASAGE ->ARGMiner~~~MexF~~~KPX83830.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~KPX83830.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSSDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTPTDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKYLQEQGKSLREATLIAARQRLRPILMTSLAFMFGVLPLALSTGAGSAGRNAIGTGVLGGMFSATVLGIFLVPLFFVEVRRRFSRSAGNAPVAQSTSTGEA ->ARGMiner~~~macB~~~WP_059305359.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059305359.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGEVDVLKGITLSIAAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRIRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSSEKGGVLRAETRAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~AAC(3)-IV~~~AY216678.1.gene1.p01~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IV~~~AY216678.1.gene1.p01~~~aminoglycoside~~~unknown MPLPPHSPASPVARVHELDGQVLLLGVGHDANTTLHLAELMAKVPYGVPRHCTILQDGKLVRVDYLENDHCCERFALADRWLKEKSLQKEGPVGHAFARLIRSRDIVATALGQLGRDPLIFLHPPEAGCEECDAARQSIG ->ARGMiner~~~acrE~~~WP_032435131.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_032435131.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNQQPHAQAPQVTVHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATKAAVETARINLAYTKVTSPINGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGVDPQAILVPQQGVTRTPRGDASVMLVNDKNQVETREVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEAERAAPAVQ ->ARGMiner~~~macA~~~WP_001550897.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001550897.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKSGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~lsaB~~~WP_060487860.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_060487860.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQNLTFSYPGSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYTVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEDQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRVFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEALKFRSNELVTLADVSVTYDEQVVNEPINFVVEQGDRIVLDGKNGSGKSSILKLILGYPIQHTGSVTLGTGLTTSYVQQDTSHLKGSLSNFIEEHKIDETLFKSILRKMDFDRIQFEKDIFHYSGGQKKKLLIAKSLCEKAHMYIWDEPLNFIDIYSRMQIEELIQQFNPTMIIVEHDKAFQQTVATKTISM ->ARGMiner~~~Escherichia coli ampC~~~WP_047601948.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_047601948.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLTTYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTHVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~norA~~~WP_061736104.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061736104.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGISLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~FosB3~~~EGG66712.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~EGG66712.1~~~fosfomycin~~~unknown MKQMLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKPELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~mfpA~~~WP_015303844.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_015303844.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLQTERAVFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFAACRMRPLTLDDVDFTLAVLGGNDLRGLDLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~arnA~~~WP_001525103.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001525103.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYSSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHTSKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~emrB~~~WP_050191227.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_050191227.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLIIMTITLSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGISSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtH~~~WP_013366708.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_013366708.1~~~fluoroquinolone~~~unknown MSRISQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCFLSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAVLFILCAAFNAWYLPAWKLSTVRIPVREGLSRVLGDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEAALSLTLLYPIARWSEKRFRLEHRLMAGLLVMTVSMMPIGLTSNLSQLFTLICTFYIGSIIAEPARETLSAQLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAMDQPELPWAMLAIIGFLTVLALWWQFSQKRAASRMLEPRL ->ARGMiner~~~MexA~~~WP_031639471.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_031639471.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKESSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~armA~~~ACL27951.1~~~aminoglycoside unknown +>ARGMiner~~~armA~~~ACL27951.1~~~aminoglycoside~~~unknown MDKNDVVKKILESKKYENLDSDIVEKVVSISEKKYKLKEVENYSKKKLHQIWGSYYSAYPNWDKLLKKYNQGQLSIEDLLKIHSSTNERVATLNDFYTYVFGNIKHVSSILDFGCGFNPLALYQWNENEKIIYHAYDIDRAEIAFLSSIIGKLKTTIKYRFLNKESDVYKGTYDVVFLLKMLPVLKQQDVNILDFLQLFHTQNFVISFPIKSLSGKEKGMEENYRLWFESFTKGWIKILDSKVIGNELVYITSGFQK ->ARGMiner~~~emrA~~~WP_024173837.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_024173837.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGNKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~acrB~~~WP_052962464.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_052962464.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDVDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTSLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~arlR~~~NC_002745.1124086.p01~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~arlR~~~NC_002745.1124086.p01~~~fluoroquinolone;acridinedye~~~unknown MTQILIVEDEQNLARFLELELTHENYNVDTEYDGQDGLDKALSHYYDLIILDLMLPSINGLEICRKIRQQQSTPIIIITAKSDTYDKVAGLDYGADDYIVKPFDIEELLARIRAILRRQPQKDIIDVNGTLLK ->ARGMiner~~~FosB~~~WP_053564692.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_053564692.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEREDFKCLIQRLEENDVHILQGRERDIRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~mdtG~~~WP_023335248.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023335248.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGMAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADNYGLRPVFFITASALFLCFIVTLLCIRENFTPVAKKEMLHAREVLTSLKNPRLVLSLFVTTMIIQVATGSIAPILTLYVRDLAGSVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSMVQSPWQLGLLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGAGISASFGFRAVFIVTAGVVLFNAIYSWFSLSRALRPATE ->ARGMiner~~~BcI~~~WP_002136361.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002136361.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGFQLGYSVLNLLLGFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVNHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSIDKLNEVITYTKDDLVEYSPVTEKHVDTGMTLGQIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPADKRKVLTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEAAYDNQLIAEATEVIVKALK ->ARGMiner~~~acrB~~~WP_050190823.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_050190823.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAANGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~FosA2~~~WP_063617482.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063617482.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVTEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~macB~~~WP_032936694.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032936694.1~~~macrolide~~~unknown MTALLELSHIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPPQNPSGGRDISESTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli ampC~~~WP_042004355.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_042004355.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~macB~~~WP_064530178.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064530178.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGIYRVAGQDVAMLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEERLDYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNTDTGAEPIVKTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLVAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGSTFNQEQLNGRAQVVVLDSNTRRQLFPHKATVIGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGYDSTEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIMLSLLIAFILQLFLPGWEIGFSPLALLLAFLCSTITGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~arnA~~~WP_023261408.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_023261408.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNEGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLDWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~emrB~~~WP_001187296.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001187296.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGNSITTTMWTDRESLHHAQLTESVTAYNPNAQTMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~adeA~~~WP_055335444.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~WP_055335444.1~~~glycylcycline;tetracycline~~~unknown MKIERLRRHGGVHALLVAMLAGCGPSEPQSAPPAPQVAATTVAPTHLELTEDLPGRVAAVRVAEIRPQVSGIVLRRLFEQGTEVRAGQPLFQINPAPFRADADTAAAALRRAEAALARARVQTTRLQPLVEADAVSRQVYDDAVSQRDQAAADVEQARATLARRQLDLKFATVEAPIPGRIDQALVTEGALVNSGDSNPMARIQQIDQVYVDVRRPASSLEALRRTLATQTAGAGNGLPVAVLRGNGEPYEAKGRILFSGINVDAGTGDVLLRVLVNNPQRQLLPGMFVRARVPHVRYTDALTVPQQAVVRVGGQPQVWTLDANGHARLKPVELGELVDRRYRIRTGLSAGQKIVVEGMERLSDGAAVTAHDWKSPEPVPAGPAH ->ARGMiner~~~FosB~~~WP_041482248.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_041482248.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHHRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~MexC~~~WP_031633341.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexC~~~WP_031633341.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MADLRAIGRIGALAMAIALAGCGPAEERQEAAEMVLPVEVLTVQAEPLALSSELPGRIEPVRVAEVRARVAGIVVRKRFEEGADVKAGDLLFQIDPAPLKAAVSRAEGELARNRAVLFEAQARVRRYEPLVKIQAVSQQDFDTATADLRSAEAATRSAQADLETARLNLGYASVTAPISGRIGRALVTEGALVGQGEATLMARIQQLDPIYADFTQTAAEALRLRDALKKGTLAAGDSQALTLRVEGTPYERQGALQFADVAVDRGAGQIALRGKFANPDGVLLPGMYVRVRTPQGIDNQAILVPQRAVHRSSDGSAQVMVVGADERAEARSVGTGVMQGSRWQITEGLEPGDRVIVGGLAAVQPGVKIVPKPDGAQAQAQSPAPQQ ->ARGMiner~~~lsaB~~~WP_026590859.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_026590859.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKITASVEFNYFPYRVSDKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSAGWSHQVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLKFQSNELVVLADVSVKYDDQIVNEPISFIVKQGDRIVLDGKNGSGKSSILKLILGQPTQHTGSVNLGSGLIISYVQQDTSHLKGSLSDFIEEHEIDESLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~ErmC~~~AEQ67361.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~AEQ67361.1~~~macrolide;lincosamide;streptogramin~~~unknown MNQKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVDHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKIVFDSIADEIYLIVEYGFAKRLLNTKRSLALFLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVNKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~macB~~~WP_000188140.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188140.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~arnA~~~WP_000648764.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000648764.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~vanYB~~~AGG19398.1~~~glycopeptide unknown +>ARGMiner~~~vanYB~~~AGG19398.1~~~glycopeptide~~~unknown MEKSNYHSNVNHHKRHMKQSGEKRAFLWAFIISFTVCTLFLGWRLVSVLEATQLPPIPATHTGSGTGVVENPEENTLATAKEQGDEQEWSLILVNRQNPIPAQYDVELEQLSNGERIDIRISPYLQDLFDAARADGVYPIVASGYRTTEKQQEIMDEKVAEYKAKGYTSAQAKAEAETWVAVPGTSEHQLGLAVDINADGIHSTGNEVYRWLDENSYRFGFIRRYPPDKTEITGVSNEPWHYRYVGIEAATEIYHQGLCLEEYLNPEK ->ARGMiner~~~floR~~~AIF79467.1~~~phenicol unknown +>ARGMiner~~~floR~~~AIF79467.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTXFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLXVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~APH(6)-Id~~~WP_054103864.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_054103864.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLEPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~macB~~~WP_006174388.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_006174388.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDSDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRASRAAAPKEALPVSTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQNQQALKYDDLAALQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRKQLFPDKASVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVNEGYDSALAEQQIERLLTLRHGKKDFFTWNMDGILKTAEKTTRTLQMFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPLAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~y56 beta-lactamase~~~WP_046694984.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_046694984.1~~~cephalosporin;penam~~~unknown MKHSSLRRALLLAGITLPLVNFSLPTWAAAIPGSLDKQLAALEHSANGRLGIAMINTANGTKILYRGAQRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLVKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDASIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATKIILSQIS ->ARGMiner~~~tolC~~~WP_060554862.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_060554862.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLSLLVTMSLAGFSTASQAEDLLQVYQKAKDSNPELRKSLAERNQAFEKINEARSPLLPQLGLGASIDYKSGYRDAKNTESNSLGANLTLTQTIFDMSLWRQLTMQEKTASMSDVTYQTSQQQLILDTATAYFNVLRAIDSLSFIEAQKEQVYRQLDQTTQRFNVGLVAITDVQNARANYDSVLAQEVAGRNELDNALEKLRQVSGVYYINLASLNIARFSTTPPDAIDKLLKDAEERNLSLLSARLGQDLARENIRLAQSGHLPTVNLNASTGVSNSHNHGSALPPETPANSRNSYNGQSSIGLSLSIPLYTGGRTSSQVEQAQYGFTSASEQLESVYRSIVQIARSSYNNISASISSIKAYQQVVVSAQSSLDATEAGYQVGTRTIVDVLNATTTLYDAKQKLSSARYDYLINQLNIQYARGTLNENDLIQLNNALGEEISTSPDNIIRPLTSPVLNTTN ->ARGMiner~~~FosB~~~ZP_04064024~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04064024~~~fosfomycin~~~unknown MIQSINHICFSVINLEKAIEFYQNILQAKLLVKGRKLAYFDLNGLWIALNVEESIPRNEIQYSYTHIAFTVTNNEFDSLKEILIQNHVNILPGRERDDRDKRSIYFTDPDGHKFEFHTGTLQDRLQYYKEDKKHMTFY ->ARGMiner~~~macB~~~SBX14918.1~~~macrolide unknown +>ARGMiner~~~macB~~~SBX14918.1~~~macrolide~~~unknown MTALLELRNIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASSQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTYTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexE~~~WP_033975992.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_033975992.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAILVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~emrK~~~WP_038976864.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_038976864.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLAVVLFIAFSGAYAYWSMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQAYKANKALVMNTPLNRQPQVVEAADATKEAWLALKRTDIRSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTRNEDIAEMPDLASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~TEM-206~~~ANG32428.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-206~~~ANG32428.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVTLVPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGVVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~WP_043017643.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_043017643.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRTLTLQEKSAGIQDVTYQTDQQTLILNTATAYFKVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTRGATGSQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPIPTSPDSVAPQNPQQDAAVNDFNSTGNMPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~arnA~~~WP_033545426.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_033545426.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKSASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~Bacillus subtilis mprF~~~WP_053873214.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_053873214.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYVEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGEFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~TEM-1~~~ANG31821.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG31821.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWYIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~acrB~~~WP_060435147.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_060435147.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVIAIIVMLAGVLAIMKLPIAQYPTIAPPAVSISATYPGADAKTVQDTVTQIIEQNMNGIDNLMYMSSTSDSSGSVTITLTFDSGTDPDIAQVQVQNKLSLATPLLPQEVQQQGLKVEKSSSSFLMVAGFVSDDPNMTQDDIADYVASNIKDPISRSSGVGEVQLFGAQYAMRIWLDPNKLNNYQLTTTDVTSAITEQNNQIAAGQLGGLPPVPGQQLNASIIAQTRLTSPEEFGKILLKVNTDGSQVRLRDVAHIERGAESYAVTARYNGKPAAGLGIKLATGANALNTAKGVKNELAKMEPFFPQGMKVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIPKGDHGVKTGFFGWFNRMFEKSTHHYTDSVGNILRSTGRYLIIYLLIVVGMGLLFLRLPSSFLPDEDQGILLTMVQLPAGATKSRTTKVLEEVSDYFLNKEKDNVVSVFTVAGFGFNGNGQNNGLAFVSLKDWGERPGAVNKVEAIAGRAMGAFSQIKEGLVFPFNLPAIIELGTATGFDFELIDQGGLGHEKLTEARNQLLGMVAQHPDVLVGVRPNGLEDTPQFKLIVDQEKAKALGVSITTINSTLSTALGGSYVNDFIDRGRVKKVYVQAEAPFRMLPEDINKWYVRGTSGQMVPFSAFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMNLMEQLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISILVVFLCLAALYESWSVPFSVMLVLPLGVIGALLAATMRGMNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSKKNEDLEHSHPVEHH ->ARGMiner~~~dfrA14~~~YP_001966228~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA14~~~YP_001966228~~~diaminopyrimidine~~~unknown MRTLKVSLMAAKAKNGVIGCGPDIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGALPNRKYAVVTRSGWTSNDDNVVVFQSIEEAMDRLAEFTGHVIVSGGGEIYRETLPMASTLHLSTIDIEPEGDVFFPSIPNTFEVVFEQHFTSNINYCYQIWKKG ->ARGMiner~~~mdtA~~~ELG49077.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~ELG49077.1~~~aminocoumarin~~~unknown MCPPFRRFPIVFHNSSIFLPYWLATLVSFRETFQEEKLLTMKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~vanXA~~~WP_040203373.1~~~glycopeptide unknown +>ARGMiner~~~vanXA~~~WP_040203373.1~~~glycopeptide~~~unknown MEKGFTFLDEIVHGVRWDAKYATWDNFTGKPVDGYEVNRIVGTYELADALLKVKELAAIQGYGLLLWDGYRPKRAVNCFLQWAAQPEDDLTKEGYYPNIDRAEMVSKGYVASKSSHSRGSAIDLTLYRLDTGELVPMGSGFDFMDERSHHAAKGISGNEVQNRKRLRSIMENSGFEAYSFEWWHYVLRNEPYPHSYFDFPIK ->ARGMiner~~~vanRO~~~WP_055613131.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_055613131.1~~~glycopeptide~~~unknown MRVLIVEDEPFLAEAIRDGLRLEAIAADLAGDGDTALELLSINTYDIAVLDRDIPGPSGDEIAKRIVASGSGMPILMLTAADRLDDKASGFELGADDYLTKPFELRELALRLRALDRRRAHNRPPVLEIAGLRLDPFRREVYRDDRYVALTRKQFAVLEVLVAAEGGTVSAEELLERAWDENADPFTNAVRITVSALRKRLGEPWIIATVPGVGYRIDAQPEAGHRAGDRSGHGGTDRG ->ARGMiner~~~mdtH~~~WP_052930304.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_052930304.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPLRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macA~~~WP_063449191.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063449191.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEAGEEVVTSESLPGAAQ ->ARGMiner~~~macA~~~WP_002247529.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002247529.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLSTGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~mexY~~~WP_058148905.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_058148905.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLTIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~tolC~~~EEW41464.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EEW41464.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLTGFSAMSQAENPLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTHYNGSKTNTPLAYNDSDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATANGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~mdtO~~~WP_021530875.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021530875.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATMLEIASLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRTITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLATEINKLQHAIAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPATKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGADWEGIHTCMLTCVIVANPNVGSSYLKMALRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~FosB3~~~WP_058702889.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_058702889.1~~~fosfomycin~~~unknown MNHVTYSVSDIKTSTAFYKDILKAKILLESDKTAYFTLGGLWLVLNEEKDITRNEIQYSYTHIAFTIDESEFDEWYQWLKINEVNILEGRTRDLRDKKSIYFTDPDAHKLELQTGTLQDRLNYYKEEKSHMKFYIYK ->ARGMiner~~~viomycin phosphotransferase~~~WP_030340787.1~~~peptide unknown +>ARGMiner~~~viomycin phosphotransferase~~~WP_030340787.1~~~peptide~~~unknown MRITETHRDLLSRLLPGDTVGGLAVHEGQFHHVVIGSHRVVCFARTRAAADRLPGRADVLRALAGIDLGFRTPQPLSEGGAQGTDEPPYLVLSRIPGAPLEDDVLTSPEVAEAVARQYATLLSGLAAAGDEEKVRAALPEAPANEWQEFATGVRTELFPLMSDGGRERAERELAALDALPHLTSAVVHGDLGGENVLWETVDGVPRMSGVVDWDEVGIGDPAEDLAAIGASYGEELLGRVLALGGWADNGTAERISAIRGTFALQQALYAQRDGDEEELADGLSGYR ->ARGMiner~~~Escherichia coli ampC~~~WP_001389711.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001389711.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANASIGLFGALAVKPSGLSFEQAMQTRVFQPLKLTHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDVYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAIKPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~smeA~~~WP_005420143.1~~~aminoglycoside;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~smeA~~~WP_005420143.1~~~aminoglycoside;cephalosporin;cephamycin;penam~~~unknown MSLLRPLSRSPRPLLLPLLLALAACSAGRPEAPATPEVGIITASVQPLALQQTLPGRAVPFEVSEVRPQVGGLIRQRLFTEGQQVKAGQLLYQIDPAPYQAAFDTARGQLAQAEATVLSAQPKAERTRTLLGMDAASKQDADDATSALKQAQANVIAARAALQAARINLDYTRVTAPIDGRIGTSSVTAGALVAAGQDAALATIQRLDPVYLDVTQSSTQMLVLRKQLDAGRVKAIDGKAQVKVLLEDGSTYAHEGTLEFVGSAVDPGTGNVVLRAVIPNPEGLLLPGMYLRAVLPMATDARALLVPQKAVLRNERGEPLLRLLDAKDRVVERRVSTGQVVGNQWQITQGLKAGDRVIVSNGSAVALGQQVQAVAATTAQLAAMPAIDPTGNTDETSH ->ARGMiner~~~macB~~~WP_001620589.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001620589.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVPHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtE~~~WP_001556297.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001556297.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSPENASTESKQ ->ARGMiner~~~mdtG~~~WP_023292799.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023292799.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTIAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLMADSYGLRPVFFITACVLFLCFVVTLLCIRENFTPVAKKEMLHAREVLTSLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSMVHSPWQLGLLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGASISASFGFRAVFIVTAGVVLFNAIYSWFSLSRALRPVAE ->ARGMiner~~~Escherichia coli ampC~~~WP_020245461.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_020245461.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEETLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~mexY~~~WP_061201058.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061201058.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILAVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~EXO beta-lactamase~~~WP_030374062.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_030374062.1~~~penam~~~unknown MRKPTSSLTRRSVIGAGLGLGGALALGSTTASAASAGTTPSENPAAVRRLRALEREHQARIGVFALNLATGASLLHRAHELFPMCSVFKTLAAAAVLRDLDHDGSQLARVIRYTEADVTKSGHAPVTKDHIDTGMTIRDLCDATIRYSDNCAANLLLRELGGPTAVTRFCRSLGDPVTRLDRWEPELNSGEPDRRTDTTSPYAIARTYQRLVLGNALNRPDRALLTDWLLRNTTTLTTFRTGLPKGWTVADKSGGGDTYGTRNEAAIAWTPDGAPVLLTALTHKPSLPTAPGDTPLIVKLATVLSEAVAPA ->ARGMiner~~~tolC~~~WP_061707191.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_061707191.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPELRRSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRTLSLQEKSAGIQDVTYQADQQTLILNTAQAYFQVLSAIDTLAFTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNDLDNAVENLRQITGNYYPELASLNVESFKTDKPQPVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLDLTASTGVSDTSYSGSKTNSGQYDDSNMGQNKVGLSFSLPIYQGGLVNSQVKQAQYNFVGASEQLETAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDANEAGYSVGTRTIVDVLDATTTLYEAKQQLSSARYSYLINLLNIKSALGTLNEQDLVALNNTLGQAVPTSPESVAPENPQQDAAVDNFTGNTANGNATMPAAQPTAARTTTPARSGSNPFRH ->ARGMiner~~~mdtH~~~WP_060855239.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_060855239.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVRKGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYVGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSLKRPTRGMLEPDA ->ARGMiner~~~macB~~~WP_024236899.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024236899.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALVQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLKDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~arnA~~~WP_048220996.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_048220996.1~~~peptide~~~unknown MKAVVFAYHDMGCQGIQALLDAGYEIAAIFTHADNPAEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSDDILNLAPVGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIIAQQRVAISPDDVALTLHHKLCQTARQVLEQVLPAIKTGDFQERPQQKTEATCFGRRTPDDSFLDWRKPAAQLHNMVRAVSDPWPGAFSYVGTQKFTVWSSRLSAHRAAAQPGTVLSVSPLLIACADGALEIITGQAGDGITMQGSQLAQVLGLVAGSHLNSQPLSTRKRRTRVLILGVNGFIGNHLTERLLREDNYEVYGLDIGSDAIGRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYQKRIIFPSTSEVYGMCSDKVFDEDSSNLTVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALFRIIENDGGRCDGEIINIGNPDNEASIQELAEMLLACFERHPLRQHFPPFAGFRDVESSSYYGKGYQDVEHRKPNIRNAKRCLNWEPSIEMLETVEETLDFFLRSVDITEQRS ->ARGMiner~~~macA~~~WP_003700274.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_003700274.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQASYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~CTX-M-3~~~AEL20752.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AEL20752.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYGDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~macB~~~WP_046081557.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_046081557.1~~~macrolide~~~unknown MTPLLELSNIRRSFPSGEDVVEVLKGINLTINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGIYKVAGQDVSTLDSDSLAQLRREHFGFIFQRYHLLSHLTALQNVEVPAVYAGIERKQREARAQALLQRLGLGERVDYRPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRERGHTVIIVTHDPHVAAQAERVIEIRDGEIVSNPPAKNSAIAQTFSDSSAQPRSGWRQFIAGFREALTMAWLALATNKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAIQKQSWVASATPAVSQNVRLRYGNIDVAAAANGVSGDYFNVYGMTFSEGNTFNKEQLNGRAQVVVLDNNTRRQLFPHKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMASRVMGQSWLNSITVRVKEGYDSAQAEQQLTRLMTLRHGKKDFFTWNMDGILKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVMVCLVGGALGICLSLLIAFTLQLFLPGWEIGFSPVALLTAFLCSTITGILFGWLPARNASRLDPVEALARE ->ARGMiner~~~mdtG~~~WP_023246046.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023246046.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSMVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFQPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLQRRRLATE ->ARGMiner~~~tolC~~~ABC41336.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~ABC41336.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKDNDPQLLSVAAQRDAAFEAVTSSRSTLLPQINLTAGYNVNRSDQDPRESDLFSAGINFSQELYQRSSWVTLDTAEKKARQADSEYAATQQGLILRVSKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENNLTNSYETLREITGQEYSKLAVLDTKRFAASRTTESTDALIEQAQQKNLSLLSARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDSAKGTSGEEYNDFKIGVNLSVPLYTGGSTTSLTKQAEFAYVAASKIWKPLTVQLLKMFVRTTTTLTLQLVRCVPTSQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDIMDVNAGLKVAKK ->ARGMiner~~~MexT~~~NC_011595.7059912.p01~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~NC_011595.7059912.p01~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNLERVDLNLLIYLDVLLREKNVTRAAEQLGVTQPAMSNILRRLRNLFNDPLLIRSSEGMTPTERALELQPRIRDALSDLSMILEPRTEFRPYTSNRVFRIMTSDYAEATLVPRLVKALRSEAPNVVLDFLTPSDVSYRDMEQGKVDLAINRFNEIPQSFHQVLVWRDSFSCILNDKHPAVTHLNLKSYLDAQHIWVSKTGMGVGFGVNPDKQGGLGWIDQALERIGQRRKISVFTRHYQMPALLAQNVDLIATLPTRMARLQAQNPKLVIKDPPFYIPEFELKMAWCPLLHHHPAHRWLRQLILFVARQMIEEENREFLTNNSQFSHY ->ARGMiner~~~mdtM~~~WP_061389473.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_061389473.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAGAGVIVVFTLAALLQRVRQHEAAELAAEK ->ARGMiner~~~viomycin phosphotransferase~~~WP_030188010.1~~~peptide unknown +>ARGMiner~~~viomycin phosphotransferase~~~WP_030188010.1~~~peptide~~~unknown MRIIETHRDLLSRLLPGDTVGGLAVHEGQFHHVVIGSHRVVCFARTRAAADRLPGRADVLRALAGIDLGFRTPQPLSEGGAQGTDEPPYLVLSRIPGAPLEDDVLTSPEVAEAVARQYATLLSGLAAAGGEEKVRAALPEAPANEWQEFATGVRTELFPLMSDGGRERAERELAALDALPHLTSAVVHGDLGGENVLWETVDGVPRMSGVVDWDEVGIGDPAEDLAAIGASYGEELLGRVLALGGWADNGTAERISAIRGTFALQQALYAQRDGDEEELADGLSGYR ->ARGMiner~~~mdtF~~~WP_001504806.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001504806.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVTVFFVVVEHLFARFKKA ->ARGMiner~~~nalD~~~WP_043156446.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_043156446.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLGRDWGQASSAP ->ARGMiner~~~CTX-M-3~~~AIC64447.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64447.1~~~cephalosporin~~~unknown MVKKSLRQFMLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mdtN~~~WP_060773951.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_060773951.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMTTVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~macA~~~WP_000746445.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746445.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSLQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKLGAAQ ->ARGMiner~~~macA~~~NC_011035.1.6447343.p01~~~macrolide unknown +>ARGMiner~~~macA~~~NC_011035.1.6447343.p01~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQASYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLDMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~adeF~~~NC_010400.5984909.p01~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeF~~~NC_010400.5984909.p01~~~fluoroquinolone;tetracycline~~~unknown MNISKFFIDRPIFAGVLSVLILLAGLLSVFQLPISEYPEVVPPSVVVRAQYPGANPKVIAETVASPLEESINGVEDMLYMQSQANSDGNLTITVNFKLGIDPDKAQQLVQNRVYQAMPRLPEDVQRLGVTTLKSSPTLTMVVHLTSPDNRYDMTYLRNYAVLNVKDRLARLQGVGEVGLFGSGDYAMRVWLDPQKVAQRNLTATEIVNAIREQNIQVAAGTIGASPSNSPLQLSVNAQGRLTTEQEFADIILKTAPDGAVTRLGDVARVELAASQYGLRSLLDNKQAVAIPIFQAPGANALQVSDQVRSTMKELSKDFPSSIKYDIVYDPTQFVRASIKAVVHTLLEAIALVVVVVILFLQTWRASIIPLLAVPVSIIGTFALMLAFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIEAGLNPREATYGAMREVSGPIIAIALTLVAVFVPLAFMTGLTGQFYKQFAMTIAISTVISAFNSLTLSPALAALLLKGHDAKPDALTRIMNRVFGRFFALFNRVFSRASDRYSQGVSRVISHKASAMGVYAALLGLTVGISYIVPGGFVPAQDKQYLISFAQLPNGASLDRTEAVIRKMSDTALKQPGVESAVAFPGLSINGFTNSSSAGIVFVTLKPFDERKAKDLSANAIAGALNQKYSAIQDAYIAVFPPPPVMGLGTMGGFKLQLEDRGALGYSALNDAAQNFMKAAQSAPELGPMFSSYQINVPQLNVDLDRVKAKQQGVAVTDVFNTMQIYLGSQYVNDFNRFGRVYQVRAQADAPFRANPEDILQLKTRNSAGQMVPLSSLVNVTQTYGPEMVVRYNGYTSADINGGPAPGYSSSQAEAAVERIAAQTLPRGIKFEWTDLTYQKILAGNAGLWVFPISVLLVFLVLAAQYESLTLPLAVILIVPMGILAALTGVWLTAGDNNIFTQIGLMVLVGLACKNAILIVEFAQELEMQGATAFRAAVEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGVAVFFGMIGVSFFGLFLTPAFYVLIRTLNSKHKLHSAAVHEAPLASPHDH ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061733756.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061733756.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFISAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~mgrA~~~WP_057488423.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_057488423.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQYEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~FosX~~~WP_060587807.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_060587807.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLREIFNAEEIYSSGDQTFSLSKEKFFLIAGLWICIMEGDSLQEQTYNHIAFRIQSEEVDEYIERIKSLGVEMKPERPRVEGEGHSIYFYDFDNHLFELHSGTLEERLKRYHE ->ARGMiner~~~Escherichia coli ampC~~~WP_044866836.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_044866836.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~macB~~~WP_003593850.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_003593850.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVGGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~EXO beta-lactamase~~~WP_033237905.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_033237905.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAATLVPGTAHASSGGRGHSHGSGSVSDAERRLAGLERASGARLGVYAYDTGSGRTVAYRADELFPMCSVFKTLSSAAVLRDLDRNGEFLSRRIFYTQDDVDRADGAPETGKPENLANGMTVEELCEVSITASDNCAANLMLRELGGPAAVTRFVRSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLVLGDALNPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRAPIVLTVLTAKTEQDAARDDGLVADAARVLAETLG ->ARGMiner~~~tetX~~~gi:422919260:pdb:4A6N:A~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~gi:422919260:pdb:4A6N:A~~~glycylcycline;tetracycline~~~unknown MGSSHHHHHHSSGLVPRGSHMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDKRYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~emrA~~~WP_049201850.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_049201850.1~~~fluoroquinolone~~~unknown MSVNEEKNPPQAPIRNKKRTRRNVLLLLTFIFILAGFAYTAYWFMVLRHHETTDNAYVTGNQIMVMPQISGSVTTVYVDNTDYVKAGEPLVQLDDSDEILALDKAKTALANSVRQMHQQIINGRQLKANIVLRETELAKLQNDLRRREVLGERNVIGKEELQHAREAVATAKAALDVAKEQYNANQAIILTTPIAQQPSVLQAATEVRNAWLALQRTKILSPAEGYVSRRSVQVGAQVAPGKPLMAVVPVTGMWIDANFKETQLANMRIGQPAKITTDFYGKKVIYHGRVQGLDMGTGSAFSLLPAQNASGNWIKVVQRLPVRISLDEKEVAEKPLRIGLSTEVTVDTVNLDGKVLSQSERQAPAYHTDALTIDMSEINSLINEIIEQNAG ->ARGMiner~~~L1 beta-lactamase~~~WP_049410916.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049410916.1~~~cephalosporin~~~unknown MRLCLTTLALTTLALDVTAAEAPLPQLRAYTVDASWLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAMLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASAKALTCNAYADAAEKKFDVQLAKETATAR ->ARGMiner~~~emrB~~~WP_058101257.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_058101257.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDTVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtE~~~WP_021578058.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_021578058.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQIQGSTPVQLNLENGKRYNQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~FosB~~~WP_036079808.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_036079808.1~~~fosfomycin~~~unknown MTIQNINHLLFSVSNLEESITFYEKVFDAKLLVKGNSTAYFDVNGLWLALNVEKDIPRNDIHHSYTHIAFTIAEDDFDKVYDRLVQLKVNILAGRPRDEKDKKSIYFTDPDGHKFEFHTGTLQDRLDYYKQEKQHMVFFDN ->ARGMiner~~~emrA~~~WP_064719275.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_064719275.1~~~fluoroquinolone~~~unknown MSVNEENTPPQAPIRNKKRTRRNVLLLLTFLFVVAGAAYTAYWFTVLRHHETTDNAYVTGNQIMVMPQISGSVVTVYVDNTDYVKAGEPLVQLDPRDEELALEKAKTALANSVRQMHQQIINGRQLKANIVLRETELTKLQNDLRRREVLGERNVIGKEELQHAREAVSTARAALDVAKEQYNANQAIILNTPIAQQPPVLQAATDVRNAWLALERTKILSPTEGYISRRSVQVGAQVSPGKPLMAVVPATGMWIDANFKETQLANMRIGQPAKITTDFYGKKVIYHGTVQGLDMGTGSAFSLLPAQNASGNWIKVVQRLPVRIALDTKEIADKPLRIGLSTEVTVDTINLDGKVLSQSERQAPAYHTDALTIDMSAINKLINEIIEQNAG ->ARGMiner~~~Bacillus subtilis mprF~~~WP_031774173.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_031774173.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIGFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~FosB~~~WP_002086062.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002086062.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENAIMFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQEDFERLLQRLEENKVHILQGRERDVRDYESIYFVDPDGHKFEFHSGTLQNRLNYYREEKPHMTFY ->ARGMiner~~~macA~~~WP_021240891.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_021240891.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMDGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISESLPGAAK ->ARGMiner~~~macA~~~WP_001404934.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001404934.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLPDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mexY~~~WP_034069299.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_034069299.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREPSQHVGAIVERINQRFASLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~tolC~~~WP_055029065.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_055029065.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSSLLPQIDLKAGYNVNRSDQDPRESDLFTAGISFSQELYQRSSWISLDTSEKKARQADSQYAAAQQGLILRVANAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENSLTNSYETLREITGQEYSKLAVLDTKRFAASRTTDSTEALIEKAQQQNLSLLSARISQDVARDNISLASSGHLPSLTLNGGYDYGNNSNDNAKGSSSEEYNDFKIGVNLSVPLYTGGNTTSQTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAVGTLSEQDIMDVNAGLKVAKK ->ARGMiner~~~pmrA~~~OAQ55554.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~OAQ55554.1~~~fluoroquinolone~~~unknown MGIKWRKNLIIAWIGCFFTGASISLVMPFIPVYVEQLGTPQDQVELFSGLAISVTAFAAAIVAPVWGNLADRKGRKIMMVRAAAGMTITMGSLAFVPNVYWLLIMRFFNGILSGYIPNATAMIASQAPKEKSGWALGTLSTGAIAGNLIGPSMGGALAQWFGMENVFIITGAVLLVTTILTIFLVKEDFHPVEKKDLVSTKEIFSKMDHFSILVGLFITTLILQIGVTSISPILTLYIRQLSGDINNVLFISGLIVSVAGVSAVLSSPKLGKLGDKIGNHKVLLAGLVLSFCCYLPMAFVQTPLQLGILRFILGFSTGALMPSINTLISKITPQEGVSRVYSYNQMFSNFGQVLGPMLGSTVAHSFGYSAVFVVTSCFVLSNIGLSLFNFRKVLRSKF ->ARGMiner~~~msbA~~~WP_031789645.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031789645.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFAIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~hmrM~~~WP_001174950.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174950.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIAMLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~Serratia marcescens Omp1~~~WP_001555471.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_001555471.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEESSLGKGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNTSGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYFEVGATYYFNKNISTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~macA~~~WP_032653126.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032653126.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRTQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~mdtH~~~WP_001591905.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_001591905.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLLSIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~FosB~~~WP_061570074.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_061570074.1~~~fosfomycin~~~unknown MKISGLNHLLFSVSDLERSIRFYQKVFGAKLLVKGRKTAYFDLNGIWLALNEEKDIPRNEIRHSYTHIAFSVDEKDFDGIVEKLKEWGVNILPGRERDERDKPSVYFTDPDGHKFEFHTGTLADRLAYYREEKKHMIFYD ->ARGMiner~~~FosA2~~~WP_063926908.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063926908.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACHEKPYAGMVFTSDEA ->ARGMiner~~~macA~~~WP_038808052.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_038808052.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQTAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~mdtH~~~WP_061350662.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_061350662.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPASKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~tet(C)~~~WP_055324180.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_055324180.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEARFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~lmrB~~~WP_014475695.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~WP_014475695.1~~~lincosamide~~~unknown METTAKASQQYKVMPIMISLLLAGFIGMFSETALNIALTDLMKELNITAATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFTVSLIFSIIGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALVFGIAYMQNVSETTKPKIDVLSIILSTIGFGGIVFGFSNAGEGSGGWSSPTVIVSLIVGVVGLILFSIRQLTMKQPMMNLRAFKYPMFILGVIMVFICMMVILSSMLLLPMYLQGGLVLTAFASGLVLLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVIVTVVLWFFSNVTTTSTAVLIIILHTCLMIGISMIMMPAQTNGLNQLPREFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQHDYMNTVKNPADPAVIPQALTAGVQHAFVFAMIVAIIGLIGAFFMKRVKVDH ->ARGMiner~~~mdtH~~~WP_059040274.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_059040274.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPMGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~macA~~~WP_023181389.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023181389.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGENRPGATP ->ARGMiner~~~hmrM~~~WP_008804614.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_008804614.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRDRIAQQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRGRSMRDIRCAERFSRPDVAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMREQIALLYNDNPEVVLLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMVVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~mexY~~~WP_023912921.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_023912921.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKASRTGNSPQIPTEQA ->ARGMiner~~~FosB~~~WP_025113664.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_025113664.1~~~fosfomycin~~~unknown MTIQSINHLLFSVSDLEQSIAFYENVFDAKLLVKGNSTAYFDVNGLWLALNVEKDIPRNDIQCSYTHIAFTISEDEFDKMYDKLVQLKVHILDGRQRDERDKKSIYFTDPDGHKFEFHTGTLQDRLDYYKKEKLHMEFFNH ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061824445.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061824445.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLMYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~Escherichia coli ampC~~~WP_044694628.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_044694628.1~~~cephalosporin;penam~~~unknown MLKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQDKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~lmrP~~~CDK33280.1~~~macrolide;lincosamide;streptogramin;tetracycline unknown +>ARGMiner~~~lmrP~~~CDK33280.1~~~macrolide;lincosamide;streptogramin;tetracycline~~~unknown MFRELHPNIRARILIQFLSKVIGSMIFPFMAIYFSMEINSSVAGFLLMINVLAQFLAGMYGGHLADIIGRKKLMVTGELLKVFAFLGMVLCNSPMFHSPWITFVMLLIIGVAQGLINPAGEAMLIDVSTPENRSFMYSVSYWANNLSIMIGIMVGGWFFVDYLFPLLVVLFIMSFVTAWLTISLISETLQQKEMPHKGSYGLMGMLKNYGQVLHDYRFLLYTIGGIAIMSIEFQRSNYISVRLAEDVKALLVHLGPLGNISLNGVQIVSVLTAVNTLFIVLFTVPIARFVTKRAQQPIMYVGFTLFALGFAVCAFANNLAVLLLATMVLSIGELLYVPTRQTILAAIVDDDKRGAYMAFNGIIFQIGKMIGSVSLVFAPFIGKYGMGAFTIILGILSIVFSAVALKSGWEKVLVK ->ARGMiner~~~mdtH~~~WP_000092229.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092229.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~sul2~~~WP_044352035.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_044352035.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNXDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~mdtH~~~WP_058672407.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_058672407.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVAFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~macA~~~WP_002067311.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002067311.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQLASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYTTLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~norA~~~WP_061734510.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061734510.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLSIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~tolC~~~WP_032170178.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032170178.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINSSISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~tolC~~~WP_029628480.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_029628480.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSNRDSRDSDILSAGVGFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYADNRNSNASTPSDYNDFKIGVNLSVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDSTRRLFDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDINAGLKAAKK ->ARGMiner~~~FosB~~~WP_000911699.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000911699.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENAIMFYERVLEGELLVKGRKLAYFNICGVWIALNEEEHIPRKEIHQSYTHLAFSVEQKDFERLLHRLEENNVHILQGRERNVRDCESIYFVDPDGHKFEFHSGTLQDRLNFYREEKPHMTFY ->ARGMiner~~~macA~~~WP_045284511.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045284511.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGELLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISENLPGAAK ->ARGMiner~~~adeG~~~WP_032014727.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032014727.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLDTTSGMIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~arnA~~~WP_001493217.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001493217.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYATDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~FosA2~~~WP_063864426.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063864426.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGELWVCLSYDEARRYVPPQESDYTHYAFTVAEEDFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~mdtH~~~WP_001735099.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_001735099.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSTSLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~mdtH~~~WP_020947469.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_020947469.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALCLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGTLGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~FosB~~~ANN32109.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ANN32109.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIQRLEENNVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTIY ->ARGMiner~~~CTX-M-3~~~AIC64404.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64404.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGAMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mdtF~~~ENC13656.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~ENC13656.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVGVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLIVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~hmrM~~~WP_024622668.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_024622668.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARTMRDIRCAERFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRIGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMRKQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~mdtF~~~WP_046072900.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_046072900.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANTLDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEAFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~tetM~~~WP_020998529.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_020998529.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKVYAPQEYLSRAYNDAPKYCANIVNTQLKNNEVIIIGEIPARCIQDYRNDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~sul1~~~WP_053444693.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_053444693.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLADDSFFAESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~macA~~~WP_063658848.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063658848.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELRQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~FosX~~~CCO64304.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~CCO64304.1~~~fosfomycin~~~unknown MKDWWYMISGLSHITLIVKDLNKTTAFLQNIFNAEEIYSSGDKTFSLSKEKFFLIAGLWICIMEGDSLQERTYNHIAFQIQSEEVDEYTERIKALGVEMKPERPRVQGEGRSIYFYDFDNHLFELHAGTLEERLKRYHE ->ARGMiner~~~macA~~~WP_047624117.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047624117.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQVTTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~tolC~~~WP_007669367.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_007669367.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGVDSTAKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDSFKTAKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTGGAAASNYADRKIGQNSVGLSFNMPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLIALNNSLGKPVSTAPENVAPENPEQDAAVNNMASSGTAPSMQPAAATRSNNANSSNPFRQ ->ARGMiner~~~mdtP~~~WP_001647952.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001647952.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAGRDVAVNGTRLQTLNDEREMQAERVEAPRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~macB~~~WP_032292156.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032292156.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~PmpM~~~WP_003766901.1~~~ unknown +>ARGMiner~~~PmpM~~~WP_003766901.1~~~~~~unknown MLLDLNRFSFSVFLKEVRLLAALALPMLLAQVAQVGIGFVDTVMAGDASTEDLAAVALGSSAFATVYITFMGIMAALNPMIAQLYGAGKTDEVGETGRQGIWFGLFLGIFGMVLMWTAITPFRNWLTLSDYVEGTMAQYMLFTSLAMPAAMVHRALHAYASSLNRPRLIMLVSFAAFVLNVPLNYIFVYGKFGMPALGGAGCGLATMAVFWFSALALWIYIAKEKFFRPFGLTAKFGKPDWAVFKQIWKIGAPIGLSYFLEASAFSFIVFLIAPFGEAYVAAQQVGISLSGILYMIPQSVGSAGTVRIGFSLGRREFSRARYISGVSLVSGWALAVITVLSLVLFRSPLASMYNKDPAVLSIAATVLLFAGLFQPADFTQCIASYALRGYKVTKVPMFIHAAAFWGCGLLPGYLLAYRFDMGIYGFWTALIASLTIAAVALVWCLELCSREMVRSHKVV ->ARGMiner~~~norA~~~WP_031822588.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031822588.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFFFIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~msbA~~~WP_029550132.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_029550132.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPVEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHHELIAKQGAYEHLYSIQNL ->ARGMiner~~~mtrR~~~EEZ71040.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~EEZ71040.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MGFIIHTRLHGFKAFFIIRLHQTVPKRNCRYIMRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQTAGVTRGALYWHFKNKEDLFDALFQRICDDIENCMSKDTENNAEGQSWAVFRHTLLHFFERLQSNDIHYKFHSILFLKCEHTEQNAAVIAIARKHQAIWREKITAFLTEAVENQDLSGDLDKEMAVIFIKSMLDGLIWRWLSSGENFDLGKTAPRIIEIMMDNLENHPQLRRK ->ARGMiner~~~macA~~~WP_016150621.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_016150621.1~~~macrolide~~~unknown MKLKGKIKKRYFLFAIILIVAVIALWRTLNAPLPQYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAEAEWKLARVTLSRQQQLAKTQAVSQQDLDTAATEMAVKQAQIGAIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLQPGQKAWFTVLGDPQTRYEGTLKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTDVKNVLTIPLSALGDPIGNNRYNVRLLRNGETREREVVIGARNDTDVEIVKGLEEGDEVITGEGNAGAAK ->ARGMiner~~~tolC~~~WP_032675510.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032675510.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLNQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSTTPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~blaF~~~WP_064960176.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_064960176.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGNAAPAFATPVDDQIAELERRDNALIGLSATNLDSGRTLTHRPDEMFAMCSTFKGYAAARVLQMVGRGQISLDNRVFVDPEALVPNSPVTETHAGSEMTLAELCQAALQRSDNTAGNLLLKTIDGPAGITAFARSIGDERTRLDRWEVELNSAIPGDPRDTSTPAALAIGYREILVGDALSPPQRRQLQDWMRANQTSSVRAGLPEGWTTADKTGSGDYGSTNDVGIAYGPNGQRLLLAVMTRSQADDPKADNLRPLIGELTALILPALL ->ARGMiner~~~adeK~~~NC_010400.5984384.p01~~~macrolide;fluoroquinolone;lincosamide;carbapenem;cephalosporin;tetracycline;rifamycin;diaminopyrimidine;phenicol;penem unknown +>ARGMiner~~~adeK~~~NC_010400.5984384.p01~~~macrolide;fluoroquinolone;lincosamide;carbapenem;cephalosporin;tetracycline;rifamycin;diaminopyrimidine;phenicol;penem~~~unknown MMQKVWSISGRSIAVSALALALAACQSMRGPEPVVKTDIPQSYAYNSASGTSIAEQGYKQFFADPRLLEVIDLALANNRDLRTATLNIERAQQQYHITQNNQLPTIGASGSAIRQVSQSRDPNNPYSTYQVGLGVTAYELDFWGRVRSLKDAALDSYLATQSARDSTQISLISQVAQAWLNYSFATANLRLAEQTLKAQLDSYNLNKKRFDVGIDSEVPLRQAQISVETARNDVANYKTQIAQAQNLLNLLVGQPVPQNLLPTQPVKRIAQQNVFTAGLPSDLLNNRPDVKAAEYNLSAAGANIGAAKARLFPTISLTGSAGYASTDLSDLFKSGGFVWSVGPSLDLPIFDWGTRRANVKISETDQKIALSDYEKSVQSAFREVNDALATRANIGERLTAQQRLVEATNRNYTLSNARFRAGIDSYLTVLDAQRSSYAAEQGLLLLQQANLNNQIELYKTLGGGLKANTSDTVVHQPSSAELKKQ ->ARGMiner~~~FosB~~~WP_050845468.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_050845468.1~~~fosfomycin~~~unknown MGQSINHICFSVSNLEQSTEFYQNILQAKLLVKGRKLAYFDLNGLWIALNVEESIPRNEIQHSYTHIAFTVTNNEFDSLKEILIQNHVKILPGRERDERDKRSIYFTDPDGHKFEFHTGTLQDRLQYYKEDKKHMTFY ->ARGMiner~~~mdtM~~~WP_001136987.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001136987.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIAHAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~macB~~~WP_000188192.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188192.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWGASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVIERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexA~~~WP_009615280.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_009615280.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAKRALVPALLVAMATLAGCGKNEAPQQAQTPEVGVVTLQAQTVPLSTELPGRTTAFRVAEVRPQVNGIILKRLFKEGSDVKEGQQLYQIDPATYEATYQSAKANLMSTQQQAERYKLLVADEAVSKQQYADAQAAALQAKAAVDQAQINLRYTKVLSPISGRIGRSAVTEGALVTNGQATAMATVQQLDPIYVDVTQPSTSLLRMRRELAAGNLQKAGDNAAKVKLFLEDGSAYPLEGRLEFSEVSVDQGTGSVTIRAVFPNPRHELLPGMFVHAVLQEGVKDKAILAPQQGVTRDLRGQPTALVLGADDKVELRNIKTDRTVGAYWLVTEGLKPGDRLITEGLQYVQPGAKAKAVPAKNVAPAPEAGKPAASDSQG ->ARGMiner~~~macB~~~WP_061873413.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061873413.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKPMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLAGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_025712979.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_025712979.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLMRLGLGDRADYQPLQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPGSLQRGGLRARQQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVNEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLVLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-206~~~ANG13404.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-206~~~ANG13404.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVTLIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDDKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MexT~~~WP_003089829.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~WP_003089829.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNRNDLRRVDLNLLIVFETLMHERSVTRAAEKLFLGQPAISAALSRLRTLFDDPLFVRTGRSMEPTARAQEIFAHLSPALDSISTAMSRASEFDPATSTAVFRIGLSDDVEFGLLPPLLRRLRAEAPGIVLVVRRANYLLMPNLLASGEISVGVSYTDELPANAKRKTVRRSKPKILRADSAPGQLTLDDYCARPHALVSFAGDLSGFVDEELEKFGRKRKVVLAVPQFNGLGTLLAGTDIIATVPDYAAQALIAAGGLRAEDPPFETRAFELSMAWRGAQDNDPAERWLRSRISMFIGDPDSL ->ARGMiner~~~MexB~~~WP_053119224.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_053119224.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKSVRNFLMVIGVVSRDGSMTREDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVSTAISAQNVQIASGQLGGLPAMPGQQLNATIIGKTRLQTAEQFKAILLKVNPDGSQVRVGDVADVALGGENYSINAQFNGAPASGLAVRLATGANALDTAKALRQTVENLKPFFPQGLEVVFPYDTTPVVSESIKGVVETLVEAVVLVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFGGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKPIPKGEHGTPKRGFFGWFNRTFDRGVRSYERGVGNMLKHKAPYLLAYIIIVVGMVWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVVDKMREFLLRPSKDGGEGDGVASVFTVTGFNFAGRGQSSGLAFIMLKPWEERNADNTVFKIAGRAQQHFFSFRDAMVFAFAPPAVMELGNATGFDVFLQDRAGIGHDKLMEARNQFLGMAAQSKILAQVRPNGLNDEPQYQLEIDDEKASALGITLSDINSTLSIALGSSYVNDFIDRGRVKRVYVQGQPNARMSPEDLQKWYVRNSAGTMVPFTAFAKGEWVYGSPKLARYNGVEAMEILGTPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRTLVEAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSMGRRKNIDQDNTPETSKEAGQ ->ARGMiner~~~vanRA~~~WP_065484665.1~~~glycopeptide unknown +>ARGMiner~~~vanRA~~~WP_065484665.1~~~glycopeptide~~~unknown MSDKVLIVEDEREIADLVELYLKNENYTVFKYYTAKEALECIDKNVLDLAILDIMLPDVSGLTICQKIRDKHNYPIIMLTAKDTEVDKITGLTIGADDYITKPFRPLELIARVKAQLRRYKKYNGVTAQNENVIVHSGLVINISTHECSLNEKPLSLTPTEFSILRILCENKGNVVSSEQLFQEIWGDEYFSKSNNTITVHIRHLREKMNDTVDNPKYIKTVWGIGYKIEK ->ARGMiner~~~mdtO~~~WP_021561419.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021561419.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMCTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTNSLTPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAIAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPATKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMALRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~mtrE~~~AHW76485.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~AHW76485.1~~~macrolide;penam~~~unknown MPSERPRVFRRHQDFFMDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGSVDTHSAELGGLFKSGTGVWLFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYEAAVQSAFQDVANALTAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~hmrM~~~NC_002695.1.912329.p01~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~NC_002695.1.912329.p01~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRYLQRLPSAIILQRASR ->ARGMiner~~~MexE~~~WP_033970383.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_033970383.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHYSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAMFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~macA~~~WP_001201762.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201762.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQVAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~macB~~~WP_023232933.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023232933.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDVAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~WP_058669058.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_058669058.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGCVSVLIMFVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFIAMASYVKRARSMRDIRNERGFSKPDTAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGVCMAVITAIFTVTLREPIALLYNDNPEVVTLAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAIMMMLRMRYLQRQSSAIILQRAAR ->ARGMiner~~~emrB~~~WP_059319801.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_059319801.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLNQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPYNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macA~~~WP_032023987.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032023987.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSMAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSSTNSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEAAKKSQGNGARLQRLNLTTEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~AAC(3)-Ia~~~WP_031655082.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~WP_031655082.1~~~aminoglycoside~~~unknown MLWSSNDVTQQGSRPKTKLGGSRMGIIRTCRLGPDQVQSMRAALDLFGREFGDVATYSQHQPDSDYLGNLLRSRTFIALAAFEQEAVVGALAAYVLPKFEQARSEIYIYDLAVSGEHRRQGIATALINLLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREDVMHFDIDPSTAT ->ARGMiner~~~tolC~~~WP_017035545.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_017035545.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPVFISAALGAMSTSAFADTFADIYNHAKENDPQLLGAAAQRDAAFEAVTSSRSSLLPQINLTAGYNVNRSDQDTRESDKLSAGVNFSQSLYNRSSWVTLDTAEKTARRADSAYAAEQQGLILRVSSSYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENDLINSYENLREITGREHSNLNVLDTERFSASKTETPVKALVEEAEQKNLSLLTARISQDVARDNISLASSGHLPSITLDGGYSYGNDSNINGTSNSYTNNDFNIGVNLSVPLYTGGNVTSQTKQAEFAYVAASQDLEATYRSVVKNVRAFNNNISASIGALRAYEQTVVSATSALEATEAGFDVGTRTIVDVLDSTRRLYDANRNLSDARYNYIISVLQLRQAVGTLSEQDVMDVNAGLKPST ->ARGMiner~~~iri~~~WP_021332509.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_021332509.1~~~rifamycin~~~unknown MSDVIIVGAGPTGLMLAGELRLQGVDVVVVDKDEEPTQFVRALGIHVRSIEIMEQRGLLDKFLAHGRKYPLGGFFAGISKPAPAHLDTAHGYVLGIPQPEIDRILAEHATEVGADIQRGKRVVAIRQDTDSVTAELSDGTTLHARYLVGCDGGRSTVRKLIDVGFPGEPSSADTLIGEMDVTMPADELAAVVAEIRETHKRFGVGPAGNGAFRVVVPAAEVADGRATPTTLDDIKQQLLAIAGTDFGVHSPRWLSRFGDATRLAERYRRDRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPEGLLDTYESERRPVAADVLDNTRAQAELISTAAGPQAVRRLISELMEFEDVKRYLTEKITAISIRYDFGEGDDLLGRRLRNIALTRGNLYDLMRSGRGLLLDQGGQLSVDGWSDRADHIVDTSTELDAPAVLLRPDGHVAWVGDTQAELDTQLSTWFGRSARDRA ->ARGMiner~~~arnA~~~WP_000648769.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000648769.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAGLGIPVYAPDNVNHPIWIDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLIRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~aadA~~~AAR14532.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~AAR14532.1~~~aminoglycoside~~~unknown MAEAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~macA~~~WP_017388374.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_017388374.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIVIIAVLAWKFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTRSTAQTNIGYTRILAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGEDTKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKASSTPEAAKKSQGNGARLERLNLTAEQKQLIEQGKLTLSVVRILQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~tolC~~~WP_064001090.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064001090.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHRAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~vanB~~~WP_010815295.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_010815295.1~~~glycopeptide~~~unknown MNKIKVAIIFGGCSEEHDVSVKSAIEIAANINTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAIFSPDRKTHGLLVMKEREYETRRIDVAFPVLHGKCGEDGAIQGLFELSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIEKGGKPEARTLTYPVFVKPARSGSSFGVTKVNSTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMIIVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMAAAAGITLPALIDSLITLAIER ->ARGMiner~~~mexH~~~WP_058180786.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058180786.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFARVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~norA~~~WP_002496171.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_002496171.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFSVSEFMFAAGQSFTILIISRILGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPHKATTDGFHQYQPELFIKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKHMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~tolC~~~WP_061076274.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_061076274.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTFQTDQQTLILNTASAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTRGATGSQYDDSNMGQNKIGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYNYLINQLNIKSALGTLNEQDLVALNNTLGKPISTSPEHVAPETPQMDANADGYATNAAAPAAQPASARSSSSNGNNPFRN ->ARGMiner~~~tolC~~~WP_000735282.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735282.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGTAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~nalD~~~WP_023128666.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_023128666.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNSAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~tolC~~~WP_023295455.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023295455.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSYNNVNASISSINAYKQAVVSAQSSLDANEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVPTSPDSVAPENPQQDAAVDNLTPNSSAPLAQPAAARSTAPASSGTNPFRH ->ARGMiner~~~MexB~~~WP_018926862.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_018926862.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTSSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKSVKNFLMVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKTAIAAQNVQISSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKEILLKVNKDGSQVRLKDVAEVGLGGENYSVSAQFNGSPASGLAVKLANGANALDTAKALRKTIDDLKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRNFDRGVKSYERGVGNMLAYKAPYLLAYLIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSSQRTQVVVDEMREFLLRPSKDGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLKPWDERNADNSVFKLAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHNKLMEARNQFLGMAAQSKVLSQVRPNGLNDEPQYQLEIDDEKASALGVTLTDINNTLSIALGSSYVNDFIDRGRVKKVYVQGLPGARMSPEDLKKWYVRNSAGTMVPFSAFARGEWIYGSPKLARYNGVEAIEILGAPAPGYSTGEAMAEVEALAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSMGQRKVADQDDAIETPQEAG ->ARGMiner~~~tolC~~~EXC39592.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EXC39592.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLKLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDRLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAVLYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~macB~~~WP_047056391.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047056391.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGTMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexD~~~WP_021264101.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_021264101.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAVLNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~FosA2~~~WP_063854193.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063854193.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPLSERLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~nalD~~~WP_058149474.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_058149474.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSTP ->ARGMiner~~~macA~~~WP_031953602.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_031953602.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDATTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~efmA~~~WP_019292498.1~~~macrolide;fluoroquinolone unknown +>ARGMiner~~~efmA~~~WP_019292498.1~~~macrolide;fluoroquinolone~~~unknown MNTSVPPNWRKNFYLFLIGQLLTGVTSMIVQYAIIWYLTLETGEESVLAIATLVGMLPMALLSPFVGPFIDRINKKFLLISYDAVVAVIALGLFIYGINNDVYPLWMVFVTIGIRAVAQTAQMPTVQSIMPTMVPEDEITRVNGQFGIIQSLIFIVSPGIGAFMVATMPIHWVILLDVIGFILGAGMLLLVRIPEVASQGEKISVIRDTLEGFKILRENKPMWKMTLIGALFMLLFMPAMSLYPLVTTKYFGGTIVHAGWVEVLFAAAMLIGSFAVGIFGKTKDRMPWIIAAYLIVGLSIGGSGFLPGNMNGFWVFLVLNVFAGIVGQIYTTMNMAITQQSFEAQYLGRVMGIVSALMSIAGPVGLIFAAPVAESIGVQNMLVIAGFGGILAAALLYCTPSVRNYDKHLQRKLENEGQ ->ARGMiner~~~mexH~~~WP_058135766.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058135766.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYAGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtH~~~WP_047085160.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047085160.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVNGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~macB~~~WP_058113944.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_058113944.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLVAAQAERIIEIHDGKIVHNPPAQEKKREQGVAAATVNTASGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQVLKYDDLVAIQKQSWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFSEGNTFNAVQQRDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKQSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVNSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrA~~~WP_049082122.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_049082122.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGNKKGKRKGALLLLTLLFIIVAVAYGIYWFLVLRHFEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAQTQLAASVRQTRQQMINSKQLQASIDVKKTALSQAQTDLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTKIVSPISGYVSRRSVQPGAQISSTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRGGEMLASQVRSSPVYESNAREIGLEPVNKLINDIIQANAG ->ARGMiner~~~TEM-1~~~ANG14599.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG14599.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQRFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQVAEIGASLIKHW ->ARGMiner~~~arnA~~~WP_043017032.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_043017032.1~~~peptide~~~unknown MKTVVFAYHDMGCQGVQALLDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSDEILSLAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQQRVAISPEDVALTLHHKLCQAARQLLEQTLPAIKTGDYAERPQQEAEATCFGRRTPEDSLLDWNKPAAELHNQVRAVSDPWPGAFSYVGTQKFTVWTSRVCTNDFAAQPGTVISVSPLLIACADGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTASKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAIGRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYHKRIIFPSTSEVYGMCTDNVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPENEASIQELAEMLLSCFEKHPLRNHFPPFAGFRDVESSTYYGKGYQDVEHRKPNIRNAKRCLNWEPGIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~mexH~~~WP_034044878.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_034044878.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGSAAAPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtP~~~WP_001540447.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001540447.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDASALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQTAPVVEKK ->ARGMiner~~~macB~~~WP_023286192.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023286192.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAESSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGGGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~WP_001489602.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001489602.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQGRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~tolC~~~WP_021516476.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_021516476.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTVSTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~Escherichia coli ampC~~~WP_033561977.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_033561977.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDDVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLTHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKAITPPTPAVCASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTATWQILNALQ ->ARGMiner~~~FosA2~~~WP_023331921.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_023331921.1~~~fosfomycin~~~unknown MMQSFNHLTLAVSDLQKSITFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFEPFSNKLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~mepA~~~WP_000651036.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_000651036.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFDVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVALPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVSLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMSNKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGVVIIPVLFIMNALFGLTGVMWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~BcI~~~WP_016095346.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_016095346.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGICVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSIDKLNEVITFTKDDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRITMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPAHKRNILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEAAEVIVKALR ->ARGMiner~~~Escherichia coli ampC~~~WP_033865934.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_033865934.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLSHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMAGWVQINLKPLDINEKTLQQGIQVAQSRYWQTGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~macB~~~WP_050149048.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_050149048.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPSSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~dfrA15~~~WP_061811564.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_061811564.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVVIFPSIKDALTNLKKITDHVIVSGGGEIYKILIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~macB~~~WP_059178476.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059178476.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGEGAVEVLKGITLQVEPGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDISTLDSDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGVERKKRLERAQALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELISNPPARKNHAGVHKEVLPPPTGWGQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQNQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRKQLFPGKSSVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSAQAEQQIERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQMFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGIALSMLIAFTLQLFLPGWEIGFSPFALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_058587854.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_058587854.1~~~macrolide~~~unknown MTALLELSNIRRNYPSGEEQVEVLKGITLQINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDRDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSRSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIHDGEIVRNPPAKHTAQGQGIQEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLVAIQKQPWVTSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNREQLNGRAQVVVLDSNTRRQLFPHKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTFTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_047918754.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047918754.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQAAYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLDMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~OpmH~~~WP_010946436.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~WP_010946436.1~~~triclosan~~~unknown MRKSLFCWILTLGVSTHVFATDLMDIYQQALENDTIFKEAYDTYMSSTEAIPQARAALYPQVGLGSQAGRNYQDAVAGAFSANQYYGSYLWQVNASQALFNYQAWAKVAQAKASVKAAQATFNDAAQNLILRTAKAYFDVLFAKDTLDFAEAKKRANKRQYDQATQRFQVGLDAITSVYEAKAAYDQSIATVIAARNNQINQSENLRKLTNHVYETLAPLKDSKIPLVKPEPNDVNQWIDTGLKQNYKLYAAKYNLEVAKDNVKAISAGNWPVFSLQSNASQVHNNASGNTVFIPSKQTQANIAIAMNFPVFQGGLVQAQTRQAQYGFQSTSEKLEQTYRDVIVNSRIAFNTITDGISKVKADRQTVISVQNSLQSTEAQFEVGTRTMVDVVNAQQRLFEAQEQLARDQYDLINSILTLKYLAGTLNVNDLEQINSWLATTRVNGFSPVDNKTSK ->ARGMiner~~~mecR1~~~WP_049386685.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_049386685.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKLNRNKRIVAYIVCSISSFT ->ARGMiner~~~macA~~~WP_002239820.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002239820.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATAKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~vanRM~~~WP_056468082.1~~~glycopeptide unknown +>ARGMiner~~~vanRM~~~WP_056468082.1~~~glycopeptide~~~unknown MKPISILIADDEQEIADLVALHLEKEGYHVIKVSDGHEAVRVVETGSIDLLILDIMMPKMDGYEVTRLIRERYNMPIIFLSAKTSDFDKVQGLVIGADDYITKPFTPIELVARVNAQLRRFMKLNQPKVDDHKGILEFGEIMISPDQRTVKLYGENIELTPKEFDILYLLASHPKKVFSVENIFQQVWGDAYFEGGNTVMVHIRTLRKKLGEDKRKNKLIKTVWGVGYIFNG ->ARGMiner~~~MexE~~~WP_023127580.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_023127580.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MVQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKAVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMRVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~msbA~~~WP_031766634.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031766634.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEVAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~FosB~~~WP_048355794.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_048355794.1~~~fosfomycin~~~unknown MEGKTIQGLNHLLFSVSDLSASISFYEKVFDAKWLVKAEKTAYFDLNGIWLALNEEKDIKRTEIHDSYTHIAFSIKQEDLPYWEMKLHGLGVNVLKGRKRHEGDKDSIYFSDPDGHKFELHTGTLLDRLAYYQKEKPHLSFHEANIRELYEKNK ->ARGMiner~~~Serratia marcescens Omp1~~~WP_000977925.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_000977925.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEESPLGKGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNTSGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVDGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~tolC~~~CBK86642.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CBK86642.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSYNNVNASISSINAYKQAVVSAQSSLDANEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVPTSPDSVAPENPQQDAAVDNFTPNSSAPLAQPAAARSTAPASSGTNPFRH ->ARGMiner~~~macB~~~WP_057695857.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_057695857.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYVGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sdiA~~~WP_032733295.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032733295.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSVAAGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWEDRLFRDAAALWDGARDHGLKKGVTQCLTLPNHAQGFLSVSANNRLPGSYPDDELEMRLRMLTELSLLALLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~novA~~~WP_032788534.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_032788534.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPDARTDADRPPAEVRRILRLFRPYRGRLAVVGLLVGASSLVGVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGNERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQGFAEESERLVDLEVRSNMAGRWRMSTIGIVMGAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEDVDFSYDEKNGPTLTGIDVTVPAGDSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGIDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPEATDEEIEAAARAAQIHDHIASLPDGYDTMVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRVAERGTHEELLDRDGRYAALIRRDSHPVPVPAP ->ARGMiner~~~mecA~~~WP_001659608.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_001659608.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETKSRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~sdiA~~~WP_024231281.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_024231281.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQLLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~FosB~~~CKH07831.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~CKH07831.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYXXXXKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~MexD~~~WP_033937120.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_033937120.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLADLSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~mdtP~~~WP_000610621.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610621.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPNQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKAVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mdtF~~~WP_032185382.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032185382.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTHHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mefA~~~WP_050233077.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_050233077.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAFLGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~mgrA~~~WP_029056442.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_029056442.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEKIRPELSNASEKVATASSLSLDEVDELNRLLGKVIDAFNEAKDN ->ARGMiner~~~arnA~~~WP_000648772.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000648772.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAGLGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNEGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~macB~~~WP_001648637.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001648637.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQTLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosA2~~~CQR79051.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~CQR79051.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVAEADFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYSGMVFASDEA ->ARGMiner~~~MexB~~~WP_010926549.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_010926549.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MAKFFIDRPVFAWVIAIVLMMAGALSILSLPVAQYPNIAPPAISINVTYPGASAQTVQDTVVQVIEQQMNGIDNLQYISSESNSDGSMSITLTFSQGTNPDTAQVQVQNKLAVAQPLLPLEVQQQGIRVTKATKNFLIVAGFVSTDGTMDKSDLADYVASYIQDPISRTPGVGDFQLFGAPYAMRIWISPEKLVNFGLTASDVTTAIREQNVQVSSGQLGGQPAVRGQQLNATVIGPSRLQTPEAFGRILLKVNSDGSQVRLKDVASIELGAQTYAIDSYYNGKPASGLAIKLASGANALDTAQAVRETINGLKPYFPPGMDVVYPYDTTPFVSLSIEGVVKTLFEAVLLVFLVMYLFLQNVRATLIPTLAVPVVLLGTFGVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPRQATRKSMSQITGALIGIAMVLAAVFVPMAFFGGSTGVIYRQFSITIVSSMVLSVVVAIVFTPALCATMLKPIPKGHHGSKKGFFGWFNRTFERSSQAYANNVARSMGRTKRLLLIYVVILLGMAWMFMRIPTAFLPDEDQGILFAQVQAPAGATSERTQATIDAAVKYLLEDEKESVASVFAVNGFSFGGRGQNAAILFIKLRDWNERKGAEHRAAAVAARANAKFRAELRDAQAAVFAPPAVMELGNATGFDFQLQDRAGVGHEKLLAARNQLLQEAGTSPMLVAVRPNGIEDAPQYQLDIDREKARALGVSITEINNTLATAWGSSYVNDFIDRGRVKKVFVQGEASSRMLPQDLDKWYVRNNAGDMVPFSAFSSAQWTFGPQKLNRYNGVPSYNIQGQAAPGYSSGDAMAEMERLADKLPLGIGYDWTGLSFEERLSGAQAPALYAISLIVVFLCLAALYESWSIPTAVMLVVPLGVVGALLATLTRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKEHYEEGASLTEAAVHAARQRLRPILMTSLAFILGVVPLAISTGAGSGSQNAIGTGVIGGMLTGTFLAIFFVPLFFVLTLRLFKVKRASENREDDDPHGGAQPATHGGQPQ ->ARGMiner~~~emrA~~~WP_032417839.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_032417839.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSRKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKGDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~mefA~~~WP_057519149.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_057519149.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVVFFPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~acrB~~~WP_053919061.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_053919061.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGDQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~AQU-1~~~WP_045527796.1~~~cephalosporin unknown +>ARGMiner~~~AQU-1~~~WP_045527796.1~~~cephalosporin~~~unknown MKQTRSLPLLALGTLLLAPLALAAPVDPLNAVVDDAIRPMLKQHRIPGMAVAVLKGGQAHYFNYGLADMAAGKKVSEQTLFEIGSVSKTYTATLGAYAVVKGGIGLDDKVSRHAPWLKGSAFDGVTMAELATYSAGGLPLQFPDEVESVEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGYLAASSLQQPFAQLMAQTLLPGLGMHHTYLDVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANISGVDDKALQQAISLTHQGRYSVGEMTQGLGWESYAYPVSEQTLLAGNSSAVIYNANPVKPVAASQETGGARLYNKTGSTNGFGAYVAFVPAKGIGIVMLANRNYPNEARISAAHAILSQLAP ->ARGMiner~~~tolC~~~WP_003024587.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_003024587.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTNSSQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQTQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTVAPQNPQQDAAVNDFNGTGNLPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~emrB~~~WP_032412110.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032412110.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGAH ->ARGMiner~~~tet(C)~~~AY171578.gene.p01~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~AY171578.gene.p01~~~tetracycline~~~unknown MYALVQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGE ->ARGMiner~~~bcrA~~~WP_000074563.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074563.1~~~peptide~~~unknown MSPINTIIKTTNLTKIYGTQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLFQNQKDILRRIGSIVEVPGFYENLTARENLLINAKIIGVHKRNAIDEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITILISSHILAEVEQLVDRMGIIHEGRLLEEVSLDTLRKANRKYIEFQVNNDHKAAMLLENHFQIFDYEVRDEGNIRVYSHFGQQGRINRAFVLNDIEVLKMMMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~tet(30)~~~WP_001082090.1~~~tetracycline unknown +>ARGMiner~~~tet(30)~~~WP_001082090.1~~~tetracycline~~~unknown MNRSLFIIFATIALDAIGIGLIFPILPLLLQDMTHSTHIAVYMGILASLYAAMQFIFSPLLGALSDRWGRRPVLLISLAGSAVNYLFLTFSHSLILLLVGRIIAGITSANMAVASAYIVDVSQENNRAKYFGLINAMFGAGFIIGPVLGGFLSEYGLRLPFFAAAILTGLNLLFAYFVLPESRKETLENKQLSTLNPFKIFAGISSIRGVLPLVTTFFIFSAIGEVYGVCWALWGHDTFQWSGFWVGLSLGAFGLCQMLVQIFIPSHASRLLGNRNAVLAGIACSCLALAVMAFAQNGWMIFAIMPIFALGSMGTPSLQALASQKVSAEHQGQFQGVIASTVSMASMIAPMFFSTLYFQFQEKWPGAIWLSVILIYLITLPIILYSTRPVVQQR ->ARGMiner~~~mdtH~~~CRL59787.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~CRL59787.1~~~fluoroquinolone~~~unknown MALVTQARTLGKYFLLIDNMLVVLGFFVVFPLISIRFVEQLGWAGVIVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIITGMLLRALGFALMAMADQPWILWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLLYDFHLVCWVGAGVFVIAALFNAWLLPAYRISTTRTPIKEGLNRVLLDKRFVSYVLTLTGYFILSVQVMLMFPIIVNDIAGTPTAVKWMYAIEALLSLTLLYPIARWSEKRFKLEQRLMAGLFLMSISMFPVGMIHSLQGIFLIIGLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWMYDLGNQFDMPELPWFLLGTVGLITLYALHRQFNRKKIETAMLTP ->ARGMiner~~~MexE~~~WP_043515440.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_043515440.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESLELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~FosA2~~~CP001918.1.gene721.p01~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~CP001918.1.gene721.p01~~~fosfomycin~~~unknown MPPLRRQPPAVYGEHGVVYVHAFTVSRPAQKTKGHVMLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARRNVPPQESDYTHYAFTVAEEDFEPFSHRLEQAGVTVWKQNKSEGESFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~tet(C)~~~WP_048267274.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_048267274.1~~~tetracycline~~~unknown MPAFEWVHVQLHQQKGMISLSPPTICNSAGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~arnA~~~WP_000860289.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860289.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtG~~~WP_000075038.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_000075038.1~~~fosfomycin~~~unknown MSPSDNDTSINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSELNMWSGIVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLLLCFFVTLFCIKEKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQIATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRFPQVSN ->ARGMiner~~~macB~~~WP_000188153.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188153.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGVERKRRLARAQELLQRLGLEERVDYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPATENMNVVAGTESIVKMSSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQRQPWVASATPAVSQNLRLRYSNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNSRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFALQLFLPGWEIGFSPLALLLAFLCSTVTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_000746454.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746454.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPIAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtG~~~WP_032414768.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032414768.1~~~fosfomycin~~~unknown MSSAETPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRNLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~Serratia marcescens Omp1~~~EFR14320.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~EFR14320.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MKRNILAVVVPALLVAGTANAAEIYNKDGNKLDLYGKAVGLHYFSKGNGENSYGGNGDKTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGDDAQNGNKTRLAFAGLKFADAGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSVSYEFEGFGIVGAYGAADRTNLQEDSTLGKGKKAEQWATGLKYDANNIYLAANYGETRNATPITGGFANKTQDILLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYIEVGATYYFNKNMSTYVDYAINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~tolC~~~WP_059215553.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_059215553.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQALILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLTLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTRGANSAQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYTSDSPAPVVQPASVRTNTSTGKNPFRN ->ARGMiner~~~MexF~~~WP_058450725.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_058450725.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALLLVFLGVIAIGILPVSQYPAIVPPTIQVTTNYPGADAKTLIKTVALPIEQQVNGVEDMLYMQSTSTNSGNYNLIVTFAIGTDLNYAQVLVQNRVQAAMAQLPESVQQQGVVVQQKSTAILQFITLTSEHGEYDGLFLDSYAAINMQDELSRLPGVGNVIIFGSGTYAMRVWLDPKKMYAYSLTPKDVLLAISHQNKEVSAGQIGAPPVTGKPSYQFTVNVPGQLANPDEFADIIVKTVATQSDEDANASSSAQVIRIRDVGRVELGSSSYSQLAKLNGKPTAAIGIFQLPGANALEVAQEVRKTVAKMAKKFPPGMQYSVPFDTTIFVKASVDEVYKTLFEAGILVLLVIVVFLQNFRATLVPATTVPVTIIGTFFAMFLLGYTINLLTLFALVLAIGIVVDDAIVIVEGVTQHIERGIAPKEASIETMRELFGPIVGITLVLMAVFVPAGFMPGLTGAMYAQFALVIAATAFISAINAMTLKPTQCALWLRPIDPNRQKNIVFRTFDRFYNPLEAGYVRFIDRLVNRSAYTCLVGIILVAVAIFGITRIPTGFIPIEDQGYIILNVLLPDGATLDRTEAVLDKLTKEASKVGGVENVIAIDGISLLDNNANLANAGVVYIMFKDWSVRGKGEDLRSLYTKFNEIAARTLDAKVLVVVPPPIQGLGLSGGFQMQVELQDGSFDYLKLQKVTDQLVKYGTLQPALQKLMTSFRAAVPQVLAPFNRLKAESLGVAVGDAFDTLQTYLGSSYVNLFTKFGQVFQVYVQADASSRMSIEDVRNYYVKNQAGEMVPLGTLTNITQAIGPALISLYNLYPSSSINGIASPGFSSGQAIQAMEELARKLLPAGLSFEWTSTAYQEKIAGNLSYFIFALSLVLVYMILAGQYENWFTPAAVILSVPLTLIGTVLALSSLGLDNNMYTQIGLLLLIALAAKNAILIVEVAREQRLLHNKSPIEAAVIGAKTRFRPILMTSFAFIMGVMPLVFATGAGANARRSIGIAVASGMMASTCLAVVFVPAFYVLIQTWQDRKQSKKVIAVATNPHNER ->ARGMiner~~~FosX~~~WP_014600911.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_014600911.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLREIFNAEEIYSSGDQTFSLSKEKFFLIAGLWICIMEGDSLQERTYNHIAFQIQSEEVDEYIERIKSLGVEIKPGRPRVQGEGSSIYFYDFDNHLFELHTGTLEERLKRYHE ->ARGMiner~~~CTX-M-3~~~AIC64459.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64459.1~~~cephalosporin~~~unknown MAKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~TEM-206~~~ANG22011.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-206~~~ANG22011.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVTLIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRELLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macA~~~WP_001201749.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201749.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRALLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~tolC~~~WP_042946653.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_042946653.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGVSNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPIPTSADSVAPENPQQDATADGYGNTTAAVKPASTRTTQSSGSNPFRQ ->ARGMiner~~~macB~~~WP_047747112.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047747112.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASVNGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSAMAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_045336696.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045336696.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKVLHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRRMLEPGA ->ARGMiner~~~mefA~~~WP_050268395.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_050268395.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAGAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~aadK~~~WP_038429371.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_038429371.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVDSFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYQYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~mdtG~~~WP_042035379.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_042035379.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNKIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~macA~~~WP_057069973.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_057069973.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEAAKKSQGNGVRLERLNLTAEQKQLVEQGKLTLSVVRVLQADGTPKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~smeF~~~YP_001973746~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeF~~~YP_001973746~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MEVIPMKSASLFLSIAAVLALAGCSTLVPKNTAVAPAIPAQWPAEAAQGEVADVAAVGWRDFFTDARLQQVIDQSLQNNRDLRVAVLNVERARGQYRVQRADRVPGVAVTGQMQRQGTDAGVNEQFTAGVGVAEFELDLFGRVRNLSEAALQQYFAVAANRRNAQLSLVAETATAWLTYGADAQRLKIADATLKTYEDSLRLAEARHERGGSSALELTQTRTLVETARTDAARLRGQLAQDRNALALLAGGQLDPALLPDSIEPQLLALAPPPAGLPSDVLLQRPDIMAAEHQLLAANANIGAARAAFFPSISLTGSIGSGSSELSNLFDSGTRVWSFLPKITLPIFQGGKLRANLAIANADRDIALAQYEKSIQVGFRETADALALNVSLDEQVSSQQRLVEAAEQANRLSQARYDAGLDSFVTLLDARRTAYNAQQTQLQAQLAQQANRITLYKVMGGGWHERS ->ARGMiner~~~TEM-1~~~ANG11269.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG11269.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIVAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHR ->ARGMiner~~~tolC~~~WP_003838141.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_003838141.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTNSSQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTVAPQNPQQDAAVNDFNGTGNLPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~tetX~~~AMP50073.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP50073.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLKPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~vanRO~~~WP_058083598.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_058083598.1~~~glycopeptide~~~unknown MRVLVVEDEPYMAEAIRDGLRLEAIAADIAGDGDTALELLSTNAYAIVVLDRDIPGPTGDEIAKHIVASGSGMPILMLTAADRLDDKATGFELGADDYLTKPFELRELVLRLRALDRRRAHSRPPVREIAGLCVDPFRREVYREGRYIALTRKQFAVLEVLVAAEGGVVSAEELLERAWDENADPFTNAVRITVSALRKRLGEPWLIATVPGVGYRIDTGADADGRTARG ->ARGMiner~~~macA~~~WP_063933284.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063933284.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSAEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAVQ ->ARGMiner~~~MexD~~~WP_017148581.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_017148581.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEELAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDASIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~macA~~~WP_031966608.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_031966608.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~tolC~~~WP_048959958.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048959958.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGSAPATQPAAARSTAPASSGSNPFRN ->ARGMiner~~~CTX-M-3~~~AIC64378.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64378.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTAGVQRKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~macB~~~WP_001464282.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001464282.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWFPARNAARLDPVDALARE ->ARGMiner~~~mexY~~~WP_061190039.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061190039.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGALGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~MexE~~~WP_023123650.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_023123650.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARATQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~mdtG~~~WP_023343747.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023343747.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLMADSYGLRPVFFITACVLFLCFVVTLLCIRENFTPVAKKEMLHAREVLTSLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRDLVGNVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSMVHSPWQLGLLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGASISASFGFRAVFIVTAGVVLFNAIYSWFSLSRALRPVAE ->ARGMiner~~~nalD~~~WP_004345400.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_004345400.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTLGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~tet(L)~~~WP_013762475.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~WP_013762475.1~~~tetracycline~~~unknown MNTSYSQSNLRHNQILIWLCVLSFFSVLNEMVLNVSLPDIANDFNKPPASTNWVNTAFMLTFSIGTALYGKLSDQLGIKRLLLFGIIINCFGSVIGFVGHSFFPILILARFIQGAGAAAFPALVMVVVARYIPKENRGKAFGLIGSIVAMGEGIGPAIGGMIAHFIHWSYLLLIPMMTIITVPFLIKLLKKEVRIKGHFDIVGIILMSVGIVFFMLFTTSYNISFLIISILSFLIFVKHIRKVTEPFVEPALGKNISFIIGVLCGGLIFGTVAGFISMVPYMMKDVHQLSTAAIGSVIIFPGTMSVIIFGYIGGLLVDRKGPLYVLTIGVTFLSVSFLIAAFFLEVTPWLLTIILVFVFGGLSFTKTVISTIVSSSLKQKEAGAGMSLLNFTSFLSEGTGIAIVGGLLSVRLLNQKLLPMDLDQSTYLYSNMLFLFTGVVVTSWLITLNVYKRRQIQFKS ->ARGMiner~~~vanM~~~WP_031414935.1~~~glycopeptide unknown +>ARGMiner~~~vanM~~~WP_031414935.1~~~glycopeptide~~~unknown MYRLKIAILFGGCSEEHDVSVKSAKEIANNINTEKYEPIYIGITRSGVWKMCEKPCMDWDNENCRSAVLSPDKRMHGLLVMRDKGYQIQRIDAAFSVLHGKSGEDGAIQGLFELSGIPYVGCDIQSSAVCMDKSLAYIIAKNAGIATPEFWVINKDDRPAADALTYPVFVKPARSGSSYGVKKVNGADELDAAIESARQYDSKILIEQAVLGCEVGCAVLGNSSELIVGEVDQIRLQHGIFRIHQEAEPEKGSENAVITIPADLSAEERGRIRETAKKIYKALGCRGLARVDMFLQDNGRIVLNEVNTLPGFTSYSRYPRMMVAAGITLPELIDRLIVLAIKG ->ARGMiner~~~mdtM~~~WP_021497959.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_021497959.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPGFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRKPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~sdiA~~~WP_004203458.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_004203458.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMAAAQEVYNLLQRETETLEYDFYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWEDGLFRDAQALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPNAYAEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~macB~~~WP_053530123.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053530123.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGQDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGIECKKRQARARELLQRLGLSDRVDYHPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPLVAAQAERVIEIHDGKIVHNPLAQEKKREQGVTTAAVNMASGWRQFASSFREALAMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQVLKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFSEGNTFNTVQQRDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAKEKQSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVNSDLAEQQLTHLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISVSMLIAFMLQFFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtM~~~WP_001531981.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001531981.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFPRHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLSLLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELVEEQ ->ARGMiner~~~TEM-1~~~ANG27305.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG27305.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMGERNRQIAEIGASLVKHW ->ARGMiner~~~novA~~~WP_064457526.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_064457526.1~~~aminocoumarin~~~unknown MHPDTPSWTPSTQEPEQPAQVRRILRLFRPYRGRLALVGLLVGASALVSVASPFLLREILDTAIPDGRTGLLSLLALGMIAAALVNSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATVVAMLALDWRLTVVSLLLLPLFVWISRRVGAERKKITSQRQKQMAAMSAMVTESLSVSGILLGRTMGRSASLTQRFAQESERLVDLEVRSNMAGRWRMSTIGVVMAAMPALIYWAAGIALQAGGPAVSIGTLVAFVTLQQGLLRPTVSLLSTGVQVQTSLALFARIFEYLDLPIDIAEPAEPVRLEKVRGEVRFDGVDFDYDGKDPGTPKGPVKDTSKGTLRGIDLTVPAGGSLAVVGSTGSGKTTLSYLVPRLYDVTGGRVLIDGVDVRDLDFDTLSRAVGVVSQETCLFHASVAENLRFAKPDATDEEIVAAAGAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSAGRTTITIAHRLSTVRDADQIVVLDGGRIAERGTHEELLARDGRYAALVRRDARLSPVAPAV ->ARGMiner~~~mdtF~~~WP_001653479.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001653479.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQGIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~bcrA~~~EOP61695.1~~~peptide unknown +>ARGMiner~~~bcrA~~~EOP61695.1~~~peptide~~~unknown MNAIIKTTNLTKIYDKQKSVDNLNITVNQGEIYGFIGRNGAGKTTTIRMLLGLIKPTSGKIEIFGEDLSRNQKEILRRIGSIVEVPGFYENLTARENLLINAKIIGVHKKDAIGEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITILISSHILAEVEQLVDHMGIIHEGKLLKEIALDTLRKTNRKYLEFQVNNDNKAVMLLENQFHISDYEVHDEGNIRVYSHFGQQGQINKTFVQNDIEVLKIMMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~SRT-2~~~WP_048324983.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_048324983.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAQAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFNDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTDNAQLMAYYQAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYNKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAGTHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEATYRIIQALEKR ->ARGMiner~~~oprA~~~NC_008702.1.4607681.p01~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline unknown +>ARGMiner~~~oprA~~~NC_008702.1.4607681.p01~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline~~~unknown MRPVVFGLALTGVAACSLAPPYELPALPVESRYPADAPVAPAAQRAADTSWQDYFADPALRRLIGQALDNSRDLRAAVLRVEEARAAFGIRRADRFPTVGLGFEGVRGRTPADLSITGEAVTSGQYQMGISLASWELDLWGRVRSLEGAALEEFLATEAARRAVTVSVVAQVADAYLTLRELDERIVLAQRTIDTRQESFRIFSRRVEVGATSRLDLAQVETLLTQAQALGAQLEQARALQAHALAVLVGAPLDLPAAATRLDDDLILHELDAGLPSELLTGRPDIVAAEHRLKAAHASIGAARAAFFPRIALTASAGSASAALDGLFEPGSGAWRFAPTISLPIFDAGRNRAGLDLAEVRRDLAVASYEKTVQQAFREVADALAARHWLEAQLGIQRAALATQVERARLAKLRYDNGAARYLEVLDAQRDLLAAEQQVVQTRRALLSSRVALYAALGGGAQEPERTPR ->ARGMiner~~~blaF~~~WP_061263813.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_061263813.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGGAAPAFAAPIDDQLAELERRDNVLIGLYAANLQSGRRITHRLDEMFAMCSTFKGYAAARVLQMAEHGEISLDNRVFVDADALVPNSPVTEARAGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAILAGDALSPPQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPDGQRLLLVMMTRSQAHDPKAENLRPLIGELTALVLPSLL ->ARGMiner~~~MexF~~~WP_003449357.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_003449357.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFISRPIFAAVLSLLVLIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAIVGVEGMLYMSSQATNDGKLTLTITFALGTDLDNAQVQVQNRVTRTMPTLPTEVQRLGVTVDKASPDLTMVVHLTSPDNRYDMLYLSNYAALNVKDELARLDGVGDVQLFGMGNYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGALGAPPSDAGNSFQLSINTQGRLVTEEEFENIIIRAGYDGEITRLKDIARVELGSNQYALRSLLNNQPAVAIPVFQRPGSNAIEISDAVRERMAELKQNFPQGMDYEIVYDPTIFVRGSIEAVVHTLLEAIVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGKSPVEATRQAMKEVTGPIVATALVLCAVFVPTAFISGLTGQFYQQFALTIAISTVISAFNSLTLSPALAAVLLKDHHAPKDRFSRVLDRLFGGWLFGPFNRFFDRAGKGYVGTVRRVLRGSSIAMLVYGGLLVLGYLGFSSTPTGFVPQQDKQYLVAFAQLPDAATLDRTEAVIKRMSEIAAKHPGVENTVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSMSAGAIAAELNKQFAEIQDAYIAIFPPPPVQGLGTIGGFRLQVEDRGNLGYEELYKQTQNVIAKARQLPELNPMSVFTSYQVNVPQVDAAIDREKAKTHGVAISDIFDTMQVYLGSLYANDFNRFGRTYQVNVQADQQFRLEPEQIGQLKVRNNRGEMIPLSTFVKVENSSGPDRVMHYNGFITAEINGAAAPGYSSGQAEAAIARLLKEELPNGMTYEWTDLTYQQILAGNTAVFIFPLCVLLAFLVLAAQYESWSLPLAVILIVPTVLFSAIVGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKEKQEEGLDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRGFVEKREARKAQANQIEVHA ->ARGMiner~~~arnA~~~WP_000860271.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860271.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~sul1~~~NC_010558.1.6276115.p01~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~NC_010558.1.6276115.p01~~~sulfonamide;sulfone~~~unknown MGLCRYGAHNCCLFARPIPIVEVAAEADAMVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~msbA~~~WP_064130087.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_064130087.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVELTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFIGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~msbA~~~WP_000597252.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597252.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFTTIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~arnA~~~WP_032282771.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032282771.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKSCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLITCGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~tolC~~~AEW75254.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~AEW75254.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNMGQNKVGLNFSLPLYQGGQVTSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPENVAPENPEQVAAVDNFNGNGAAPAAQPAAARTTAPASSGNNPFRN ->ARGMiner~~~Escherichia coli acrA~~~WP_050177011.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_050177011.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLTKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQSTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGDKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~emrB~~~WP_001334918.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001334918.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGFISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macA~~~WP_039108682.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_039108682.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLCTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~tolC~~~EKP32760.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EKP32760.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLVQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~FosB~~~AIW39530.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~AIW39530.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFSIDPKDFDAIHQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~mdtH~~~WP_000752521.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000752521.1~~~fluoroquinolone~~~unknown MACVSQARNLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLLRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFVMCAAFNAWLLPAWKLSTVRAPVREGMSRVMGDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGTPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPVGLVGSLPHLFTLICIFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAVGYIGGGWLFDMGKALQQPELPWMMLGIIGIITFLGLGWQFSHKHTASRMLGPDARS ->ARGMiner~~~tetX~~~AMP53775.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP53775.1~~~glycylcycline;tetracycline~~~unknown MTMRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVKETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKKFSDWDERYKELIRLTSSFVGLATRIFPLDKSWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLTNGKFNSIEEAIENYEQQMFAYGREAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~acrB~~~WP_043001557.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_043001557.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDTISRTSGVGDVQLFGSQYAMRIWMDPTELNKYQLTPVDVIAAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDIIAKFNGKPASGLGIKLATGANALDTAAAIRAELVKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLAEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAFLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTDYFLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITQRASAAFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQAGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPEDIGNWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEQLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSVEHR ->ARGMiner~~~hmrM~~~WP_032418890.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032418890.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFATMLWWVRRARTMRDIRCAERFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMRKQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQAIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071165.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071165.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~tet(E)~~~WP_014343702.1~~~tetracycline unknown +>ARGMiner~~~tet(E)~~~WP_014343702.1~~~tetracycline~~~unknown MNRTVMMALVIIFLDAMGIGIIMPVLPALLREFVGKANVAENFGVLLALYAMMQVIFAPLLGRWSDRIGRRPVLLLSLLGATLDYALMATASVVWVLYLGRLIAGITGATGAVAASTIADVTPEESRTHWFGMMGACFGGGMIAGPVIGGFAGQLSVQAPFMFAAAINGLAFLVSLFILHETHNANQVSDEIKNETINETTSSIREMISPLSGLLVVFFIIQLIGQIPATLWVLFGEERFVWDGVMVGVSLAVFGLTHALFQGLAAGFIAKHLGDQKAIVVGILADGCGLLLLAVITQSWMVWPVVLLLACGGITLPALQGIISVRVGQVAQGQLQGLLTSLTHLTGVIGPLIFAFLYSATHESWNGWVWIVGCGLYVVALTILRFFYPGRAAHPKNQSNSQPFL ->ARGMiner~~~bcr-1~~~WP_021038195.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_021038195.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIALLMLSLFILKETRPAAPTTSDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLLGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~FosA2~~~WP_023303023.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_023303023.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~FosB~~~AFH85304.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~AFH85304.1~~~fosfomycin~~~unknown MEKSIEFYQKILQAKLLVKGRKLAYFDLNGLWIALNVEEDIPRNEIKQSYTHMAFTVTNEALDHLKEVLIQNDVNILPGRERDERDQRSLYFTDPDGHKFEFHTGTLQNRLEYYKEDKKHMTFYI ->ARGMiner~~~mdtA~~~EFE62687.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~EFE62687.1~~~aminocoumarin~~~unknown MFHNSSIFLPYWLATLVSFRETFQEEKLLTMKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~msbA~~~WP_000597243.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597243.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAVKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~mdtP~~~WP_001500661.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001500661.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSSSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVPAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mepA~~~WP_017804827.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_017804827.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSEVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~aadK~~~WP_063335491.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_063335491.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVDSFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVQVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELISTYSVNGYQEMWKSLFTCYALFRKYSKAVSESFAYQYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~mdtH~~~WP_061389477.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_061389477.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWVFDMGKTLAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~vanRA~~~WP_001281107.1~~~glycopeptide unknown +>ARGMiner~~~vanRA~~~WP_001281107.1~~~glycopeptide~~~unknown MSDKVLIVEDEREIADLVELYLKNENYTVFKYYTAKEALECIDKNVLDLAILDIMLPDVSGLTICQKIRDKHTYPIIMLTAKDTEVDKITGLTIGADDYIAKPFRPLELIARVKAQLRRYKKYNGVTAQNENVIVHSGLVINISTHECSLNEKPLSLTPTEFSILRILCENKGNVVSSEQLFHEIWGDEYFSKSNNTITVHIRHLREKMNDTVDNPKYIKTVWGIGYKIEK ->ARGMiner~~~norA~~~WP_042363132.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_042363132.1~~~fluoroquinolone;acridinedye~~~unknown MKKQFIILYFNIFLVFLGIGLVVPVLPVYLKDLGLKGSDLGILVAVFALAQMIISPFGGTLADKLGKKLIICIGLGLFAISEFLFAASHTFSLLIVSRILGGFSAGMVMPGVTGMIADISSGRDKAKNFGYMSAIINSGFILGPGIGGLLAEVSHRLPFYVAGFSGCLALILSIILIKNPKHETQDGFTKYQPELLAKIDWKVFLTPIVLTLVLAFGLSSFETLFPLYTADKAQYSPMDISFAITGGGILGAVFQVFFFDKFMSYFKELTFITYSLLYSAIILLALTFVHGYWSIMFISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFIGPLVAGTLYDINFEFPLYMSIFVMILGMLVIFIERQLRLRFRKT ->ARGMiner~~~macB~~~WP_045327301.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045327301.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGSYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIHDGELVSNPPPRASSAAAPKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mtrR~~~WP_003695674.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~WP_003695674.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQTAGVTRGALYWHFKNKEDLFDALFQRICDDIENCIAQDAADAEGGSWTVFRHTLLHFFERLQSNDIHYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITAVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWFSSGESFDLGKTAPRIIGIMMDNLENHPCLRRK ->ARGMiner~~~sdiA~~~SBX00655.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~SBX00655.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSVATGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWNDSLFRDAPALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPGGYPEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~mdtH~~~WP_038443223.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_038443223.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKSFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~emrB~~~WP_054191630.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_054191630.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVREVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macB~~~WP_000188177.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188177.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNIDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~msbA~~~WP_031941805.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031941805.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPVEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALVEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLIRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHHELIAKQGAYEHLYSIQNL ->ARGMiner~~~vanHA~~~WP_039833223.1~~~glycopeptide unknown +>ARGMiner~~~vanHA~~~WP_039833223.1~~~glycopeptide~~~unknown MNNIGITVYDCEHDEADVFRRLSPRFGVMPAIISDPLSETNAISAPRNQCISVSHKSEVSASILLALKRAGVKYISTRSIGCNHIDTTAAKKMGIAIGNVAYSPDSVADYTLMLMLMAVRNAKSIVRSVEKYDFKLDSVRGKELRDMTVGVMGTGRIGKAVIERLQGFGCHVLAYDRCQKITADYVPLDKLLRNSDIVTLHVPLGADTHHIIGHEQIKGMKQGAFIINTGRGALLDTAALIKALETGKLGGAALDVLEGEEGLFYFDCTQKTIDNQFLLKLQRMPNVIITPHTAYYTERALQDTVEKTLGNCLDFERSLTHG ->ARGMiner~~~mdtH~~~WP_046093521.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_046093521.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCAIFNAWLLPAWKLSTVRTPVREGMHRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macB~~~WP_032349749.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032349749.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLNMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIVAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~L1 beta-lactamase~~~WP_057501531.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_057501531.1~~~cephalosporin~~~unknown MRFTLLAFALAVALPAAHASAAEAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMASHLISNMKVRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAESAVLLARGGSNDLHFADGITYPPASADRIIMDGEAVTVGGITFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFTTVRGLPCDLLLTPHPGASNWNYAAGSKASANALTCSAYADAAEKKFDAQLAKETAGDR ->ARGMiner~~~L1 beta-lactamase~~~WP_043396102.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_043396102.1~~~cephalosporin~~~unknown MRCSLLAFALAAVLPVAHASAAEAPLPQLRAYTVDASWLQPMEPLQIADHTWQIGTWNLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLQLILLSHAHADHAGPVAELKRRTGAHVVANAESAVLLARGGSNDLHFGDGITYPPTSADRIIMDGEVVTVGGIEFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFTTVRGLPCDLLLTPHPGASNWNYAAGSKAGAKALTCSAYADAAEKTFDAQLAKESAAHR ->ARGMiner~~~Escherichia coli ampC~~~WP_016242684.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_016242684.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLASKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~macB~~~WP_064278201.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064278201.1~~~macrolide~~~unknown MTALLELRNIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNNLGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexA~~~WP_023116004.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_023116004.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELLGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~FosB~~~WP_046132296.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_046132296.1~~~fosfomycin~~~unknown MEGKTIQGLNHLLFSVSDLSASISFYEKVFDAKWLVKAEKTAYFDLNGIWLALNEEKDIKRTEIHDSYTHIAFSIKQEDLPYWEKKLNDLGVNVLKGRKRHEGDKDSIYFSDPDGHKFELHTGTLLDRLAYYQKEKPHLSFHKANIRELHEKNK ->ARGMiner~~~norA~~~WP_031907214.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031907214.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGISGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFGGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~mdtP~~~WP_000610571.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610571.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKNSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mdtH~~~CNU38720.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~CNU38720.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYXXXXXXXXXXXFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~norA~~~WP_047172736.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_047172736.1~~~fluoroquinolone;acridinedye~~~unknown MKKQFIILYFNIFLVFLGIGLVVPVLPVYLKDLGLKGSDLGILVAVFALAQMIISPFGGTLADKLGKKLIICIGLGLFAISEFLFAASHTFSLLIVSRILGGFSAGMVMPGVTGMIADISSGRDKAKNFGYMSAIINSGFILGPGIGGLLAEVSHRLPFYVAGFSGCLALILSIILIKNPKHETQDGFTKYQPELLAKIDWKVFLTPIVLTLVLAFGLSSFETLFPLYTADKAQYSPIDISFAITGGGILGAVFQVFFFDKFMSYFKELTFITYSLLYSAIILLALTFVHGYWSIMFISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFIGPLVAGTLYDINFEFPLYMSIFVMILGMLVIFIERQLRLRFRKT ->ARGMiner~~~emrB~~~WP_052922694.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_052922694.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGSVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtH~~~WP_012068550.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_012068550.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPERPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~tolC~~~WP_033561126.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_033561126.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYHAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macB~~~WP_058345546.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_058345546.1~~~macrolide~~~unknown MTALLELRNIRRSYPSGEEQVEVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSMLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGIERKKRQARARELLQRLGLSDRVDYHPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLVAAQAGRVIEIHDGKIVHNPPAQEKGREQGVAAAAVNTASGWRQFASSFREALAMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQVLKYDDLAAIQKQPWVNSATPTVSKSLRLRYGNMDIAVNANGVSGDYFNVYGMSFSEGNTFNVVQQRDRAQVVVLDANTRRQLFPNQANVVGEVVLVGNMPVIVIGVAEEKPSMYGNRNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVNSDQAEQQLTRLLTLRHGQKDFFTWNMDSILKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFMLQLFLPGWEISFSLIALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~ACT-6~~~WP_065419820.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-6~~~WP_065419820.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MTKSLCCALLLSTSCSVLAAPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKAEEPHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMANWVMVNMKPDSLQDSSLRKGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~macA~~~SBZ86158.1~~~macrolide unknown +>ARGMiner~~~macA~~~SBZ86158.1~~~macrolide~~~unknown MLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~macA~~~WP_040169856.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_040169856.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQAMLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~mefA~~~WP_000417520.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_000417520.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEYFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~CepS beta-lactamase~~~WP_052213337.1~~~cephalosporin unknown +>ARGMiner~~~CepS beta-lactamase~~~WP_052213337.1~~~cephalosporin~~~unknown MLGTRRPVRLTAPEHTEIFAMKQTRSLPLLALGTLLLAPLALAAPVDPLNAVVDDAIRPMLKQHRIPGMAVAVLKGGQAHYFNYGLADMAAGKKVNEQTLFEIGSVSKTYTATLGAYAVVKGGIGLDDKVSRHAPWLKGSAFDGVTMAELATYSAGGLPLQFPDEVESVEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGHLAASSLQQPFAQLMEQTLLPGLGLHHTYLEVPKQAMGDYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANISGVDDQALQQAISLTHQGRYSVGEMTQGLGWESYAYPVSEPTLLAGNSSAVIYNANPVKPVAASQETGVARLYNKTGSTNGFGAYVAFVPGKGIGIVMLANRNYPNEARVSAAHAILSQLAP ->ARGMiner~~~FosB~~~WP_036147995.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_036147995.1~~~fosfomycin~~~unknown MTIQSINHLLFSVSNLEQSIAFYENVFDAKLLVKGNSTAYFDVNGLWLALNVEKDIPRNDIQYSYTHIAFTISEDEFDKMYDKLVQLKVHILDGRQRDERDKKSIYFTDPDGHKFEFHTGTLQDRLDYYMKEKLHMEFFNH ->ARGMiner~~~mdtN~~~KDU12832.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~KDU12832.1~~~nucleoside;acridinedye~~~unknown MALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~acrB~~~WP_014168904.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_014168904.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFQSGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDIIAKFNGKPASGLGIKLATGANALDTATAIRAELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLAEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAIFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVQKGGHGEHKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYIIIVVGMAYLFVRLPSSFLPDEDQGVFLTMAQLPAGASQERTQKVLDEVTDYYLTKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGEENKVEAITGRAMGTFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQYKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAQYRMLPNDINNWYVRGNNGQMVPFSSFSTSHWEYGSPRLERYNGLPSMEILGQAAPGRSTGEAMNLMEELAGKLPAGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHSVEPH ->ARGMiner~~~mdtF~~~WP_000024906.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_000024906.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEEKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~tolC~~~WP_000735344.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735344.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSSLLPQIDLKAGYNVNRSDQDLRESDLFTAGISFSQELYQRSSWISLDTSEKKARQADSQYAAAQQGLILRVANAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENSLTNSYETLREITGQEYSKLAVLDTKRFAASRTTDSTEALIEKAQQQNLSLLSARISQDVARDNISLASSGHLPSLTLNGGYDYGNNSNDNAQGSSSEEYNDFKIGVNLSVPLYTGGNTTSQTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAVGTLSEQDIMDVNAGLKVAKK ->ARGMiner~~~FosA2~~~WP_023315314.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_023315314.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVTEEDFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGCLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~CTX-M-3~~~AIC64389.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64389.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKRVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDILASAAKIVTDGL ->ARGMiner~~~mdtA~~~EOV76981.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~EOV76981.1~~~aminocoumarin~~~unknown MCPSFRRFQTVFHNSSIFLPYWLATLVSFRETFQEEKLLTMKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSRSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITSPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLLFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPSAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~emrB~~~WP_023253897.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_023253897.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESITAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGAH ->ARGMiner~~~BLA1~~~WP_025991661.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_025991661.1~~~penam~~~unknown MILKNKRLLKIGICVGILGLSLTCLEAFTGGPLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRSPIIIAILSSKDEKEATYDNQLIKEAAEVVIDAIK ->ARGMiner~~~novA~~~WP_043247487.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_043247487.1~~~aminocoumarin~~~unknown MKPDTPPWTPPPDARSVTDRKPGEVRRILRLFHPYRARLALVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEGVDFSYDEKNGPTLTGIDLTVPAGSGLAVVGSTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATAEEIETAARAAQIHDHIASLPDGYDTLVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQAAIDALSAGRTTLTIAHRLSTVRDADQIVVLEGGRIAERGTHEDLLARDGRYAALIRRDSHPVPAPAP ->ARGMiner~~~macB~~~WP_052892342.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_052892342.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVTAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~msrA~~~EVW57639.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~EVW57639.1~~~macrolide;streptogramin~~~unknown MNMEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETVGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSLHDIT ->ARGMiner~~~arnA~~~WP_001501316.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001501316.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYATDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~L1 beta-lactamase~~~WP_061480328.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_061480328.1~~~cephalosporin~~~unknown MRLRLSSLALAATLITFDGAAADASLPQLQAYTVDPSWLQTMAPLQIADNTWQIGTHDLTALLVQTADGLVLIDGGMPQMASYLLTNMKASGTNTGPLRMVLLSHAHTDHAGPVAEIKRRTGAQVVVNAETAVLLARGGSDDLHFGDEITFPPVNADRIVMDREVVKLGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLQGNARYPRLVEDYRRSFATVRGLPCDLLLTPHPGASNWNYAAGSKASEKVLSCKAYADAAEKKFDAQLAKETATAR ->ARGMiner~~~macA~~~WP_025460748.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_025460748.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQASYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNSDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~y56 beta-lactamase~~~WP_019079439.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_019079439.1~~~cephalosporin;penam~~~unknown MKHSSLRRSLLLAGITLPLVNFSLPTWAAGIPGSLDKQLAALEHSANGRLGIAMINTGNGTKILYRGARRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLLKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATKIILSQIS ->ARGMiner~~~TEM-1~~~ANG10864.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG10864.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVIIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macA~~~WP_000746466.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746466.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKTWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~emrB~~~WP_001469152.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001469152.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGAIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~adeG~~~WP_027806808.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_027806808.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVNANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQTDWQRAQRLIGDNAIAKRDYDEKQNAAREANANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARSGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGTLVPGLYARVKVGGSAPHEALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQPGLQHGNRRVIVSGLAAGDRVVVNGTQRVRPGAQVKPHMVPMTGGDEPSAPLASTAKPAAPAKADS ->ARGMiner~~~Bacillus subtilis mprF~~~WP_050969978.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_050969978.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPEKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGEFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~macA~~~WP_058650642.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_058650642.1~~~macrolide~~~unknown MNLKGKRRKWFLLLAIAVIAAGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGDEVVTSETLPGAAK ->ARGMiner~~~macA~~~WP_001124221.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124221.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKVTRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~mdtH~~~WP_053904212.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053904212.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIVHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGTLLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~lmrB~~~NC_009641.5331418.p01~~~lincosamide unknown +>ARGMiner~~~lmrB~~~NC_009641.5331418.p01~~~lincosamide~~~unknown MTAYPSIMKDFEISYTQVQWLTTAFLLTNGIVIPLSALVIQRYTTRQVFLVGISIFFLGTLLGGLSPHFATLLVARIIQALGAGIMMPLMMTTILDVFQPHERGKYMGIFGLVIGLAPAIGPTLSGYLVEYFNWRSLFHVVAPIAAVTFLIGFKTIKNVGTTIKVPIDFISVIFSVLGFGGLLYGTSSISEKGFDNPIVLVSMIGGVVLVALFVLRQYRLSTPLLNFAVFKNKQFTVGIIIMGVTMVSMIGSETILPIFVQNLLHRSALDSGLTLLPGAIVMAFMSMTSGALYEKFGPRNLALVGMAIVVITTAYFVVMDEQTSTIMLATVYAIRMVGIALGLIPVMTHTMNQLKPEMNAHGSSMTNTVQQIAGSIGTAALITILSHASKNFSPTMSDYNGMNKIDMMNQIKVDTMLHGYHAGFLFALLITVVSFFCSFMLQGKKKEVDSRQ ->ARGMiner~~~mtrE~~~NC_011035.1.6449630.p01~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~NC_011035.1.6449630.p01~~~macrolide;penam~~~unknown MNTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFQNDTSVSSVRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANGSRQGSLSGGNVSSSYNVGLGAASYELDLFGRVRSSSEAALQGYFASVANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINRPIPEDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGSVGTGSVELGGLFKSGTGVWAFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYESAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTGK ->ARGMiner~~~mdtP~~~EHU20948.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~EHU20948.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALVQQTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGIRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKNKMSAA ->ARGMiner~~~arnA~~~WP_023249615.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_023249615.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLNWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~tolC~~~WP_032995033.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032995033.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGVDSTAKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDNFKTTKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTGGAAASNYADRKIGQNSVGLSFNLPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTAPESVAPENPEQDAAVNNMANGGGNTPAMQPAAATRSGNSNSGNPFRQ ->ARGMiner~~~macA~~~WP_002256440.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002256440.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~gadX~~~NC_002695.1.915747.p01~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~gadX~~~NC_002695.1.915747.p01~~~macrolide;fluoroquinolone;penam~~~unknown MQSLHGNCLIAYARHKYILTMVNGEYRYFNGGDLVFADASQIRVDKCVENFVLVSRDTLSLFLPMLKEEALNLHAHKKVSSLLVHHCSRDIPVFQEVAQLSQNKNLRYAEMLRKRALIFALLSVFLEDDHFIPLLLNVLQPNMRTRVCTVINNNIAHEWTLARIASELLMSPSLLKKKLREEETSYSQLLTECRMQRALQLIVIHGFSIKRVAVSCGYHSVSYFIYVFRNYYGMTPTEYQERSAQGLPNRDSAASIVAQGNFYGTDCSAEGIRL ->ARGMiner~~~macB~~~WP_053388845.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053388845.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEMPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPSHKPSTGRDIVEPTVKTASGWGQFASGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~APH(6)-Id~~~WP_000480973.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_000480973.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIKDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEVQRDLAIAAAIKQVRQTSY ->ARGMiner~~~hmrM~~~CRL58909.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~CRL58909.1~~~fluoroquinolone;acridinedye~~~unknown MQKYIREARSLLALGIPVIIAQFSQTAMGVVDTVMAGAVNATEMSAVAVGTSIWLPTILLGQGILMALTPIVAQLNGSGQRKHIANRTQQGFWLATFLSIMVIAILYNCRFIIEAQHDIEPELAEKAIGFIHAIMWGAPGCLYYQVLRSQCEGLSKTKPGMIIGFIGLLINIPVNYAFIYGKFGAPQLGGIGCGVATASVFWAMFLMMRYYVRRAPTQRDVMPKKRLVSPDFHMIKRITILGLPVGLALFFEVTLFAVVALLVSPLGVTAVASHQIALNFSSLMFMFPLSLGIAATIRVGHNLGQRSTEQARTSAITALAVGLMIASCTAIFSIIFREKIALMYNDNIEVVTLASHLMLFAALYQLSDSVQVIGSGVLRGYKDTRSIFFITFIAYWVIGLPSGYILGRTDYFVEAMGPAGFWIGFILGLTASAIMMGSRIWWIQRQSDEVVLLRSER ->ARGMiner~~~y56 beta-lactamase~~~WP_050298167.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050298167.1~~~cephalosporin;penam~~~unknown MKHSSLRRALLLAGITLPLVNFSLPTWAAAIPGSLDKQLAALEHSANGRLGIAMINTANGTKILYRGAQRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLLKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATKIILSQIS ->ARGMiner~~~APH(6)-Id~~~WP_058167554.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_058167554.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRCIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~mdtP~~~WP_000610593.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610593.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVTAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPMFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGSGYQAAPVVEKK ->ARGMiner~~~macB~~~WP_010429159.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_010429159.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVDGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSAMAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQMFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtE~~~WP_033545801.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_033545801.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYGQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATTLILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~macA~~~WP_045898496.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045898496.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLAMGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~tolC~~~WP_045343334.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045343334.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGINSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDNNMGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPQQDAAVDNFTANSSTPVAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~mdtF~~~WP_043017261.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_043017261.1~~~macrolide;fluoroquinolone;penam~~~unknown MANFFIERPVFAWVLAIIMMFTGGIAITNLPVAQYPQIAPPTITISAAYPGADAKTVEDSVTQVIEQKMNGLDGLMYMSSTSDAAGNASIILTFKTGTSPDIAQVQVQNKLQLAMPSLPQEVQQQGISVDKSSSNILMVAGFVSDNNSLSQYDIADYVASNIKDPLSRTAGVGSVQLFGSQYAMRIWLDPQKLDKYNLTPQDVITQLKVQNNQISGGQLGGMPQSADQQLNASIIVQTRLQTTDEFGQIFLKVQQDGSQVLLRDVARIELGAENYATVARYNGKPAAGIAIKLAAGANALETSQAVKQELNRLSAWFPASMKTVYPYDTTPFIEISIQGVFHTLIEAIILVFLVMYLFLQSFRATLIPTIAVPVVILGTFAILDVAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERIIAEEHLSPKAATHKAMGQLQRALIGIAVVLSAVFMPMAFMSGATGEIFRQFSITLISSMLLSVFVAMSLTPALCAMLLKSHEGEKVNTHFLFTRFNHFMEKCTQHYTDSTRKLLRCTGRYMVVYLVIGAGMIVLFSRTPTSFLPEEDQGVFMTTAQLPSGSTMVNTSKVLGEITDYYLTKEQKNVASVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIRRAMMALSTINNAVVYPFNLPAVAELGTASGFDMELLDNGNLGHEKMMQARNELLALASQSSGEVDGVRPNGLEDTPMFRIHVNARKAEAMGVALSDINQTISTAFGSRYVNDFLNQGRVKKVYVQADTPFRMLPDNINHWYVRNASGAMTPLSAYSSTEWTYGSPRLERYNGQPAMEILGQPVEGKSSGDAMKFMASLINKLPAGVGYAWTGLSYQEALSTNQAPMLYGISLIVVFLALAALYESWSIPFSVMLVVPIGVVGALLATDLRGLSNDVYFQVGLLTTMGLSAKNAILIVEFAVEIMQNEGKAPLEAAVEAAQMRLRPILMTSLAFILGVIPLAISDGAGSGAQNAVGTGVIGGMLAATVLAIYFVPLFFVLVENMLARFKARR ->ARGMiner~~~tolC~~~WP_053388754.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_053388754.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFTTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLGLTASTGVSDTSYNGSKTNTSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPIPTSPDNVAPQNPQQDAAVNDFNSNGNMPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~macB~~~WP_057915969.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_057915969.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDYFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFFCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtO~~~WP_032255739.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_032255739.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLLRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~floR~~~WP_042632564.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_042632564.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGFGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~norB~~~WP_036072414.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_036072414.1~~~fluoroquinolone~~~unknown MQQEQTTYKGTNRLIIGIVFGVLTFWLFAQSMVNIVPAIQSDIGISSDLLNIAISLTALFSGIFIVVAGGLADKFGRMKLTYIGLVLSILGSLLIVLAHGATLLIIGRVIQGLSAACIMPATLSLMKTYFDGKDRQRALSYWSIGSWGGSGFCSFVGGAIATYMGWRWIFIISIIIALLGMLLIKGTPESKVAQTGNKGRFDTWGLVTFVIAMVCLNLVITRGSTFGWTSPTTIIMILVFLISAFFFFRIELRQTNGFIEFSLFKNMAYSGATLSNFLLNAAAGTLVVANTYVQVGRGFTAFQSGLLTLGYVVCVLAMIRVGEKLLQKIGARKPMIFGSLITAIGIALMAMTFLPNLIYLIFVFIGYAFFGLGLGIYATPSTDTAVSNSPEDKVGVASGIYKMASSLGGSFGVAISATVYGVIAASGNIEVAAMVGLLTNVAFCVLSLLAVIFMTPQTKKAVQTVQTNE ->ARGMiner~~~emrB~~~WP_005000947.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_005000947.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLATASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~lrfA~~~AE016830.1.gene1786.p01~~~fluoroquinolone unknown +>ARGMiner~~~lrfA~~~AE016830.1.gene1786.p01~~~fluoroquinolone~~~unknown MLERTVFMIDRKKVILYTCCMSLFVVTMDVTVVNVALPSIQSDFHTNLSTLQWVTDGYTLMVASLLLLSGSTADRIGRKRVLQLGLACFGLASFLCGISQTPGQLIAFRMLQGIGGSMLNPVAMSIITQVFTEKLERAKAIGLWGSVTGISLGMGPIIGGLIVSYFSWRYVFFVNVPIIAAAIILTQKFVPESKVEKTVKNDFVGQALMILFLFSSIYSIIGLPRKGLFAPDILSTGIIGCLAIVIFFIYEYNIDNPLINPRFFLSIPFTSASFLAIFGFIIYNGYLFLNTLYLQEMRGFSPLEAGLSTIPLAFVSFLVAPRAGEMVGRIGTKRPIMLCGISMLAVSFLQLFVTKTTPMIILFIIYIFLGIGFGMLNSPITITAIEGMPLSQSGTAAAIAVTCKQIGNSLGVALPSLLITKPITSSLTRTPFTNVWLLFGCCAIAIIFLSYLSNSPLAKKSLRRVRFYF ->ARGMiner~~~mepA~~~WP_031928572.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031928572.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVALPFSDQIVAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMSNKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~mgrA~~~WP_015899591.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_015899591.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQLNLGGRLCFSLYNAQRQVNRYYSNKVFKKYKLTYPQFLVLTILWEDSPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTEKSEAIKPELGQACNQLADISGLSENEEKELNRLLDKLIDSLAKEDA ->ARGMiner~~~nalD~~~WP_024916072.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_024916072.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEDLFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~AAC(3)-Ia~~~WP_025464514.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~WP_025464514.1~~~aminoglycoside~~~unknown MLWSSNDVTQQGSRPKTKLGGSSMGIIRTCRLGPDQVKSMRAALDLFGREFGDVATYSQHQPDSDYLGNLLRSKTFIALAAFDQEAVVGALAAYVLPKFEQARSEIYIYDLAVSGEHRRQGIATALINLLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREEVMHFDIDPSTAT ->ARGMiner~~~mdtH~~~WP_023322309.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023322309.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRLGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRYRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGFITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~FosB~~~WP_033671126.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_033671126.1~~~fosfomycin~~~unknown MINGFNHLCFSVSDLERSIQFYETVLEGKLLVKGRKLAYFDICGVWVALNEEAGIARNEIHQSYTHLAFSVKQEDFGRLLKRLEENKVHILQGRERDVRDCQSIYFIDPDGHKFEFHSGTLQDRLKYYKEAKSHMKFY ->ARGMiner~~~mdtM~~~WP_021546185.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_021546185.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFTRHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGSLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLSLLIVGSLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNKLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELVEEQ ->ARGMiner~~~FosB~~~WP_050000244.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_050000244.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENAIMFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQKDFERLLHRLEENNVHILQGRERNVRDCRSIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~norA~~~WP_031783590.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031783590.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRTKYVA ->ARGMiner~~~tet(V)~~~CQD17781.1~~~tetracycline unknown +>ARGMiner~~~tet(V)~~~CQD17781.1~~~tetracycline~~~unknown MQHDTQPPVGQTGGWRVLAPFRIREYRLLIAAVTLSIFAEGMWSVVMALQVIAIDNDPASLSLVATCMGVGLVAFVLVGGITADRINQRTIIIAVETINLVMVSTIAVLGLLDLLKIWHLAVAAGILGIAAAFFFPAYSALLPRILPAEQLLAANGVEGVVRPVFQRSVGPAVAGMVIAATFPSLGAVVVAALFGAGLVLLVATRPTVDSIAAQEDNERPHVLRDLREGFAFMVRTPWLLWTLLFASIFVLVVLGPIEVLLPFIAQDRFADGARAYGFILAFFGFGSALGALTVSSRRMPRRYLTTMMAMWGLGSVPLVIVGVTSSFPLMALATFCIGVTDGAGMVIWGTLLQRRVPTEMLGRVSSLDFFVSLAFMPLSFAIVGPLSKVVSMESIFLVSGLLPAALAAVAVTAARMPRDELTHPLR ->ARGMiner~~~CTX-M-3~~~AIC64400.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64400.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQRLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~macA~~~WP_047343235.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047343235.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSLQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNCYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macB~~~WP_021543963.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_021543963.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGCEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli ampC~~~WP_042091118.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_042091118.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGRFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~TEM-1~~~ANG09608.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG09608.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPVMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~arnA~~~WP_033545686.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_033545686.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDIVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~FosX~~~WP_061684947.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_061684947.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLREIFNAEEIYSSGDQTFSLSKEKFFLIAGLWICIMEGDSLQERTYNHIAFQIQSEEVDEYIERIKSLGVEIKPERPRVEGEGRSIYFYDFDNHLFELHAGTLEERLKRYHE ->ARGMiner~~~Enterobacter cloacae acrA~~~WP_040076872.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Enterobacter cloacae acrA~~~WP_040076872.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQAQQGAQQMPEVGVVTLKTEPLQITTELPGRTSAYRIAEVRPQVNGIILKRNFTEGGDVKAGDSLYQIDPATYQASYESAKGDLAKAQAAAKIAQLTVNRYQKLLGTQYISQQEYDSALADAQQASAAVTAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQTNALATVQQLDPIYVDVTQSSNDFLRLKQELENGTLKQENGKAKVELVTSDGIKFPEAGTLEFSDVTVDQTTGSITLRAIFPNPNHTLLPGMFVRANLEEGTNPTALLVPQQGVTRTPRGDASVMVVGAEDKVETRNITATQAIGDKWLVTKGLKDGDRVIVTGLQKVRPGAQVKAQEVASDEKQQASAAGQSEQSKS ->ARGMiner~~~novA~~~WP_046494699.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_046494699.1~~~aminocoumarin~~~unknown MKPEESTWSPQPRTDRPGQPEPPAQLRRIFGLFRPYRGRLAIVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLSLLALGMIATSVVNGVFGVLQTLISTTVGQRVMHDLRTGVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMVALDWRLTAVSLLLLPLFVWISRLVGQERKKITTDRQRQMATMAATVTESLSVSGILLGRTMGRADSLTKSFADESERLVDLEVRANLAGRWRMSTIGIVMAAMPAVIYWAAGIALGAGGTVVSIGTLVAFVSLQQGLFRPAVSLLATGVQIQTSLALFQRIFEYLDLPVDITETEHPVGIEKVRGEVRFDGVDFSYDEKGGQSTLKGIELTVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVLLDGVDVRDLAFDTLSRAVGVVSQETYLFHASVAENLRFARPEATDEEIQKAARAAQIHDHITSLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQEAIDALSRGRTTITIAHRLSTVRDADQIVVLDAGRVVEQGTHDELLQQDGRYAALVRRDSLPGHTDEELAPVAP ->ARGMiner~~~mdtF~~~WP_032172458.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032172458.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAVGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~arnA~~~WP_000860234.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860234.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHSDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQMSPEVIFSFYYRHLIHDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPAAVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQSLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~bacA~~~ZP_04277914~~~peptide unknown +>ARGMiner~~~bacA~~~ZP_04277914~~~peptide~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFDDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGVLGVLFHSTIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDVLSSADITLFVTGFVTAFVVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~sul1~~~NC_011586.7045208.p01~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~NC_011586.7045208.p01~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSPPVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~bcrA~~~WP_002140181.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_002140181.1~~~peptide~~~unknown MPPINTIIKTTNLTKVYGNQKSVDNLNINIQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLLRNPKDILRRIGSIVEVPGFYENLTARENLLINAKIIGVHKRNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITILISSHILAEVEQLVDRMGIIHEGRLLEEVSLDTLRKANRKYIEFQVNNDNKAAMLLENHFQIFDYEVHDEGNIRVYSHFGQQGHINRTLVRNDIEVLKIVMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~iri~~~WP_042449655.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_042449655.1~~~rifamycin~~~unknown MSDVIIVGAGPTGLMLAGELRLQGVDVVVVDKDEEPTQFVRALGIHVRSIEIMEQRGLLDKFLAHGRKYSLGGFFAGISKPAPAHLDTAHGYVLGIPQPEIDRILAEHATEVGADIQRGKRVVAIRQDTDSVTAELSDGTTLHARYLVGCDGGRSTVRKLIDVGFPGEPSSADTLIGEMDVTMPADELAAVVAEIRETHKRFGVGPAGNGAFRVVVPAAEVADGRATPTTLDDIKQQLLAIAGTDFGVHSPRWLSRFGDATRLAEHYRRDRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPDVLLDTYESERRPVAADVLDNTRAQAELISTAAGPQAVRRLISELMEFEDVKRYLTEKITAISIRYDFGEGDDLLGRRLRNIALTRGNLYDLMRSGRGLLLDQGSQLAVDGWSDRVDHVVDTSTELDSPAVLLRPDGHVAWVGDSQAELDTQLSTWFGRPATGPV ->ARGMiner~~~mdtN~~~WP_042095437.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_042095437.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLCRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASSVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTLATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~emrB~~~CP001138.1.gene2906.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~CP001138.1.gene2906.p01~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPDMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~tolC~~~WP_064736697.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064736697.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKNQNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASTGVSNNRYSGSKNISQDADIGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPTSPDSVAPENPQQDAAADGYANTASAQPATARTTKTSGSNPFSH ->ARGMiner~~~OpmH~~~EXF19751.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~EXF19751.1~~~triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEVTLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGEEMNWNLFNGGRTRTSIKKASVELNKEQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~adeB~~~WP_003109351.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_003109351.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEVVRAKIEELKLNLPEGMEFSIPYGTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFTGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGSFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSAFGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~mdtE~~~WP_001081998.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001081998.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENTAAMTPEVGVVTLFPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVKLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~AAC(3)-Id~~~WP_024544906.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Id~~~WP_024544906.1~~~aminoglycoside~~~unknown MSVQIIHLTENDVALLQSINAMFGVVFDDAGSYSSNKPSSSYLQTLLGTSSFIALAAVDGQEVVGAIAAYELKKFEQQRSEIYIYDLAVVSTHRRQGIATALIQELKVIGAARGAYVIYVQADKGVEDQPAIELYSKLGTIEDVFHFDIAVEGGHKNT ->ARGMiner~~~hmrM~~~WP_001456174.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001456174.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAEAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~mdtH~~~WP_045357092.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045357092.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLARVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALSQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~Escherichia coli ampC~~~WP_063268378.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_063268378.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAAHPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~vanYB~~~EJY36064.1~~~glycopeptide unknown +>ARGMiner~~~vanYB~~~EJY36064.1~~~glycopeptide~~~unknown MENLEENALATAKEQGDEQEWSLILVNRQNPIPAQYDVELEQLSNGERIDIRISPYLQDLFDAARTDGVYPIVASGYRTTEKQQEIMDEKIAEYKAKGYTSAQAKAEAETWVAVPGTSEHQLGLAVDINADGIHSTGNEVYRWLDENSYRFGFIRRYPPDKTEITGVSNEPWHYRYVGIEAATEMYNQGVCLEEYLKPEK ->ARGMiner~~~lsaB~~~WP_061860513.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_061860513.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFFNLLLENYEYSGEIISSVEFNYFPYPVSDKNKYTHEILEEICPQVEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAGLFLKEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRMFLDGCVDHILSINRANIEVQNGNYSSWKLNFDRQQEHEEAKNHRLQKDIGRLKQSSKRATGWSNQVESSKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEPLECQSNELINLTDVSVKYNDQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGKPIQYTGSMNLSSGLIISYVQQDTSHLKGRLSDFIEEYEINETLFKSILRKMDFDRIQFEKDISYYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQSFSPTMVIVEHDQAFQQTIATKIISM ->ARGMiner~~~Escherichia coli ampC~~~WP_012602900.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_012602900.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDDVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLTHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDMYQGLGCEMLDWPVNPDIIINGSDNKIALAARPVKAITPPTPAVCASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~msbA~~~WP_052996048.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_052996048.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGRILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~FosB3~~~WP_039068664.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_039068664.1~~~fosfomycin~~~unknown MIQSINHVTYSVSDINNSIAFYKDVLKAKVLVESDKTAYFTIGGLWLALNEEKDISRNEIQYSYTHMAFTINENEFDEWYQWLKDNNVNILEGRNRDIRDKQSIYFTDPDGHKFELHTGTLQDRLNYYKEEKPHMKFYI ->ARGMiner~~~vanSA~~~EOH50555.1~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~EOH50555.1~~~glycopeptide~~~unknown MKNKKNDYSKLERKLYMYIVAIVVVAIVFVLYIRSMIRGKLGDWILSILENKYDLNHLDAMKLYQYSIRNNIDIFIYVAIVISILILCRVMLSKFAKYFDEINTGIDVLIQNEDKQIELSAEMDVMEQKLNTLKRTLEKRDQDAKLAEQRKNDVVMYLAHDIKTPLTSIIGYLSLLDEAPDMPVDQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKTHIDLYYMLVQMTDEFYPQLSAHGKQAVIHAPEDLTVSGDPDKLARVFNNILKNAAAYSEDNSIIDITAGLSGDVVSIEFKNTGSIPKDKLAAIFEKFYRLDNARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNYTTFRVELPAMPDLVDKRRS ->ARGMiner~~~mdtH~~~WP_047617978.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047617978.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLILPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARHLLERDA ->ARGMiner~~~norA~~~WP_061737405.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061737405.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMTIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~MexB~~~WP_056720791.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_056720791.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILRLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDHLRYVSSESNSDGTMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRIWLDPAKLNNFNLTPIDVKTAIAAQNVQVSSGQLGGLPALPGTQLNATIIGKTRLQTAEQFDKILLKVNKDGSQVRLKDVAEVGLGGENYSINAQFNGAPASGLAVKLATGANALDTAKALRTTIDSLKPFFPEGMEVVFPYDTTPVVTESIKGVVHTLVEAVALVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKPIPKGEHGVPKRGFFGWFNRNFDRGVRGYERGVGNILQRKIPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTAQRTQVVVDEMREFLLRPGKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLRPWDERNADNNVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMQARNQFLGMAAQSKILSQVRPNGLNDEPQYQLEIDDEKASALGVTIADINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMSPEDLQKWYVRNATGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMLEVEAIARKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSIGQRKIADQDDATETPKEAGQ ->ARGMiner~~~mtrR~~~AMP19672.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~AMP19672.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQAAGVTRGALYWHFKNKEDLFDALFQRICDDIENCIAQDAADAEGGSWAVFRHTLLHFFERLQSNDIYYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITAVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWFSSGESFDLGKTAPRASSG ->ARGMiner~~~tolC~~~WP_044347915.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_044347915.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLMQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLSAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNAVEALRQVTGNYYPELASLNVDGFKTNKPQTVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLTASTGISNTTYNGSKTNDPTRYGDTDAGQNKIGLTFSLPLYQGGMVNSQVKQAQYNFVGASEQLESSHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLLALNNTLGKPVSTSPDSVAPETPQQDANADGYSSNAAPAATPASTRATKTSGANPFRQ ->ARGMiner~~~mdtH~~~WP_063136393.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063136393.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSEHRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGIVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~macA~~~WP_023622163.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023622163.1~~~macrolide~~~unknown MNLKGKRRKWFLLLAIVVIAAGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGDEVVTSETLPGAAK ->ARGMiner~~~mepA~~~WP_000651061.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_000651061.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMLLSAPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMSAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~sdiA~~~WP_006176120.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_006176120.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDSDFFTWRRKCFLRFQELTCADEVYQELQQQTQALEFDYFSLCVRHPVPFTRPKISVHSSYPDRWMAQYKSENYFAVDPVLKPENFIQGHLPWTDELFADAQELWGGAQDHGIRKGITQCLMLPNHALGFLSVSRTSLRENAIHHEEIELRLQMLVQMALTSLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~norB~~~WP_003768773.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_003768773.1~~~fluoroquinolone~~~unknown MTSTAYKGTNKLIVGIVFGVITFWLFAQSMVNIVPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGSTLLIIGRIIQGLSAACIMPATLALMKTYFDGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGATFGWTSPITIAMLVVFLISAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSGITAVGIALMALTFIPGTLYTVLVFVGFALFGIGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLISVAVTTPSAKKALELKAAKE ->ARGMiner~~~norA~~~WP_002501137.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_002501137.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRILGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPQKATTDGFHQYQPELLTKINWKVFITPVILTLVLTFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKHMSELNFIAWSLLYSAIVLVMLVLANGYWTIMMISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~acrB~~~WP_006809849.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_006809849.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDVVAKFNGKPASGLGIKLATGANALDTATAIRAELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAIFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGGHGEHKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYIIIVVGMAFLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTDYFLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGAENKVEAITGRAMGTFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQYKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAQYRMLPNDINNWYVRGSNGQMVPFSAFSTSHWEYGSPRLERYNGLPSMEILGQAAPGRSTGEAMNLMEELASKLPAGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDVEHNHSVEHH ->ARGMiner~~~mgrA~~~WP_002445872.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_002445872.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEMIRPELSNASEKVATASSLSKDEVKELNRLLGKVIDAFIETKEK ->ARGMiner~~~macA~~~WP_002776270.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002776270.1~~~macrolide~~~unknown MKNRVVLIVVILALFGVGAYFIFFNDNEKITYLAQKVKKIDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGSHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNFYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDQMEVRMEIAEADVGKIKIGTELDFSLLSDPQKTYQASIASIDPADTQISDSSTSSGSSSSSSSSSSSNNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKDVIAVPTYAIKNDAKGYYVEILQDQKAVKKYVKLGIKDSVNTQILEGLSEGELLVVSSSGDNAAPKLRLRF ->ARGMiner~~~adeB~~~WP_000987609.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987609.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKEATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKFWPTAFMPEEDQGWFLTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFNERTSSATEMTNAVNASMANSSEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~emrK~~~WP_032250388.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_032250388.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYYSLLAVVLFIAFSGAYAYWSMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQAYKANKALIMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTKNEDIAEMPELASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~FosB~~~WP_000911688.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000911688.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVKGRKLAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFKRILQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMTFY ->ARGMiner~~~macA~~~WP_017398821.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_017398821.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIAIIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLDSAKNALLVPSSALSSKQFSGQRKSGQSTDKAASTPSAERKNSGSGVRLERLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~BcI~~~WP_053565507.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_053565507.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHREFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTLGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKALK ->ARGMiner~~~EXO beta-lactamase~~~WP_042830901.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_042830901.1~~~penam~~~unknown MRKPTSSLTRRSVLGAGLGLGGALALGSTTASAASAGTTPSENPAAVRRLRALEREHQARIGVFALNLATGASLLHRAHELFPMCSVFKTLAAAAVLRDLDHDGSQLARVIRYTEADVTKSGHAPVTKDHIDTGMTIRDLCDATIRYSDNCAANLLLRELGGPTAVTRFCRSLGDPVTRLDRWEPELNSGEPDRRTDTTSPYAIARTYQRLVLGNALNRPDRALLTDWLLRNTTTLTTFRTGLPKGWTVADKSGGGDTYGTRNEAAIAWTPDRRPVLLTALTHKPSLPTAPGDTPLIIKLATVLSEAVAPA ->ARGMiner~~~FosB~~~EOP54974.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~EOP54974.1~~~fosfomycin~~~unknown MLINGFNHLCFSVSDLERSIQFYETVLEGKLLVKGRKLAYFDICGVWVALNEEAGIARNEIHQSYTHLAFSVKQEDFGRLLKRLEENKVHILQGRERDVRDCQSIYFIDPDGHKFEFHSGTLQDRLKYYKEAKSHMKFY ->ARGMiner~~~lsaB~~~WP_054550161.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_054550161.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFENVNFQIDTDWKLGFIGRNGRGKTTFFQLLLGNYEYSGKIISSVDFTYFPYPVSDPNKYTHEIFEEICPQAEDWECLREIAYLHVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKTVSDYLRRKKGFILISHDRSFLDGCVDHILSINRANIDVQSGNYSSWKLNFDRQQEHEEVTNQRLQKDIDRLKQSSKRSSGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQRAIEEKSKLLKNVEKTESLKVEPLAYPSKEMVVVTDVSIRYDDHIVNKPISFKVAQGDRVVLDGKNGSGKSSMLKLILGKPIQHTGSIKLASGLVISYVQQDTSHLKGLLSEFIEEHGIDETLFKSILRKMDFERIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIKSSHLTMVIVEHDQAFQQTVATKTIAMS ->ARGMiner~~~mdtF~~~WP_001388625.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001388625.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLYPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~tolC~~~WP_032640551.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032640551.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDANEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPQQVAAVDNFNADSNTPAAQPAAARTAAPASQGNNPFRN ->ARGMiner~~~tolC~~~WP_000735279.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735279.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPVPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~gadX~~~WP_001191070.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~gadX~~~WP_001191070.1~~~macrolide;fluoroquinolone;penam~~~unknown MQSLHGNCLIAYARHKYILTMVNGEYRYFNGGDLVFADASQIRVDKCVENFVLVSRDTLSLFLPMLKEEALNLHAHKKISSLLVHHCSRDIPVFQEVAQLSQNKNLRYAEMLRKRALIFALLSVFLEDEHFIPLLLNVLQPNMRTRVCTVINNNIAHEWTLARIASELLMSPSLLKKKLREEETSYSQLLTECRMQRALQLIVIHGFSIKRVAVSCGYHSVSYFIYVFRNYYGMTPTEYQERSAQGLPNRDSAASIVAQGNFYGTNRSAEGIRL ->ARGMiner~~~macB~~~WP_033815909.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_033815909.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADSLAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSIFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~CNT84750.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~CNT84750.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGAXXXXXRRLI ->ARGMiner~~~mdtM~~~EIQ15472.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~EIQ15472.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLVMPETVKRGAVPFSAKSVFRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGSLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~norB~~~WP_061691305.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_061691305.1~~~fluoroquinolone~~~unknown MTSTAYKGTNKLIVGIVFGVITFWLFAQSMVNIVPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGATLLIIGRIIQGLSAACIMPATLALMKTYFEGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLLLFVIAMVCLNLIITRGATFGWTSPITITMLVVFLISAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSGITAVGIALMALTFIPGTLYTVLVFIGFALFGIGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLISVAITTPSAKKALELKAAKE ->ARGMiner~~~msbA~~~WP_045179326.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_045179326.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELFAKQGAYEHLYSIQNL ->ARGMiner~~~TEM-1~~~ANG29006.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG29006.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTVGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIVAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MexB~~~WP_024011946.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_024011946.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKNAIAAQNVQVSSGQLGGLPAVQGQQLNATIIGKTRLQTAEQFKEILLKVNKDGSQVRLKDVAEVGLGGENYAISAQFNGSPASGLAVKLANGANALDTAKALRNTIDSLKPFFPQGMEVVFPYDTTPVVTESIKGVVHTLVEAIALVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKPIPKGEHGTPKKGFFGWFNRNFDRGVRSYERGVGNMLTRKAPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAQRTQVVVDEMREYLLRPNKDGGEADAVASVFTVTGFNFAGRGQSSGMAFIMLKPWGERNADNSVFNLAARAQQHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKVLTQVRPNGLNDEPQFQLEIDDEKASALGITISDINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPDSRMSPEDLKKWYVRNAEGTMVPFSAFAKGEWVYGSPKLARYNGVEAVEVLGAPAPGYSTGEAMAEVEAIAKKLPSGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLREAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSIGQRKKTDADETTETPKEAGQ ->ARGMiner~~~lmrB~~~NC_002951.3237419.p01~~~lincosamide unknown +>ARGMiner~~~lmrB~~~NC_002951.3237419.p01~~~lincosamide~~~unknown MAKVELTTRRRNFIVAVMLISAFVAILNQTLLNTALPSIMRELNINESTSQWLVTGFMLVNGVMIPLTAYLMDRIKTRPLYLAAMGTFLLGSIVAALAPNFGVLMLARVIQAMGAGVLMPLMQFTLFTLFSKEHRGFAMGLAGLVIQFAPAIGPTVTGLIIDQASWRVPFIIIVGIAILAFVFGLVSISSYNEVKYTKLDKRSVMYSTIGFGLMLYAFSSAGDLGFTSPIVIGALILSMVIIYLFIRRQFNITNALLNLRVFKNRTFALCTISSMIIMMSMVGPALLIPLYVQNSLSLSALLSGLVIMPGAIINGIMSVFTGKFYDKYGPRPLIYTGFTILTITTIMLCFLHTDTSYTYLIVVYAIRMFSVSLLMMPINTTGINSLRNEEISHGTAIMNFGRVMAGSLGTALMVTLMSFGAKIFLSTSPSHLTATEIKQQSIAIGVDISFAFVAVLVMAAYVIALFIREPKEIESNRRKF ->ARGMiner~~~MexF~~~WP_058507424.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_058507424.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALLIVFIGLVAIVVLPVAQYPAIVPPTIQVTTTYPGADAKTLINTVALPIEQQVNGVENMLYMQSTSTNSGNYNLIVTFAIGTDLNFAQVLVQNRVQAAMAQLPIDVQKQGVLVQQKSTAILQFITLTSENNEYDGLFLDSYATINMQNELARLPGVGNVIIFGSGSYAMRVWLDPQKMMAYSLNPSDVLNAISYQNKDVSAGQVAAPPVVGKESYQFTVNVPGQLTDPEEFANIIIKTVDTNPDEDANASSSAQVVRIRDVGRVELGSSTYSQLAKLNGKPAAAIGIFQLPGANALDVAKEVRATVAKMAKKFPPGLQYSIPFDTTVFVEASIEEVYKTLFEAGILVLIVIVVFLQNFRASLVPATTVPVTIIGTFFGMMLLGYTINLLTLFAIVLAIGIVVDDAIVIVEGVSQHIERGLSPKEASIIAMKELFGPIIGITLVLMAVFVPAGFMPGLTGAMYAQFALVIAVTALISAINAMTLKPTQCALWLRPIDPNKKKNVFFRAFDRVYNPIEERYCRFIDRLVHHSKTVCMVGALLVAVAIFGLTRIPTGFIPIEDQGYLVLSVLLPDGASLGRTDEVLNRLSSEISKIGGIDNVIAIDGISLLDNNANLANAGVLYVMFKDWSLRGKDEDLLALYTKLNDIAKKTKDAKLLVVVPPPIQGLGLSGGFQMQVELQDGSFDYQKLQSVTDHLIDNGNHDPVLQNLMTSFRANVPQVAAPINRTKAESLGVTVGDAFGTLQTYLGSSYVNLFTKFGQVFPVYVQADAGARGQIDDLRNYYVRNKQGDMVPLGTLTDIGRAVGPGLISLYNLYPSSSINGMASRGFSSGQGIQAMEEMAKNLLPAGLSYEWTSTAYQEKIAGNLSYIIFAMSLVLVYLILAGQYENWLTPSAIIFSVPLTLIGTVIALTALGLANNMYTQIGLLLLIALAAKNAILIVEVAHEQRHIHGKSILESAVIGARARFRPILMTSFAFIMGVMPLVFASGAGANARRSIGIAVSSGMLASTCLAVVFVPVFYVLLQTWQEKRWAKKQEKARIKAELPSH ->ARGMiner~~~adeG~~~WP_019457146.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_019457146.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMFLHENADAKAAPTSAQQAATVDISNVISKTITDWQEYSGRLEAIDQVDIRPQVSGKLISVHFKDGSLVKKGDLLFTIDPRPFQAELNRAQAQLASAEAQVTYTGSNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAAKAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQAPVYMGLANETGFSREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRSAILISPTAISVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNAQITASSTTPQPQPTEKTSTPAKG ->ARGMiner~~~tolC~~~EFE05913.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EFE05913.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQSIFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYNGSKTNNNAQYDDSNMGQNKIGLTFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTIAPQNAQQDAAADGYTSNSPAPAAQPTAARTTSSNGTNPFRN ->ARGMiner~~~mdtB~~~WP_001197876.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_001197876.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALLIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALTAEREQGMSPREAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~tetX~~~WP_041320643.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~WP_041320643.1~~~glycylcycline;tetracycline~~~unknown MNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVIIANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEVNCPGFFQLCNGNRLMAAHQGNLLFANPNNNGALHFGISFKTPDEWKSKTRVDFQDRNSVVDFLLKKFSDWDERYKELIRLTSSFVGLATRIFPLDKSWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLTNGKFNSIEEAIENYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~mdtF~~~WP_000024882.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_000024882.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVIYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~EXO beta-lactamase~~~WP_030308117.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_030308117.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAATLVPGTAHASSGGRGHGHGSGSVSDAERRLAGLERASGARLGVYAYDTGSGRTVAYRADELFPMCSVFKTLSSAAVLRDLDRNGEFLSRRIFYTQDDVEQADGAPETGKPENLANGMTVEELCEVSITASDNCAANLMLRELGGPAAVTRFVRSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLVLGDALNPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRAPIVLTVLTAKTEQDAARDDGLVADAARVLAETLG ->ARGMiner~~~bcr-1~~~WP_032182216.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_032182216.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLIEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~novA~~~WP_053687351.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_053687351.1~~~aminocoumarin~~~unknown MPHDEPKWTPSKDPLDPTRPAPAEQPRELRRIVALFRPYRGRLAVVGLLVCASSLVGVASPFLLREILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTLVSLLLLPVFVWISRRVGHERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTSAFSAESEKLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQTGAPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERAEPVRLDRAKGEVTLEDVHFTYDSENGPTLSGIDVTVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVSGGRVAIDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEITEAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDHLSAGRTTITIAHRLSTVRDADQIVVLDGGRIAERGTHEELLKADGRYAALVRRDRDAALAPEPPEDAQLAPVNV ->ARGMiner~~~CTX-M-30~~~AIC64487.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64487.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVSYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mdtE~~~WP_001652213.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001652213.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVPSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~mdtO~~~WP_021516817.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021516817.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQYAIAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDTNTPPTPAAKPPSMVADAFTNPDYIRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMALRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQVQGATQ ->ARGMiner~~~tolC~~~WP_032433534.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032433534.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGISNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTNQSSGSNPFRQ ->ARGMiner~~~TEM-207~~~ANG19132.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG19132.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIAVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tetO~~~ACT76131.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~ACT76131.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPGGQSELCGQVFKIDYSEKRPRFVYVRIYSGTLHLRDVIKISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~emrA~~~WP_001275598.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001275598.1~~~fluoroquinolone~~~unknown MSANAEIQPPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFERAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNTNLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~arnA~~~WP_001559497.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001559497.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPTIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~SRT-2~~~WP_046373657.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_046373657.1~~~cephalosporin~~~unknown MTKINRLAAALFAALILPAGHAADKADIDAIIQPLMQKYAVPGMAIAVSVEGKQQFYHYGVASRQTGKPITSQTLFEIGSLSKTFTATLATYAVSEGKMSFADPASRYLPALRGSAFDHVTLLNLATHTSGLPLFVPDDVTNDAQLMAYYQQWQPPHAVGSYRVYSNLGIGMLGMITAKSLNQPFTQAMEKQLLPALGMNHTYIKVPAEEMANYAQGYNKKDQPVRVTPGPLDAEAYGIKSNAQDLIRYLDANMQVVKVGDPWRKALAATHTGYYRTGVFTQDLMWESYAYPEKLATLTEGNNAGMIMNGAPATAITPPKQDQGAAWYNKTGSTGGFSTYAVFIPSQKIAVVMLANKWFPNDDRVAATYRIVQALDKR ->ARGMiner~~~macB~~~WP_052893936.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_052893936.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRHARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITARVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanRC~~~EQC77429.1~~~glycopeptide unknown +>ARGMiner~~~vanRC~~~EQC77429.1~~~glycopeptide~~~unknown MSEKIVVVDDEKEIADLVTTFLQNEGFSVQPFYDGISAIAYIEKEAIDLAVLDVMLPDIDGFQLLQQIRKTHFFPVLMLTAKGEDLDKITGLSLGADDYVTKPFNPLEVVARVKTQLRRYQRYNHSTASPTVEEYEKDGLILKINSHQCILYGKEVFLTPIEFKILLYLFEHQGSVVSSETLFEAVWKEKYLDNNNTVMAHIARLREKLHEEPRKPKLIKTVWGVGYIIEK ->ARGMiner~~~tolC~~~WP_016239333.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_016239333.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNSLSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macA~~~WP_002852311.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002852311.1~~~macrolide~~~unknown MKKKIVLIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIANKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNEDEELIVSSSADGLAPKMKLRF ->ARGMiner~~~mdtH~~~WP_001568721.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_001568721.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSALLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~tolC~~~CP000647.1.gene3449.p01~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CP000647.1.gene3449.p01~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGISNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~sdiA~~~WP_063154949.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_063154949.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCSLRFQELTCAAEVYHELQRQTQALEFDYYSLCVRHPVPFTRPKIAVHATYPQQWLAQYQSENYFTIDPVLKPENFIQGHLPWTDELFADAQELWHRAQDFGLRSGITQYLMLPNHALGFLSVSRTRAQEGPFAGEEIELRLQMLVQMALTALLRFEDKMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~sdiA~~~WP_001718431.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001718431.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMETAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYPNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFNEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~emrB~~~WP_047089196.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_047089196.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTLQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macA~~~WP_033849386.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_033849386.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEADKKSQGNGARLQRLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~macA~~~WP_061155198.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_061155198.1~~~macrolide~~~unknown MKVKGKRRTIWWLLAIVVLGLAVWGWRILNAPLPHYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVAIGARNDTDVAVVQGLDEGDEVIVGESASGAAK ->ARGMiner~~~macB~~~WP_059310432.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059310432.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~APH(6)-Id~~~WP_024139657.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_024139657.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDCFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~MexB~~~WP_025212304.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_025212304.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTSSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKSVKNFLMVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKNAIAAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNPDGSQVRVGDVADVGLGGENYSVSAQFNGAPASGLAVKLANGANALDTAKALRKTIDDLKPFFPQGMEVVFPYDTTPVVSESIKGVVETLVEAVVLVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFGGSTGVIYKQFSITIVSAMALSVMVALIFTPALCATMLKPIPKGEHGTPKRGFFGWFNRTFDRGVRSYERGVGNMLKHKAPYLLAYIIIVVGMVWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQAVVDKMREFLLRPSKDGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLRPWDERNADNSVFKLAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMASQSKILSQVRPNGLNDEPQYQLEIDDEKASALGITLSDINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMSPEDLKKWYVRNSAGTMVPFTAFAKGDWVYGSPKLARYNGVEAMEVLGTPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRTLVEAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSIGRRKNADQDDTPETSKEAGQ ->ARGMiner~~~floR~~~WP_015431542.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_015431542.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSVLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTYFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNSDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~OprN~~~WP_014602866.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_014602866.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALSLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~MexF~~~WP_044320637.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_044320637.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMALLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARAQRLQNLPAEMH ->ARGMiner~~~mdtA~~~CP001138.1.gene2234.p01~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~CP001138.1.gene2234.p01~~~aminocoumarin~~~unknown MKGSNTFRWAIAIGVVVAAAAFWFWHSRSESPTAAPGVAAQAPHTAAAGRRGMRDGPLAPVQAATATTQAVPRYLSGLGTVTAANTVTVRSRVDGQLIALHFQEGQQVNAGDLLAQIDPSQFKVALAQAQGQLAKDNATLANARRDLARYQQLAKTNLVSRQELDAQQALVNETQGTIKADEANVASAQLQLDWSRITAPVSGRVGLKQVDVGNQISSSDTAGIVVITQTHPIDLIFTLPESDIATVVQAQKAGKTLVVEAWDRTNSHKLSEGVLLSLDNQIDPTTGTIKIKARFTNQDDTLFPNQFVNARMLVDTEQNAVVVPAAAVQMGNEGHFVWVLNDENNVSKKRVKIGIQDNRNVVISAGLSAGDRVVTDGIDRLTEGAKVEVVEPQTTVADEKSPSRHEGQKGARA ->ARGMiner~~~lsaA~~~WP_010820225.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010820225.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFTPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVRPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~mdtP~~~WP_032174147.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032174147.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATESRLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~adeG~~~WP_000010646.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_000010646.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLVAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPQPQPTDKTSTPAKG ->ARGMiner~~~FosB~~~WP_039074064.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_039074064.1~~~fosfomycin~~~unknown MVIQGINHLLFSVSHLDKSIDFYCKVFDAKLLVKGRTTAYFDLNGIWLALNEEPDIPRNDIKASYTHIAFTIQDREFEDILAKLKRLHVNILPGRERNERDRKSIYFTDPDGHKFEFHTGTLQDRLQFYIQEKTHMKFYD ->ARGMiner~~~mdtG~~~YP_001744129~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~YP_001744129~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLILSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~mdtH~~~WP_036979348.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_036979348.1~~~fluoroquinolone~~~unknown MAQVSRARSLGKYFLLLDNMLVVLGFFVVFPLISIRFVEQLGWAAVVVGFALGLRQFVQQGFGIFGGAIADRFGAKPLIIIGMLLRAAGFVLMALAYDPWVLWLSCVLSALGGTLFDPPRTALVIKLTRPYERGRFYSLLFMQDSAGAVIGALIGSWLLQYDFHYVCWAGAAVFVIAALFNAWLLPAYRISTIKAPIRDGMHRVLKDKRFVTYVVTLSGYFMLSVQVMLMFPIAVNELAGTPTAVKWMYAIEAAISLTLLYPIARWSEKHFRLEQRLMAGLFLMSLSMFPIGMTSSINILFVLIGIFYLGTVTADPARETLSASLADPRARGSYMGFSRLGLALGGAVGYTGGGWMYDIGHQWNIPQLPWFLLGTIGFITLWTLHRQFNRKKIETVMLSGQ ->ARGMiner~~~mdtH~~~WP_045374989.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045374989.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGLVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTVAMLPIGLTSTLQQLFTLICIFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKMLSQPELPWLMLGIIGFATFIALWWQFSQKRSASGMLEPRT ->ARGMiner~~~MexF~~~WP_055007142.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_055007142.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVSEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVEATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDKMLGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFDERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAAPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLNAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERKEARKAARAQRLQNLPAEMH ->ARGMiner~~~Escherichia coli ampC~~~WP_021579429.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_021579429.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDVLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVDAAWQILNALQ ->ARGMiner~~~FosB~~~WP_026592243.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_026592243.1~~~fosfomycin~~~unknown MINGFNHLCFSVSNLERSIQFYETVLEGRLLVKGKKLAYFDVCGMWVALNEEADIARNEIHQSYTHIAFSVQQEEFDQLLKRLEENKVHILQGRERDVRDCQSIYFIDPDGHKFEFHSGTLQDRLHYYKEAKPHMKFYC ->ARGMiner~~~macB~~~WP_063847814.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063847814.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLSDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~WP_023214681.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_023214681.1~~~fluoroquinolone;acridinedye~~~unknown MQKYTSEARQLLALAIPVILAQVAQTAMGFVDTIMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLVMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNETGFGKPDSVVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGICMAVVTAIFTVTLRKHIALLYNDNPEVVTLAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAIILQRAAR ->ARGMiner~~~mdtA~~~WP_000679021.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~WP_000679021.1~~~aminocoumarin~~~unknown MKGSYKSRWVIVIVVVIAAIAAFWFWQGRNESQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLLFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATAPEEKATSREYAKKGARS ->ARGMiner~~~hmrM~~~WP_001174941.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174941.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSTIILQRAAR ->ARGMiner~~~FosB~~~EEM92187.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~EEM92187.1~~~fosfomycin~~~unknown MEKSIEFYQKILQAKLLVKGRKLAYFDLNGLWIALNVEEDIPRNEIKQSYTHMAFTVTNKALDHLKEALIQNDVNILPGRERDERDQRSLYFTDPDGHKFEFHTGTLQNRLEYYKEDKKHMTFYI ->ARGMiner~~~emrB~~~WP_052945590.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_052945590.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTGRRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESITAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~FosA2~~~WP_006174178.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_006174178.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGNLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061653404.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061653404.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSIEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~BLA1~~~KYZ69437.1~~~penam unknown +>ARGMiner~~~BLA1~~~KYZ69437.1~~~penam~~~unknown MIVLKNKKMLKIGMCVGILGLSITSLVTFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIARNLKDFTVGNALPHQKRNILTDWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEAIYDNQLIKEAAEVVIDAIK ->ARGMiner~~~emrB~~~WP_041146857.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_041146857.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFAIASWACGMSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVVMTLQTLRSRETRTEQRRIDGVGLALLVIGIGSLQVMLDRGKELDWFASTEIVVLTVVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLSPDRLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPYNPNAQQMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWVSAGIFILLLSLVWFAKPPFSAGGGGGGAH ->ARGMiner~~~aadA11~~~ACN22620.1~~~aminoglycoside unknown +>ARGMiner~~~aadA11~~~ACN22620.1~~~aminoglycoside~~~unknown MSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAAQLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKVRQHSLALAGSAAEDFFNPVPEGDLFKALSDTLKLWNSQPDWEGDERNVVLTLSRIWYSAATGKIAPKDIVANWAIERLPDQHKPVLLEARQAYPGQGEDCLASRADQLAAFVHFVKHEATKLLGAMPVMSNNSFKPNPLRGSA ->ARGMiner~~~sdiA~~~WP_012906198.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_012906198.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQATDFFTWRRSMLLRFQEMASAKDVYSELQRQAQLLEFDYYALYVRHPVPFTRPRISFQTSYPEAWVSRYQAENYSAIDPVLKPENFIQGHLPWSDDLFNDAQTLWDAARAHGLRKGVTQCVMLPNRALGFLSVVRASLRSNPIDDDEVELRLQLLVRESLAALSRLEDEMVMAPEMRFSKREKEILKWTAEGKTSYEIAMILSISENTVNFHQKNMQKKFNAPNKTQVACYAAATGLI ->ARGMiner~~~EXO beta-lactamase~~~WP_049978118.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_049978118.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAATFVPGTAHASSGGRGHGSGSVSDAERRLAGLERASGARLGVYAYDTGSGRTVAYRPDELFPMCSVFKTLSSAAVLRDLDRNGEFLSRRIFYTQDDVDRADGAPETGKPENLANGMTVEELCEVSITASDNCAANLMLRELGGPDAVTRFVRSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAMTRTYGRLVLGDALNPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRAPIVLTVLTTKTEQDAARDDGLVADAARVLAETLG ->ARGMiner~~~sul1~~~WP_023315960.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_023315960.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRALLQS ->ARGMiner~~~vanWB~~~CCJ27775.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~CCJ27775.1~~~glycopeptide~~~unknown MRKGCYVMDRKRLTQRFPFLLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIRPGETFSFWWLVRHADKDTPYKDGLTVTNGKLTTMSGGGMCQMSNLLFWMFLHTPLTIIQRRGHEVKEFPEPNSDEIKGVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVSADKEPQALYKITNGSIQYVRESGGIYEYAQVKRMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEENQ ->ARGMiner~~~emrA~~~WP_001713510.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001713510.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVPLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~norA~~~WP_001643476.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001643476.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDIGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~lsaB~~~WP_050844389.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_050844389.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYGGKILASVEFNYFPYSVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVIYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELITLVDVSVKYNNQIVNDSISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVILGTGLIISYVQQDTSHLRGSLSDFIEQHKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~lsaA~~~WP_016633351.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_016633351.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLVHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~arnA~~~WP_001625339.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001625339.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAGLGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRYHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~cmeB~~~WP_002809818.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002809818.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDIYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALNTAKLVEAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMIGIINLPSASALHRTISEVDSMSQEILKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFTNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~mgrA~~~WP_002441405.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_002441405.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEMIRPELSSASEKVATASSLSKEEVKELNRLLGKVIDAFTEAKEK ->ARGMiner~~~tolC~~~WP_007752286.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_007752286.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGVDSTAKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDSFKTAKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTGGAAASSYADRKIGQNSVGLSFNMPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTTPESVAPENPQQDAAVNNMANSGGAAPAVQPAAANRSTTGNSSNPFRQ ->ARGMiner~~~aadK~~~WP_049141107.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_049141107.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLNFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVDSFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVQVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSEGLAYQYPDYDEGITKYTEGIYCSVKLRI ->ARGMiner~~~adeA~~~ZP_00946143~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~ZP_00946143~~~glycylcycline;tetracycline~~~unknown MNIERLRRDGGVHALLVAMLAGCGPSEPQSTPPAPQVATTSVAPTHLELTEDLPGRVAAVRVAEIRPQVSGIVLRRLFEQGTEVRAGQPLFQINPAPFRADADTAAAALRRAEAALARARVQTTRLQPLVEADAVSRQVYDDAVSQRDQAAADVEQARATLARRQLDLKFATVEAPIPGRIDQALVTEGALVNSGDSNPMARIQQIDQVYVDVRRPASSLEALRRTLATQTAGAGNGLPVAVLRGNGEPYEAKGRILFSGINVDAGTGDVLLRVLVNNPQRQLLPGMFVRARVPHVRYTDALTVPQQAVVRVGGQPQVWTLDANGHARLKPVELGELVDRRYRIRTGLSAGQKIVVEGMERLSDGAAVTAHDWKSPEPVPAGPAH ->ARGMiner~~~mdtE~~~WP_042041496.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_042041496.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTTSNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYGQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~lsaB~~~WP_063637955.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_063637955.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKIISSVEFNYFPYPVSDKNKYTHEIFEEICPEAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKMVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNQRLQTDIGRLKQSSKRSAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVENTESLKLEPLEFQSSELIVLADVSVKYDDQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGNPIQHTGSMNLGSGLIISYVQQDTSHLKGRLSDFIEEHEIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQKFNPTMVIVEHDQAFQQKVATKTISM ->ARGMiner~~~macA~~~WP_039513072.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_039513072.1~~~macrolide~~~unknown MRAKGKKFKKRYLAIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGAMP ->ARGMiner~~~macA~~~WP_002829694.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002829694.1~~~macrolide~~~unknown MKNRVVLIVVILALFGVGAYFIFFNDNEKITYLTQKVKKIDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGSHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNFYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDQMEVRMEIAEADVGKIKIGTELDFSLLSDPQKTYQASIASIDPADTQISDSSTSSSSSSSSSSSSSSNNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKDVIAVPTYAIKNDAKGYYVEILQDQKAVKKYVKLGIKDSVNTQILEGLSEGELLVVSSSGDNAAPKLRLRF ->ARGMiner~~~macA~~~WP_014208368.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_014208368.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSEKASSTPSTERKHEGNGARLERLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~novA~~~WP_030922045.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030922045.1~~~aminocoumarin~~~unknown MKPDESTWTPPPTDGTQPPAELRRIFRLFHPYRGRLTLVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLSLLALGMILTAVMNSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTLVSLALLPVFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRADSLTKGFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGIALRSGGPAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQASLALFQRIFEYLDLRVDITEPENPVRLEKIRGEIRFEDVDFSYDEKSGPTLSGVDVAVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATDAEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLVLDEATSALDTRTEFAVQEAIDALSAGRTTITIAHRLSTVRDADQIVVLDGGRTAERGSHEELLQLDGRYAALLRRDTQLAPVAS ->ARGMiner~~~norA~~~WP_061822033.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061822033.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSVGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~macA~~~WP_002780964.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002780964.1~~~macrolide~~~unknown MKNRVVLIVVILALFGVGAYFIFFNDNEKITYLTQKVKKIDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGSHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLEIQKNNYYSLKASVAELNAQVIQLEITLKNAKKDLDYTTITAPIDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEIKMEIAEADVNKIKIGTELEFSLLNDPQKTYRASIASIDPADTEVSDSSSSYSSSSSSSSNAIYYYAKFYVQNKDNFLRIGMSIQNEIVIASVKDVIAVPTYAIKNDAKGYYVEILQNQKAVKKYVKFGIKDSINTQILEGVDENEELIISSSADGLVPEMKLRF ->ARGMiner~~~tolC~~~WP_001375104.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001375104.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTPQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~tolC~~~WP_047605625.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047605625.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSTAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~BcI~~~WP_042968999.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_042968999.1~~~cephalosporin;penam~~~unknown MVLKNKRMLKIGICVGILGLSLTSLEAFPGGSLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRKMGDRITMSDRFETELNEAIPGDIRDTSTARAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKALK ->ARGMiner~~~mepA~~~WP_015364737.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_015364737.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYFFEKAPIFKAMMHFSLPMMIGSLLSVIYGILNVYFIGFLDDSHMISAISLTLPIFAALMGFGNLFGVGGGTYISRLLGAKDYSKSKYVSSFSIYGGLLFGIIIALITIPFTDQIAQILGAHGETIHFTSEYLRIMFLSAPFVIVFFILEQFARAVGALFVSMAGMLASVVLNMILDPILIFGFDLNVVGAALGTAISNLVAAVFFIIYFMKKIDSLSVNIKFAKPTKEMMAEIFKIGIPAFLMVVLMGVTGLVLNLFLATYGNYAIASYGIQFRLVQFPELIIMGLSEGVVPLIAYNFVANKSRMKDTVKAVIVSIFAIFAVSMVVVFIFGHQIIQLFSTDPHIVSLATFMLQVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGTVIIPVLFVMNSLFGLTGVVWSLLIAETLCALAAMLIVYLLRNKLTVDKEALLEE ->ARGMiner~~~lsaB~~~WP_000061801.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061801.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYSVSDRNKFTHEILEEICPQAADWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNAGQFLLIDEPTNHLDTDARKIVSNYLRKKKGFILISHDRIFLDGCVDHILSINRANIEIQSGNYSSWKLNFDRQQEHEKATNERLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELVTLVDVSVKYNDQIVNEPISFIVEQGDRIVLDGTNGSGKSSILKLLLGHPIQHTGLVTLGTGLIISYVQQDTSHLKGALSDFIEEHKIDETLFKSILSKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~BcI~~~WP_016122540.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_016122540.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQETYKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPVEKRKILTERMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061732287.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061732287.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEASYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~arnA~~~WP_001704583.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001704583.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYATDDVNHPLWGERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSILNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mgrA~~~WP_064136102.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_064136102.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQNEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~FosB3~~~WP_017804513.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_017804513.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHVAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~ACT-29~~~WP_045631273.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_045631273.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKFLCCALLLSTSCSVLAAPMSEKQLADVVERNVTPLMKAQGIPGMAVAVIYQGQPHYFTFGKADIAANKSVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPDLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANSSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEETHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMANMAPDALQDTSLKQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVNPPVPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~macA~~~WP_063252810.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063252810.1~~~macrolide~~~unknown MNLKGKRRKWFLLLAIVVIAAGYWLWQVLNAPVPQYQTLIVRPGELQQNVLTTGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGDEVVTSETLPGAAK ->ARGMiner~~~mdtH~~~WP_054421847.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_054421847.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMIGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSVHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~tolC~~~WP_061301387.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_061301387.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSPAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~Escherichia coli emrE~~~NC_002695.1.913273.p01~~~macrolide unknown +>ARGMiner~~~Escherichia coli emrE~~~NC_002695.1.913273.p01~~~macrolide~~~unknown MNPYIYLGGAILAEVIGTTLMKFSEGFTRLWPSVGTIICYCASFWLLAQTLAYIPTGIAYAIWSGVGIVLISLLSWGFFGQRLDLPAVIGMMLICAGVLVINLLSRSTPH ->ARGMiner~~~mdtF~~~WP_016246656.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_016246656.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSHDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~macB~~~WP_032647870.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032647870.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLEERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLLDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_028132114.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_028132114.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVFGEAKPGAAQ ->ARGMiner~~~norB~~~WP_012951063.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_012951063.1~~~fluoroquinolone~~~unknown MTSTAYKGTNKLIVGIVFGVITFWLFAQSMVNIVPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGSTLLIIGRIIQGLSAACIMPATLALMKTYFDGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGATFGWTSPITITMLVVFLVSAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSGITAVGIALMALTFIPGTLYTVLVFVGFALFGIGLGMYATPSTDSAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLISVAITTPSAKKALELKAAKE ->ARGMiner~~~L1 beta-lactamase~~~WP_053509237.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_053509237.1~~~cephalosporin~~~unknown MRSSLLALTLAAALPVAYAAAAETPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTESLTALLVQTSEGAVLLDGGMPQMAGHLLDNLKARGVAAQDLRLILLSHAHADHAGPVAELKRRTGARVVANAESAVLLARGGSDDLHFGDDITYPPASTDRIVMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLRGNARYPRLIEDYMRSFATVRGLPCDLLLTPHPGASHWDYAAGSKAGANALTCKAYADDAEAKFNAQLAKETARAR ->ARGMiner~~~hmrM~~~ESN54240.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~ESN54240.1~~~fluoroquinolone;acridinedye~~~unknown MNEARQLLALAIPVIVAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMVVLWNAGHIIRAMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYVFIYGHFGMPELGGVGCGVATAAVYWVMFFSMLTFVKRARSMRDIRNENRFSTPDWNIMTRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVNVAGHQIALNFSSLMFVLPMSLAAAVTIRVGFRLGQGSTLDAQTAARTGLGVGVCMAICTALFTVALREQIALLYNDNPEVVALASHLMLLAAIYQISDSIQVIGSGVLRGYKDTRSIFFITFIAYWVLGLPCGYILALTDLVVDRMGPAGFWMGFIIGLTSAAIMMMLRMRFLQRQPSTIILQRAAR ->ARGMiner~~~TEM-1~~~ANG14416.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG14416.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFVHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~hmrM~~~ZP_02685717~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~ZP_02685717~~~fluoroquinolone;acridinedye~~~unknown MQKYTSEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLVMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNEKGFGKPDSIVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGICMAVVTAIFTVTLRKHIALLYNDNPEVVALAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAIILQRAAR ->ARGMiner~~~CAU-1 beta-lactamase~~~WP_004621313.1~~~carbapenem;penam unknown +>ARGMiner~~~CAU-1 beta-lactamase~~~WP_004621313.1~~~carbapenem;penam~~~unknown MKRVLIALAMSALALAPAAHADMPANWTKPTRPYRVVGNIYYVGTEGISSWLIVSSEGHVLLDAGPNAEVGKQIERNITALGFQLTDVKVLINTHAHYDHAGGFAQLKADIPTAKLWISRGDAPAIEQGHHIGDNENGPTPMPPVKVDKTFGDGAKLKLGETALIAHLTPGHTIGCTSWTTAVIERGRPLNVTFPCSLSVAGNVLVGNKTHRNIVADYRQSFVKLRAIPTDVMLPAHEEQGDLLAKRRKQLRGDPNAFVDPTELGRFVDASEAAFNQELARQQAAGGAR ->ARGMiner~~~macB~~~WP_000188135.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188135.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPAVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mexH~~~WP_034021635.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_034021635.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAASPVPVAGR ->ARGMiner~~~acrB~~~NC_002695.1.912777.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~NC_002695.1.912777.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MFSRFFVRRPVFAWVIAILIMLAGILAIRTLPVAQYPDVAPPTIKISATYTGASAETLENSVTQVIEQQLTGLDNLLYFSSTSSSDGSVSINVTFEQGTDPDTAQVQNKIQQAESRLPSEVQQTGVTVEKSQSNFLLIAAVYDTTDKASSSDIADWLVSNVQDPLARVEGVGSLQVFGAEYAMRIWLDPAKLASYSLMPSDVQSAIEAQNVQVTAGKIGALPSPNTQQLTATVRAQSRLQTVDQFKNIIVKSQSDSAVVRIKDVARVEMGSEDYTAIGKLNGHPSAGVAVMLSPGANALNTATLVKDKIAEFQRNMPQGYDIAYPKDSTEFIKISVEDVIQTLFEAIVLVVCVMYLFLQNLRATLIPALAVPVVLLGTFGVLALFGYSINTLTLFAMVLAIGLLVDDAIVVVENVERIMRDKGLPAREATEKSMGEISGALVAIALVLSAVFLPMAFFGGSTGVIYRQFSITIISAMLLSVVVALTLTPALCGSVLQHVPPHKKGFFGAFDRFYRRTEDKYQRGVIYVLRRAARTMGLYLVLGGGMALMMWKLPGSFLPTEDQGEIMVQYTLPAGATAARTAEVNRQIVDWFLINEKANTDVIFTVDGFSFSGSGQNTGMAFVSLKNWSQRKGAENTAQAIALRATKELGTIRDATVFAMTPPAVDGLGQSNGFTFELLANGGTDRETLLQMRNQLIEKANQSPELHSVRANDLPQMPQLQVDIDSNKAVSLGLSLNDVTDTLSSAWGGTYVNDFIDRGRVKKVYIQGDSEFRSAPSDLGKWFVRGSDNAMTPFSAFATTRWLYGPERLVRYNGSAAYEIQGENATGFSSGDAMTKMEELANSLPAGTTWAWSGLSLQEKLASGQALSLYAVSILVVFLCLAALYESWSVPFSVILVIPLGLLGAALAAWMRDLNNDVYFQVALLTTIGLSSKNAILIVEFAEAAVAEGYSLSRAALRAAQTRLRPIIMTSLAFIAGGNAAGDSNRLRGEQPHRHWYGHYWRYADRYVAGYFLCSSVFCTGEAFVCR ->ARGMiner~~~TEM-1~~~ANG25804.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG25804.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFHVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPVMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MexF~~~WP_003181339.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_003181339.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MKFSQFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKITLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYALLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAPPAPNAQAFQLSINTQGRLVNEEEFENIIIRSGANGEITRLKDIARVELGSSQYALRSLLDNQPAVAIPIFQRPGSNAIQISNDVRAKMEELKKGFPAGMDYSIVYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLTPVEATKRAMSEVTGPIIATALVLCAVFIPAAFISGLTGQFYKQFALTIAISTVISAVNSLTLSPALAAVLLKSHDAPKDRFSKFLDKIFGGWLFRPFNRFFERASHGYVGTVGRVIRSSGIALLLYAGLMVLTFFGFSTTPTGFVPGQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVQSAVAFPGLSINGFTNSPNAGIVFVTLKPFEERKDPSMSAGAIAGALNGQYSQIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETMNIITKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQIYLGSLYANDFNRFGRTYQVNVQAEQQFRLESDQIGQLKVRNNRGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGAAAPGYSSGQAEKAIEKLLKDELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQLEGMNPLAAVLEACRLRLRPILMTSFAFIMGVVPLVFSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNFVERSEARKAARALKLEAQQ ->ARGMiner~~~CRP~~~WP_000242746.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_000242746.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPALEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSSQMARRLQVTSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~L1 beta-lactamase~~~WP_021202323.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_021202323.1~~~cephalosporin~~~unknown MRLCLTTLALAATLAFDVTAADEPLPQLRAYTVDSSWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLVLLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDSITFPPVSTDRIIMDGEVVNVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLQGNARYPRLVQDYRRSFAMVRGLPCDLLLTPHPGASNWNYAAGSNASEKVLSCKAYADAAEKKFDAQLAKETATAR ->ARGMiner~~~mecI~~~WP_064139837.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_064139837.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKKKNCCVYNNT ->ARGMiner~~~emrB~~~WP_001400788.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001400788.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGSGGGGGGAH ->ARGMiner~~~macA~~~WP_047724203.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047724203.1~~~macrolide~~~unknown MKLNGKRRKVWLLLAIVVLALAVWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALKKVDVGAQVSGQLKTLRVEIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERKLSAATLARQQQLAQRQLVSRQDLDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLSEVKNVITIPLSALGEAIGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVKGLDEGDEVIIGEGAAGAAK ->ARGMiner~~~mdtG~~~WP_053886355.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_053886355.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFYIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~adeG~~~WP_000010637.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_000010637.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTNKTSTPAKG ->ARGMiner~~~emrA~~~WP_063503019.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_063503019.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDELVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~macA~~~WP_032051229.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032051229.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKVTRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQQGQSVDKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~vanA~~~ADO66795.1~~~glycopeptide unknown +>ARGMiner~~~vanA~~~ADO66795.1~~~glycopeptide~~~unknown MKKPLKTVWILKGDRSMNRIKVAILFGGCSEEHDVSVKSAIEIAANINKEKYEPLYIGITKSGVWKMCEKPCAEWENDNCYSAVLSPDKKMHGLLVKKNHEYEINHVDVAFSALHGKSGEDGSIQGLFELSGIPFVGCDIQSSAICMDKSLTYIVAKNAGIATPAFWVINKDDRPVAATFTYPVFVKPARSGSSFGVKKVNSADELDYAIESARQYDSKILIEQAVSGCEVGCAVLGNSAALVVGEVDQIRLQYGIFRIHQEVEPEKGSENAVITVPADLSAEERGRIQETAKKIYKALGCRGLARVDMFLQDNGRIVLNEVNTLPGFTSYSRYPRMMAAAGIALPELIDRLIVLALKG ->ARGMiner~~~mdtG~~~WP_032452427.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032452427.1~~~fosfomycin~~~unknown MSSADTPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGLAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIAKKEMLSAKAVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADASQPDRHSVN ->ARGMiner~~~acrB~~~WP_055382510.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_055382510.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRVTLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~tolC~~~WP_058610748.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_058610748.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSSMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYGNGYRDNNGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLALLQARLSQDLAREQIRQAQDGHLPTLSLSASTSVSDTTYSGSKTNTQAYDDKNIGQNQVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLLALNSALGKPVSTSPESVAPENPQQDAAVDNFNGNGNAPVAQPASARTTAPAGNGNNPFRQ ->ARGMiner~~~tolC~~~WP_004385610.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_004385610.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGVDSTAKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDNFKTTKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTSGSAASRYADSKIGQNSIGLSFNLPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTAPESVAPENPEQDAAVNNMANGGGNAPAMQPAAATRSSNSNSGNPFRQ ->ARGMiner~~~tolC~~~WP_059220222.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_059220222.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQALILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLTLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTRGASAGQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLMALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPAVQQASARTNTSNGHNPFRN ->ARGMiner~~~lsaA~~~WP_010821797.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010821797.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~acrE~~~WP_001469398.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_001469398.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYAQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~BcI~~~WP_048561871.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_048561871.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSVTSLEAFTGGSLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRHNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVEYSPVTEKHVDTGMTLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQIGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAHKRNIFTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKSLK ->ARGMiner~~~macA~~~WP_032043669.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032043669.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLETAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~nalC~~~WP_034066709.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_034066709.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVVESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~mdtF~~~WP_032219324.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032219324.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEDGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~macA~~~WP_002045418.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002045418.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQIKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSAPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~aadK~~~WP_032722230.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_032722230.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVDSFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDHQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYKYPDYDEGITKYTEGIYYSVK ->ARGMiner~~~nalC~~~WP_034048018.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_034048018.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESSRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMTLLETRLKLSVDIIACYLEHLSQSPAQG ->ARGMiner~~~acrB~~~CP000034.1.gene457.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~CP000034.1.gene457.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVATNMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVIYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHSDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGNWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALHESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRHRFSRKNEDIEHSHTVDHH ->ARGMiner~~~CTX-M-3~~~AIC64387.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64387.1~~~cephalosporin~~~unknown MVKKSLRKFTLMATATVTLLLGSAPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~macA~~~WP_024243445.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_024243445.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQVVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~sdiA~~~KLW82782.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~KLW82782.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLYVLGYIHHNNDYLYQRLHSVSMRDIDFFTWRRECFLRFQEMTCAEEVYQELQRQTQGLEFDYYALCVRHPVPFTRPRTSVHSSYPQQWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFTDAQQLWDGARDHGLRKGITQCLMMPNHALGFLSVSCTSLQAHSISSEEVELRLQMLVQMALTTLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKIQIACYAAATGLI ->ARGMiner~~~MexB~~~WP_064393041.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_064393041.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNKYNLTPGDVSTAISAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNQDGSQVRVGDVADVGLGGENSSISAQFNGSPASGLAVKLANGANALDTAKALRKTIDDLKPFFPEGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKKGFFGWFNRNFDRGVQSYERGVGNMLSRKAPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVIDEMRSYLLDKEAGAVSSVFTVNGFNFAGRGQSSGLAFIMLKPWDERNADNSVFALAQRAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDKLMEARNQFLGLAAQSKVLYQVRPNGLNDEPQYHLEIDDEKAQALGLSLTDINSTLSISFGSSYVNDFIDRGRVKKVYVQGQAGARMSPEDLKKWYVRNNAGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGSPAPGYSTGDAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALLATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSIGQRKIADEDETTETPKEAGQ ->ARGMiner~~~mgrA~~~WP_059108098.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_059108098.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSESLNLKEQFCFSLYNAQRQVNRYYSNHVFKKYKLTYPQFLVLTILWAESPVNVKKVVTELALDTGTVSPLLKRMEQMDLIHRERSEIDQREVYIHLTPKSEQIRPELDTACNDVAAASSLTQEEFKELNRLLDKVITAFNEYKKN ->ARGMiner~~~msrA~~~WP_031881860.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_031881860.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETVGEIQYFEQLKMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSLHDIT ->ARGMiner~~~cmeB~~~EAQ57607.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~EAQ57607.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMIGIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKKR ->ARGMiner~~~nalC~~~WP_023097281.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_023097281.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSAEQPRPAATLSATLEHFGRRFLSSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~mefA~~~WP_009288847.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_009288847.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPIWMVMVVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGDQVQSLKPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMEYFNGTPMHISITEIAYASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADRIGVNHWFLLSGILIICIAIVCPMITEIRKLDLKQNS ->ARGMiner~~~Erm(41)~~~WP_005110805.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~WP_005110805.1~~~macrolide;lincosamide;streptogramin~~~unknown MDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRIAEADLLAFRWPRRPFRVVASPPYQVTSALIRSLLTPESRLLAADLVLQRGAVHKHAKRAPVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~arnA~~~WP_001679154.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001679154.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDLFLRTVDLTDKPS ->ARGMiner~~~FosB3~~~WP_043044983.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_043044983.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTNPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~macB~~~WP_048974758.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048974758.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGKVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_048261079.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_048261079.1~~~macrolide~~~unknown MKLNGKRRKVWWLLATVVLALAVWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALKKVDVGAQVSGQLKTLRVEIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERKLSAATLARQQQLAQRQLVSRQDLDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLSEVKNVITIPLSALGEAIGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVKGLDEGDEVIIGEGAAGAAK ->ARGMiner~~~BLA1~~~WP_047956979.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_047956979.1~~~penam~~~unknown MILKNKRMLKIGICVGILGLSLTSLEAFTGGPMQVEAKEKKGQIKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTMKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHQIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTAGNALPNHKRNILTEWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~Escherichia coli ampC~~~WP_001445395.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001445395.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSADEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~CTX-M-3~~~AIC64399.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64399.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGAMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~ErmF~~~AAF68230.1:AF203972_3~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmF~~~AAF68230.1:AF203972_3~~~macrolide;lincosamide;streptogramin~~~unknown MTKKKLPVRFTGQHFTIDKVLIKDAIRQANISNQDTVLDIGAGKGFLTVHLLKIANNVVAIENDTALVEHLRKLFSDARNVQVVGCDFRNFAVPKFPFKVVSNIPYGITSDIFKILMFESLGNFLGGSIVLQLEPTQKLFSRKLYNPYTVFYHTFFDLKLVYEVGPESFLPPPTVKSALLNIKRKHLFFDFKFKAKYLAFISYLLEKPDLSVKTALKSIFRKSQVRSISEKFGLNLNAQIVCLSPSQWLNCFLEMLEVVPEKFHPA ->ARGMiner~~~otr(B)~~~WP_003241200.1~~~tetracycline unknown +>ARGMiner~~~otr(B)~~~WP_003241200.1~~~tetracycline~~~unknown MDTTSAKQASTKFVVLGLLLGILMSAMDNTIVATAMGSIVADLGSFDKFAWVTASYMVAVMAGMPIYGKLSDMYGRKRFFLFGLIFFLIGSALCGIAQTMNQLIIYRAIQGIGGGALLPIAFTIIFDLFPPEKRGKMSGMFGAVFGLSSVLGPLLGAIITDSISWHWVFYINVPIGALSLFFIIRYYKESLEPRKQKIDWGGAVTLVVSIVCLMFALELGGKTYDWNSIQIIGLFIVFAVFFIAFFIVERKAEEPIISFWMFKNRLFATAQILAFLYGGTFIILAVFIPIFVQAVYGSSATSAGFILTPMMIGSVIGSMIGGIFQTKASFRNLMLISVIAFFIGMLLLSNMTPDTARVWLTVFMMISGFGVGFNFSLLPAASMNDLEPRFRGTANSTNSFLRSFGMTLGVTIFGTVQTNVFTNKLSDGFSGMKGSAGSGAAQNIGDPQEIFQAGTRSQIPEAILNRIIDAMSSSITYVFLLALIPIVLAAVTVLFMGKARVKTTAEMAKKAN ->ARGMiner~~~pmrA~~~YP_002740275~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~YP_002740275~~~fluoroquinolone~~~unknown MTEINWKDNLRIAWFGNFLTGASISLVVPFMPIFVENLGVGSEQVAFYAGLAISVSAISAALFSPIWGILADKYGRKPMMIRAGLAMTITMGGLAFVPNIYWLIFLRLLNGVFAGFVPNATALIASQVPKEKSGSALGTLSTGVVAGTLTGPFIGGFIAELFGIRTVFLLVGSFLFLAAILTICFIKEDFQPVAKEKAIPTKELFTSVKYPYLLLNLFLTSFVIQFSAQSIGPILALYVRDLGQTENLLFVSGLIVSSMGFSSMMSAGVMGKLGDKVGNHRLLVVAQFYSVIIYLLCANASSPLQLGLYRFLFGLGTGALIPGVNALLSKMTPKAGISRVFAFNQVFFYLGGVVGPMAGSAVAGQFGYHAVFYATSLCVAFSCLFNLIQFRTLLKVKEI ->ARGMiner~~~arnA~~~WP_032170064.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032170064.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSHPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtN~~~WP_044372543.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_044372543.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFAGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mdtG~~~WP_053903606.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_053903606.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFITGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~tet(D)~~~ACT97500.1~~~tetracycline unknown +>ARGMiner~~~tet(D)~~~ACT97500.1~~~tetracycline~~~unknown MYIEQHSRYQNKANNIQLRYDDKQFHTTVIKDVLLWIEHNLDQSLLLDDVANKAGYTKWYFQRLFKKVTGVTLASYIRARRLTKAAVELRLTKKTILEIALKYQFDSQQSFTRRFKYIFKVTPSYYRRNKLWGIGGNALRDPLIISPKRNHV ->ARGMiner~~~tolC~~~WP_060634550.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_060634550.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESSHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~TEM-207~~~ANG18904.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG18904.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAMTMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~FosB~~~CKI42916.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~CKI42916.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEVHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDENPHMTFY ->ARGMiner~~~tolC~~~WP_000735275.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735275.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSIVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~novA~~~WP_055545300.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_055545300.1~~~aminocoumarin~~~unknown MRHDEPTWTPPPQAPGQEPPRQMRRILRLFRPYRGRLAVVGLLVCAASLVSVATPFLLKEILDTAIPQGRTGLLSLLALGMIVSAVVTSVFGVLQTLISTSVGQRVMHDLRTAVYGRLQSMSLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKIATQRQKQMAAMAATVTESLSVSGIVLGRTMGRSDSLTKSFSDESEQLVDLEIRSNMAGRWRMAVISIVMAAMPAVIYWTAGIAFQMGGPTVSIGTLVAFVSLQQGLFRPTVSLLSTGVQIQTSLALFQRIFEYLDLPIDITEPEHPVRLDKIKGEVRFEGVEFRYDDKSGPTLQDIDIEIPAGGSLAVVGPTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATDEEMVAAARAAQIHDHIASLPDGYDTVVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTERAVQEAIDALSANRTTLTIAHRLSTVRGADQIVVLDGGRTAERGTHEQLLARDGRYAALVRRDAQLEPAT ->ARGMiner~~~FosB~~~WP_040083042.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_040083042.1~~~fosfomycin~~~unknown MEIKGINHLLFSVSHLDTSIDFYQKVFGAKLLVKGRTTAYFDMNGIWLALNEEADIPRNEIKSSYTHIAFTIEDHEFEEVSAKLKRLHVNILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMSFYDDTAF ->ARGMiner~~~APH(6)-Id~~~WP_041124075.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_041124075.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGGLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~mdtP~~~WP_001649600.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001649600.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRGIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~mecA~~~WP_050963182.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_050963182.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLEKATSHLLGYVGPINSEELKQKEYKGYKDDAVVGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINILTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~macB~~~WP_046077412.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_046077412.1~~~macrolide~~~unknown MTPLLELSNIRRSFPSGEDVVEVLKGINLTINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGIYKVAGQDVSTLDSDSLAQLRREHFGFIFQRYHLLSHLTALQNVEVPAVYAGIERKQREARAQALLQRLGLGERVDYRPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRERGHTVIIVTHDPHVAAQAERVIEIRDGEIVSNPPAKNSAIAQTFSDSSAQPRSGWRQFIAGFREALTMAWLALATNKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAIQKQSWVASATPAVSQNVRLRYGNIDVAAAANGVSGDYFNVYGMTFSEGNTFNKEQLNARAQVVVLDNNTRRQLFPHKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMASRVMGQSWLNSITVRVKEGYDSAQAEQQLTRLMTLRHGKKDFFTWNMDGILKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVMVCLVGGALGICLSLLIAFTLQLFLPGWEIGFSPVALLTAFLCSTITGILFGWLPARNASRLDPVEALARE ->ARGMiner~~~mdtF~~~WP_001611044.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001611044.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLLLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~emrA~~~WP_023217569.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_023217569.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFERAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNSNQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTVITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~bcrA~~~WP_000074579.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074579.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGENLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVHKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEIEQLVDRIGIIHEGKLLEEVSLDTLRKANRKYIEFQVNNDDKAVMLLENHLQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNDVEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~CRP~~~WP_000242761.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_000242761.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKTQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSAQMARRLQVTSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~tolC~~~WP_023286877.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023286877.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGISNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPVSARTNQSSGSNPFRQ ->ARGMiner~~~BLA1~~~WP_033712221.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_033712221.1~~~penam~~~unknown MILKNKRLLKIGICVGILGLSLTSLETFTGGALQVEAKEKTGQVKHKKHASYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKAVAAGVLLQQNSTDKLNEVITYTKDDLVEYSPVTEKHVDTGMTLGEIAEAAVRSSDNTAGNILFHKIGGPKGYEKALRQMGDRVTMADRFETELNEAIPGDIRDTSTAKAIAINLKAFTVGNALPDHKRNILTEWMKGNATGDKLIRAGVPTDWGVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEIIVNALR ->ARGMiner~~~hmrM~~~WP_048216502.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_048216502.1~~~fluoroquinolone;acridinedye~~~unknown MQKYVSEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQIRQGFWLAGSVSVLIMVVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPAMVMGFLGLLVNIPVNYVFIYGHLGMPELGGVGCGVATAAVYWVMFIAMLSYIKRARSMRDIRNERGFQKPDTAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGVCMAVVTALFTVTFREHIALLYNNNPEVVTLAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRFLQRQPSAVILQRAAR ->ARGMiner~~~msrA~~~WP_033861720.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_033861720.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGIVETVGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSLHDIT ->ARGMiner~~~OXA-10~~~gi:296278285:pdb:2WGV:A~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-10~~~gi:296278285:pdb:2WGV:A~~~cephalosporin;penam~~~unknown MGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSATPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~macB~~~WP_001416013.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001416013.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNPFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIVAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mgrA~~~WP_057487005.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_057487005.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIYLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~mdtC~~~CRL63209.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~CRL63209.1~~~aminocoumarin~~~unknown MKFFALFIQRPVATTLLSLAISLCGALGFMLLPVAPLPQVDYPVINIYASLPGASPETMASSVATPLERSLGRIAGIDEMTSSSSLGSTSITLVFDLNKDINTAARDVQAALNASQSLLPSGMPSRPRYYKSNPSDAPIMILTLTSDTQNTGELYDLASTRLAQKISQIEGVSEVSVGGGSLPAIRVALNPDALFNQNVSLDDVRKAINQANVRRPQGFINNDENRWQIQTNDELSKAAEYRPIIVHYNQEAVVRLGDVAQVTDSVQNSRAAGMSGGEPAILLVIRREAGANIIETVNRIRDELPELRELIPASVDLKVAQDRTPTIRASLAEVERALAIAVALVILVVFLFLRSGRATLIPAVAVPVSLIGTFSAMYLCGFSLNNLSLMALTVATGFVVDDAIVVLENISRHIENGLKPKDAALKGVGEVGFTVLSMSISLVAVFIPLLLMDGLVGRLFKEFAITLTTAIVISLFVSLTLTPMMCAHLLKGMKPKAQSHLRGFGKLLFRLQQGYSVTLQAALRHRRWVMAIFLATLGLNAYLYISAPKTFFPDQDTGRLMGFVRADQSISFQSMKEKMTRFMQEINADKDVDSVTGFTGGGRINSGFMFISLNPLSERTDSANQVINRLRAKLADEPGANLFLMPVQDVRAGGRQANASYQFTLLADDLSELRKWEPIVRKALGELPQLVDVNSDKEDKGAEMALTYDRDTMSQLGINVSDANNLLNNAFGQRQISTIYAPLNQYKVVMEVSEQYTQDVSALDKMYVVNNQGERIPLSVFASWYPANAPLSVNHQGLSAASTIAFNIPEGYTLADAINSIERTMTELGVPNTVRGTFAGTAQIFQETIKSQLILILAAIVTVYLVLGVLYESYIHPLTILSTLPSAGVGALLALQLFDTPFSLIALIGIMLLIGIVKKNAIIMVDFAITAQREGKLSAKEAIIQASLLRFRPILMTTLAALFGALPLMLGSGDGAELRQPLGITIVGGLLMSQLLTLYTTPVIYLFFDGLRARWQQQRFSKKEAKA ->ARGMiner~~~MexD~~~WP_031631929.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_031631929.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGSAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSRKAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~MexF~~~WP_024665043.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_024665043.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTDLDNAQVQVQNRVTRSEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVSEEEFENVVVRAGADGEITRLKDIARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVAATHKAMAEVTGPIIATALVLCAVFVPAAFISGLSGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRMLGSWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWMGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVQDAIAFPGLSINGFTNSPNNGVVFVTLKPFDERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAAPGYSSGQAQAAVEKLLREELPTGMIYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARVNSQQNLPAEMH ->ARGMiner~~~hmrM~~~WP_033554081.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_033554081.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNSGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~APH(6)-Id~~~WP_044352039.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_044352039.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIRDDQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~mdtF~~~WP_032253419.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032253419.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSEVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~hmrM~~~WP_032258261.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032258261.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVTVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~norA~~~WP_001041270.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041270.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLIVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~hmrM~~~WP_021515043.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_021515043.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMQNIDPALADKAVGYLRELLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRAAR ->ARGMiner~~~mdtP~~~WP_000610546.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610546.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLANSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~emrB~~~WP_047681013.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_047681013.1~~~fluoroquinolone~~~unknown MKQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~FosB~~~WP_000911695.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000911695.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVRGRKLAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFTVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQERLNYYREDKPHMTFY ->ARGMiner~~~bcrA~~~WP_000074550.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074550.1~~~peptide~~~unknown MSPIHTIIKTTNLTKVYGTQKSVDNLNINVLQGEVYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLFQNQKDILRRIGSIVEVPGFYENLTAKENLLINAKIIGIHKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITILISSHILAEVEQLVDRMGIIHEGKLLEEVSLDTLRKSNRKYIEFQVNNDNRATMLLENHLQIFDYEVHDEGNIRIYSHFGQQGHINRTFVLNDVDVLKMAMSEDRLEDYFTNLVGGGTIG ->ARGMiner~~~lsaB~~~WP_053474708.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_053474708.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGNIISSVEFNYFPYPVSDKNKYTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFNTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKVVSEYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNQRLQKDIGRLKQSSKRSAGWSSQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNMEKTESLKLEPLEFQSNELIVLADVAVKYDDQVVNKPISFNVEHGDRIVLDGKNGSGKSSILKLILGNPIQHTGTINLGSGLIISYVQQDTSHLKGLLSDFIELHEIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQSFNPTMVFVEHDQAFQQTVATKMISM ->ARGMiner~~~MexE~~~WP_058135505.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_058135505.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEARAAVAATQAQLDAARLNLSFTRVTAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLESLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAATKDNATRNEPRG ->ARGMiner~~~mdtG~~~WP_038347056.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_038347056.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTVIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDVGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~dfrA17~~~YP_001816601~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~YP_001816601~~~diaminopyrimidine~~~unknown MLWSSNDVTQQGSRPKTKLAIKGVKLKISLISAVSENGVIGSGPDIPWSVKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISSSNENVLVFPSIENALKELSKVTDHVYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDIKFPIMPENFNLVFEQFFMSNINYTYQIWKKG ->ARGMiner~~~mgrA~~~WP_031867231.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_031867231.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKFFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~macB~~~WP_023315739.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023315739.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWAQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~lmrP~~~WP_010958995.1~~~macrolide;lincosamide;streptogramin;tetracycline unknown +>ARGMiner~~~lmrP~~~WP_010958995.1~~~macrolide;lincosamide;streptogramin;tetracycline~~~unknown MFRELHPNIRARILIQFLSKVIGSMIFPFMAIYFSREINSSVAGFLLMINVLVQFLAGMYGGHLADIIGRKKLMVTGELLKVFAFLGMVLCNSPMFHSPWITFVMLLIIGVAQGLINPAGEAMLIDVSTPENRSFMYSVSYWANNLSIMIGIMVGGWFFVDYLFPLLVVLFIMSFVTAWLTISLISETLQQKEMPHKGSYGLMGMLKNYGQVLHDYRFLLYTIGGIAIMSIEFQRSNYISVRLAEDVKALLVHLGPLGNISLNGVQIVSVLTAVNTLFIVLFTVPIARFVTKRAQQPIMYVGFTLFALGFAVCAFANNLTVLLLATMVLSIGELLYVPTRQTILAAIVDDERRGAYMAFNGIIFQIGKMIGSVSLVFAPFIGKYGMGAFTITLGVLSIVFSAVALKSGWEKVLVK ->ARGMiner~~~macA~~~WP_023239878.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023239878.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGGGTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mdtH~~~WP_065242246.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_065242246.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALIVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~macB~~~WP_016156937.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_016156937.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTDRKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPSHKPSTGRDIAEPTVKTASGWGQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-207~~~ANG13589.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG13589.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLAEYSPVTERHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~acrF~~~WP_006898419.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~WP_006898419.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MANFFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSISATYPGADAQTVQDTVSQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRLKDPEEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLSPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGFFGWFNTRFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMAVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIDQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAPGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVISRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~arnA~~~WP_001581318.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001581318.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFTYVGNQKFTVWSSRVHPHASKAQPGNVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~ACT-29~~~WP_028015237.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_028015237.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKFLCCALLLSTSCSVLAAPMSEKQLADVVERNVTPLMKAQGIPGMAVAVIYQGQPHYFTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPDLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANSSIGLFGALAVKPSGMSYEQAMTARVFKPLKLDHTWINVPKAEETHYAWGYRDDKAVHVSPGMLDAEAYGVKTNVQDMASWVMANMAPDALQDTSLKQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~FosA2~~~WP_063944593.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063944593.1~~~fosfomycin~~~unknown MLQSLNHLTIAVSDLQKSVTFWHALLGLTLHARWNTGAYLTCGELWVCLSYDEARRYVPPQESDYTHYAFTVAEEDFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~mefA~~~WP_017754373.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_017754373.1~~~macrolide~~~unknown MEKFNNWKLKFFTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRYDRKKIMIGADLIIAAAGAVLAIIAFYMELPVWIVMVVLFIRSVGTAFHTPALHAVTPLLVPEDQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIITIDVLGAVIASLTVAFVNIPKLKVDKQNFKLNFIGEMREGFIVLKDNKGLFALLLIGTLYMFVYMPINALYPLITMEYFNGTPMHISITEIAYASGMLAGGLSLGFFGNYKKRILLITVSIFVMGSSLVISGLLPPSGFVIFVVCCAMMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSFMSLAMPFGLILSGFFADRIGVNHWFFISGILIIGIASVCPMVTEIRKLDSK ->ARGMiner~~~mepA~~~WP_000651056.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_000651056.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILNPILIFGFDLNVVGAALGTAISNVAAALFFIVYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMTSLLLNGIGFLFIGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~cmeA~~~WP_002795256.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002795256.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MNLFQKNTLLLLSALFLFSACSKEEAPQKQTLPQSVSTMSAKAENLPLNFTYPAKLVSDYDVIIKPQVSGVIVEKLFKAGDLIKKGQTLFIIEQDKFKASVNSAYGKALMARANFDNASKDYNRSKTLYNKGAISQKEYDSALANFNNTKANLTSARADLENARIDLAYTEIKAPFDGIVGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~viomycin phosphotransferase~~~WP_053624488.1~~~peptide unknown +>ARGMiner~~~viomycin phosphotransferase~~~WP_053624488.1~~~peptide~~~unknown MRITETHRDLLSRLLPGDTVGGLAVHEGQFHHVVIGSHRVVCFARTRAAADRLPGRADVLRALAGIDLGFRTPQPLSEGGAQGTDEPPYLVLSRIPGAPLEDDVLTSPEVAEAVARQYATLLSGLAAAGDEEKVRAALPEAPAGEWQEFATGVRTELFPLMSDGGRERAERELAALDALPHLTSAVVHGDLGGENVLWETVDGVPRMSGVVDWDEVGIGDPAEDLAAIGASYGEELLGRVLALGGWADNGTAERISAIRGTFALQQALYAQRDGDEEELADGLSGYR ->ARGMiner~~~mdtF~~~WP_001506351.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001506351.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~macB~~~WP_047737758.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047737758.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLEERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_000188154.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188154.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGVERKRRLARARELLQRLGLEERVDYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPATENMNVVAGTESIVKMSSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQRQPWVASATPAVSQNLRLRYSNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNSRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRIAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFALQLFLPGWEIGFSPLALLLAFLCSTVTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~otr(A)~~~ANS69909.1~~~tetracycline unknown +>ARGMiner~~~otr(A)~~~ANS69909.1~~~tetracycline~~~unknown MHTLNIGILAHVDAGKTSLTERLLFDHGAIDRLGSVDTGDTRTDDGTIERQRGITIRSAVAAFTVGDTQINLIDTPGHSDFIAEVERALEVLDGTVLLLSAVEGVQAQTRVLMRTLRRLRLPTLVFVNKIDRAGARADALLTDMRRRLTPHLTAVTGVTGIGTHGARVLPLPLGSRTAEALADVDPEILTALVDGPEPTPDELLRSLAARTADGSFHPVFLGSALGGQGVPELVDGITRLIPAAPATPATEPRGTVFAVLPGPGGERTAYLRLYDGEVTRRQPLTFRRREADGRTTEVGGRVTRLDVVGRPEPLTAGNIAVVTGLPGIRVGDRLGELTDRAPQFAPPTLQTLARARRPGQAAALRAALLTLADQDPLLHARPAASGATALLLYGEVQMEVLAATLTQDFGIEAEFEPGRVRFLERPAGVGEAWEEMPWHDRTRYWATIGLRVEPGPRGSGGVFAYETELGALPRAFHQAVEETVHTTMAAGPNGTPVTDYRVTLVRSGFCAPISTAADFRGLTPIVLRRALERAGTRLYEPYHAFETEVPLDALAPVTAHLASVGAEFTGTSGGSTAWLITGELAARRVREVELRLPGLTHGEGVWWSRPSGDRELKPH ->ARGMiner~~~MexF~~~WP_023085298.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_023085298.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEEVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~macA~~~WP_042064475.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_042064475.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTCIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~tolC~~~WP_002156471.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_002156471.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKIKLMLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDRLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~mdtH~~~WP_023324446.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023324446.1~~~fluoroquinolone~~~unknown MSRVSQTRSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~viomycin phosphotransferase~~~WP_030725808.1~~~peptide unknown +>ARGMiner~~~viomycin phosphotransferase~~~WP_030725808.1~~~peptide~~~unknown MRIIETHRDLLSRLLPGDTVGGLAVHEGQFHHVVIGSHRVVCFARTRAAADRLPGRADVLRALAGIDLGFRTPQPLSEGGAQGTDEPPYLVLSRIPGAPLEDDVLTSPEVAEAVARQYATLLSGLAAAGDEEKVRAALPEAPVGEWQEFATGVRTELFPLMSDGGRERAERELAALDALPHLTSAVVHGDLGGENVLWETVDGVPRMSGVVDWDEVGIGDPAEDLAAIGASYGEELLGRVLALGGWADNGTAERISAIRGTFALQQALYAQRDGDEEELADGLSGYR ->ARGMiner~~~arnA~~~WP_016153816.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_016153816.1~~~peptide~~~unknown MKAIVFAYHDMGCQGVQALLDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSDEILSLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGEIETGVTLHRMVKRADAGAIIAQQRVAISPDDVALTLHHKLCQAARHLLEQALPAIKTGDYAERPQQEADATCFGRRTPEDSFLDWNTPAAELHNQVRAVSDPWPGAHSYVGTQKFTVWSSRVCVNNSAAQPGTVISVSPLLIACGDGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTTKHRTRVLILGVNGFIGNHLTERLLQEDHYEVYGLDIGSDAIGRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCEGEIINIGNPQNEASIQELAEMLLTCFEKHPLRNHFPPFAGFRDVESSSYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVNITEHTS ->ARGMiner~~~macB~~~AMX06027.1~~~macrolide unknown +>ARGMiner~~~macB~~~AMX06027.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGTVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKASVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrB~~~WP_003037306.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_003037306.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRFGEVRLFMWSTIAFVIASWACGMSTSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGAAVVLMTLQTLRGRETKTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIIILTVVAVVAISFLIVWELTDEHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPYNPNAQAMYDKLQDLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~adeG~~~WP_045564043.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_045564043.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTPRSRIAAAVATLAVVGLGTFGAMRVGASAPEKPAAPLPEVDVATVVPQTVTDWQAYSGRLEAVEKVDVRPQVPGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAGAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVAGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGARDGRKVPVELGLANEAGYSRSGVIDSVDNRLDTSSGTIRVRARFDNADGSLVPGLYARVKVGGSAPHQALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQPGMQHGNRRVIVSGIAAGDRVIVNGTQRVRPGEQVKPHMVPMTGADAPSAPSAPLADNAKPAAPAKANS ->ARGMiner~~~MexF~~~WP_004664957.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_004664957.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITCLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPASLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAELFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAAKAQRLQNLPAEMH ->ARGMiner~~~mdtH~~~WP_054410836.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_054410836.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVRTPVREGMACVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPVGMVSSLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGTIGYIGGGWLFDLGKSAQQPELPWMMLGIIGIITFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~mdtH~~~WP_038206713.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_038206713.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIRFVEQLGWAALIVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLLRALGFALMAMAHEPWILLLSCVLSGLGGTLFDPPRAALVIKLTRPHERGRFYSILMMQDSAGAVVGALIGSWLLQYDFNIVCWIGASIFVLAALFNAWLLPAYRISTIRTPIKEGMMRVIRDRRFLYYVLTLTGYFVLSVQVMLMFPIIIHEITGTPTAVKWMYAIETAISLTLLYPIARWSEKHFRLEQRLMAGLFLMSICMFPIGWVNQLHTLFGLLCLFYLGLVTADPARETLSASLSDPRARGSYMGFSRLGLALGGAIGYTGGGWLYDTGRDLNMPQLPWILLGLSGLITIYALHRQFNQKKIDPVMLGRH ->ARGMiner~~~mdtH~~~WP_048983389.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_048983389.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYMPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~macB~~~WP_001583395.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001583395.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPATEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~EIQ25529.1~~~macrolide unknown +>ARGMiner~~~macB~~~EIQ25529.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLSGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~lsaA~~~WP_033594420.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_033594420.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSRLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGTGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~aadK~~~WP_040082373.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_040082373.1~~~aminoglycoside~~~unknown MRSEQEMMNMFLDFALNDERIRLVTLEGSRTNKHIRPDNFQDYDISYFVTDIESFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKVDLTLIPIREAEDYFANNDGLVKVLLDKDTFISYEVTPNDRQYWMKKPTSREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQQGYSFSMGKNYKFMKRYLSNKEWEELMSTYSMSGYQDMWKSLFTCYALFRKYSKAVSESLEYKYPDYDAGITKYTEGIYCSVK ->ARGMiner~~~macA~~~WP_049087312.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_049087312.1~~~macrolide~~~unknown MKLNGKRRKVWWLLAIVVLALAVWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALKKVDVGAQVSGQLKTLRVEIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERKLSATTLARQQQLAQRQLVSRQDLDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLSEVKNVITLPLSALGEAIGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVKGLGEGDEVIIGEGAAGAAK ->ARGMiner~~~macA~~~WP_048999360.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_048999360.1~~~macrolide~~~unknown MNLKGKRRTLFLLLALVIVAGGFWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNHDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVEKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~arnA~~~EGM60853.1~~~peptide unknown +>ARGMiner~~~arnA~~~EGM60853.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERDIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQMLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~msbA~~~WP_031771561.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031771561.1~~~nitroimidazole~~~unknown MIKRYLHFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~emrA~~~WP_044688844.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_044688844.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSINTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~tolC~~~WP_001739619.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001739619.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKPDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macB~~~WP_007730213.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_007730213.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDTEVEVLKGVSLTIHAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLSGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPNVAAQAERVIEIRDGEIISNPPPVAAREGARLNTQPHDAPALGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPAVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKASVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSVTVRVKEGYDSGEAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARSAARLNPVDALARE ->ARGMiner~~~blaF~~~WP_065458907.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_065458907.1~~~penam~~~unknown MTGLSRRNVLIGSLVTAAAVGAGVGNAAPALATPFDDQIADLERRHNALIGLYASNLDSGRTLAHRPDEMFAMCSTFKGYAAARVLQMVGRRQISLDNRVFVDREAIVPNSPITETHVGAEMTLAELCQAALQRSDNTAGNLLLKTIDGPAGITAFARSIGDERTRLDRWEVELNSAIPGDPRDTSTPAALAAGYRQVLAGDALSPPQRRQLEDWMRANQTSSVRAGLPEGWTTADKTGSGDYGSTNDVGIAYGPDGQRLLLAVMTRSQADDPKADNLRPLIGELTAAVLPSLL ->ARGMiner~~~mdtH~~~WP_023248213.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023248213.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCILSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCAIFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLFVMSLSMIPIGMVGNLQQLFTLICVFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDVGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macB~~~WP_063116960.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063116960.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTELVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_065518573.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_065518573.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKDFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~tolC~~~WP_039023388.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_039023388.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRSAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~L1 beta-lactamase~~~WP_049448076.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049448076.1~~~cephalosporin~~~unknown MRFTLLAFALAVALPAAHASAAEAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMASHLISNMKVRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAESAVLLARGGSNDLHFADGITYPPASADRIIMDGEAVTVGGITFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFITVRGLPCDLLLTPHPGASNWNYAAGSKASANALTCSAYADAAEKKFDAQLAKETAGDR ->ARGMiner~~~sdiA~~~WP_032711942.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032711942.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDIDFFSWRREMLQQFQSTRDGDSVYNLLQQQTEELEYDYYALCVRHPVPFTRPKLTLQSTYPQAWMSHYQAENYFAIDPVLRKENFLRGHLPWNDQLFCDTPELWNGARDHGLNKGVTQCLTLPNHALGFLSVSAKNAQPGPYHEDELELRLRTLTELSLLALLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~macB~~~WP_032432902.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032432902.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPLVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_059256507.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059256507.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGVERKRRLARARELLQRLGLEERVDYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPATENMNVVAGTESIVKMSSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQRQPWVASATPAVSQNLRLRYSNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNSRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFALQLFLPGWEIGFSPLALLLAFLCSTVTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~lmrB~~~O35018~~~lincosamide unknown +>ARGMiner~~~lmrB~~~O35018~~~lincosamide~~~unknown MILETTAKASQQYKVMPIMISLLLAGFIGMFSETALNIALTDLMKELNITAATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFTVSLIFSILGTFIAALAPSFSFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALVFGIAYMQNVSETTKPKIDVLSIILSTIGFGGIVFGFSNAGEGSGGWSSPTVIVSLIVGVVGLILFSIRQLTMKQPMMNLRAFKYPMFILGVIMVFICMMVILSSMLLLPMYLQGGLVLTAFASGLVLLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVIVTVVLWFFSNVTTTSTAVLIIILHTCLMIGISMIMMPAQTNGLNQLPREFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQHDYMSTVKNPADPAVIPQALTAGVQHAFVFAMIVAIIGLIGAFFMKRVKVDH ->ARGMiner~~~mdtH~~~WP_059443655.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_059443655.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVMGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHCLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~lsaA~~~WP_002380734.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002380734.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~macB~~~WP_042073841.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_042073841.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVXGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_009308670.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_009308670.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPVSARTTQSSGSNPFRQ ->ARGMiner~~~emrA~~~WP_048225242.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_048225242.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKNGKRKSMLLLLTLLFIIIAVAYGIYWFLVLRHVEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKQGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQASIEVQKTALAQAQSDFNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILGSKLEDQPTVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNLWVDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAQQLAQHPLRIGLSTLVTVDTSNRDGQILASQVRTTPVSESNAREINLAPVNKMIEEIVRANAG ->ARGMiner~~~OXA-10~~~gi:151567620:pdb:2HP5:A~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-10~~~gi:151567620:pdb:2HP5:A~~~cephalosporin;penam~~~unknown MGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFGLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~mdtH~~~WP_049597664.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_049597664.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIRFVDQLGWAAVVVGAALGLRQFIQQGLGIFGGAIADRFGAKPMIVIGMLLRAVGFALMAMADQPWILWLSCALSALGGTLFDPPRTALVIKLVRPHERGRFYSILMMQDSAGAVVGALLGSWLLQYDFHLVCWVGAFIFVLAALWNAWLLPAYRISTVRAPMREGMLRVIRDKRFLTYVLTLTGYYMLAVQVMLMLPIVVNEVAGSPAAVKWMYAIEAALSLTLLYPIARWSEKHFRLEQRLMTGLFIMSISMFPIGLTDSLQTLLMLICFFYLGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWMYDTGKSLSLPQLPWFLLGIIGLITLAGLYWQFNQRRIEPAMLSGS ->ARGMiner~~~lsaB~~~WP_036079133.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_036079133.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFENVSFQIDTDWKLGFIGRNGRGKTTFFQLLLGNYEYSGKIISSVDFTYFPYPVSDPNKYTHEIFEEICPQAEDWECLREIAYLHVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKTVSDYLRRKKGFILISHDRSFLDGCVDHILSINRANIDVQSGNYSSWKLNFDRQQEHEEVTNQRLQKDIDRLKQSSKRSAGWSNQVESSKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQRAIEEKSKLLKNVEKTESLKVEPLACSSKEMVAVTDLSIQYADHIVNRPISFNVEQGDRIVLDGKNGSGKSSILKLILGKPIQHTGSIKLASGLVISYVQQDTSHLKGLLSEFIEEHGIDETLFKSILRKMDFERIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIKSTHLTMVIVEHDQAFQQTVATKTIAML ->ARGMiner~~~Serratia marcescens Omp1~~~WP_000977917.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_000977917.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEAQPLGNGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNISGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~Escherichia coli mdfA~~~NC_010400.5984123.p01~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~NC_010400.5984123.p01~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MKNIQTTALNRTTLMFPLALVLFEFAVYIGNDLIQPAMLAITEDFGVSATWAPSSMSFYLLGGASVAWLLGPLSDRLGRKKVLLSGVLFFALCCFLILLTRQIEHFLTLRFLQGIGLSVISAVGYAAIQENFAERDAIKVMALMANISLLAPLLGPVLGAFLIDYVSWHWGFVAIALLALLSWVGLKKQMPSHKVSVTKQPFSYLFDDFKKVFSNRQFLGLTLALPLVGMPLMLWIALSPIILVDELKLTSVQYGLAQFPVFLGLIVGNIVLIKIIDRLALGKTVLIGLPIMLTGTLILILGVVWQAYLIPCLLIGMTLICFGEGISFSVLYRFALMSSEVSKGTVAAAVSMLLMTSFFAIIELVRYLYTQFHLWAFVLSAFAFIALWFTQPRLALKREMQERVAQDLH ->ARGMiner~~~FosB3~~~WP_026586713.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_026586713.1~~~fosfomycin~~~unknown MIDGLNHLLFSVSDLKASIAFYENVFDAKWLVKGEKTAYFDLNGIWLALNEEKDIKRTEIRDSYTHIAFSIKEEDLDHWETKLKDLGVNVLKGRKRHQGDKDSIYFSDPDGHKFELHTGSVFDRLEYYQTEKPHLSFHEGHIQALYDKNK ->ARGMiner~~~Escherichia coli mdfA~~~WP_013196732.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~WP_013196732.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MKNIQTTTLNRTTLMFPLALVLFEFAVYIGNDLIQPAMLAITQDFGVSATWAPSSMSFYLLGGATVAWLLGPLSDRLGRKKVLLAGVLFFALCCFLILLTRQIEQFLALRFLQGIGLTVISAVGYAAIQESFAERDAIKVMALMANISLLAPLLGPVLGAFLIDYVSWHWGFVAIAVLALLSWVGLKKQMPSQQASVTRQPFSYLFDDFKKVFTNRRFLGLSLALPLVGMPLMLWIALSPIILVDELKLTSVQYGLAQFPVFVGLIVGNIVLIKIIDRLALGKTILIGLPIMLIGTLILILGVVWQTDLIPCLLTGMTLICFGEGISFSVLYRFALMSSEVSKGTVAAAVSMLLMMSFFAMIELVRYLYTQFHLWAFVLSAFTFIALWFTQPRSALKQEMQERKLEGND ->ARGMiner~~~Escherichia coli acrA~~~CP004022.1.gene294.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~CP004022.1.gene294.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRKNRGVLPLALLVLSGSLVIAGCGDKNQSAGGPPPAPAVGVVTLDAKPLTITTDLPGRTSAYRIAEVRPQVGGIILKRNYTEGSYVEAGTSLYQIDPAIFQATLNSAQADLAKAKANAEIARLTVERYKPLLGTNYVSKQDFDTATSQYAQAVAAVKAAEATVTNAKINLEYTKVTAPISGRSGKSTVTEGALVAPGQQVALTTVQQIDPIYVDVTQSSEDYLKLKNEIESGIIRQEQGKPVVHLTLTNGQSYAQKGHLEFSDVTVDESTGSITMRAIVPNPKGELLPGMFVRTKLENGIRQNAILIPQQAVIRTPRGEATTMVVNKDNVVEVRTIEVSQAVGNKWLVNSGVQVGDRVIVSGLQKAKPEMKVTPQEENLDATASTEKSEPAKDPQ ->ARGMiner~~~dfrA12~~~WP_012221074.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA12~~~WP_012221074.1~~~diaminopyrimidine~~~unknown MNSESVRIYLVAAMGANRVIGNGPNIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRHTLVISRQANYRATGCVVVSTLSHAIALASELGNELYVAGGAEIYTLALPHAHGVFLSEVHQTFEGDAFFPMLNETEFELVSTETIQAVIPYTHSVYARDGAAHEPIQRPAFSA ->ARGMiner~~~hmrM~~~WP_001174947.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174947.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTNLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRAAR ->ARGMiner~~~lmrB~~~NC_007793.3913346.p01~~~lincosamide unknown +>ARGMiner~~~lmrB~~~NC_007793.3913346.p01~~~lincosamide~~~unknown MAKVELTTRRRNFIVAVMLISAFVAILNQTLLNTALPSIMRELNINESTSQWLVTGFMLVNGVMIPLTAYLMDRIKTRPLYLAAMGTFLLGSIVAALAPNFGVLMLARVIQAMGAGVLMPLMQFTLFTLFSKEHRGFAMGLAGLVIQFAPAIGPTATGLIIDQASWRVPFIIILGIAILAFVFGLVSISSYNEVKYTKLDKRSVMYSTIGFGLMLYAFSSAGDLGFTSPIVIGALILSMVIIYLFIRRQFNITNALLNLRVFKNRTFALCTISSMIIMMSMVGPALLIPLYVQNSLSLSALLSGLVIMPGAIINGIMSVFTGKFYDKYGPRPLIYTGFTILTITTIMLCFLHTDTSYTYLIVVYAIRMFSVSLLMMPINTTGINSLRNEEISHGTAIMNFGRVMAGSLGTALMVTLMSFGAKIFLSTSPSHLTATEIKQQSIAIGVDISFAFVAVLVMAAYVIALFIREPKEIESNRRKF ->ARGMiner~~~mdtH~~~WP_048240990.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_048240990.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGCMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~emrB~~~WP_032363351.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032363351.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFTIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtM~~~WP_001704083.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001704083.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIIPSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVFRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~macA~~~WP_019458090.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_019458090.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAVIAVLAWKFLKPKQQQPQYITAEITRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKVTRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMRVEKGQQVYFTTLGDDSKRYATLRQIEPAPDSISSESNSTTSSTSSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLDSAKNALLVPSSALSSKQFSGQRKPGQTADKASSTPRAERKHEGNGARLERLNLTPEQKQLIEQGKATLSVVRILQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~SRT-2~~~WP_049201909.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_049201909.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAHAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFKDPASHYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTNNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAATHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAVYHIIQALEKR ->ARGMiner~~~mdtP~~~WP_032208687.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032208687.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVSQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~arnA~~~WP_044067345.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_044067345.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLITCGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_045352062.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045352062.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRDSRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSAMAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMIIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~acrB~~~WP_020976763.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_020976763.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDSTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPADVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRDRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~macB~~~WP_049056431.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049056431.1~~~macrolide~~~unknown MTALLELREIRRSYPSGDGEVDVLKGITLSISAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRVRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSLEKGGILRAEARAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_047064289.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047064289.1~~~macrolide~~~unknown MTLNGKRRKVWWLLALVVVIAAIWGWRILNAPLPQYQTLVARKSDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAENQIKEVDATLMELRAQLKQAQAERKLAQVTLERQQQLAQRQLVSRQDLDTAATDVAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLAEVKNVITIPLSALGDAIGDNRYNVRLLRNGEVKEREIVIGARNDTDVAVAKGLEEGDEVIISESTPGATK ->ARGMiner~~~FosB~~~WP_002126995.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002126995.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENAIMFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQEDFERLLQRLEENKVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~baeR~~~WP_016655114.1~~~aminoglycoside;aminocoumarin unknown +>ARGMiner~~~baeR~~~WP_016655114.1~~~aminoglycoside;aminocoumarin~~~unknown MKYVMLVEDEVELAQLVRDYLEAAGFEVGMFHDGQEAYQSFQQRRPSLMVLDLMVPRMDGLTICRKVREQSDLPIIMVTARTEEIDRVLGLNMGADDYVCKPFSPKELVARVQAVLRRLERKVEPETHDLFRVDKAQQRIWFQQKALSLTPTEFRLLELFLEHVGQVYSRSQLLDHINPDSFDVADRVIDSHIKNLRRKIEEIAETGNRHEWVQAVYGVGYRFEYPHEL ->ARGMiner~~~macA~~~WP_010429157.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_010429157.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVLVVGGFWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMGTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAK ->ARGMiner~~~vanSF~~~EJW18764.1~~~glycopeptide unknown +>ARGMiner~~~vanSF~~~EJW18764.1~~~glycopeptide~~~unknown MILLLCLSMLLSSAITYLLFKVLQLYYYTNVSYGDTLAYFRKIIQNIGDLNVFLLLFILLSILFFFLLTKPYSAYFNEISKGIHYLAQGDFKHRVQILSNDEFSDIAQGINQASEKLEKAIERGDFSENSKEQLVLNLAHDLRTPLTSVLGYLDLILKDDSLTENQIRHFLTIAFTKSQRLERLIDELFEITRMNYGMLPIEKKQIDLSELLIQLKEELYPVLEKNDLIARTNITSPLSIMGDGELLARVFENLLINANRYGYDGQYVDINGSIDSKEVVIQVINYGDAIPPDELPHIFDMLFTGDKARTHQENSTGLGLFIAKNIVEQHNGTITAESDLIRTIFEARLPMEDSQRD ->ARGMiner~~~acrB~~~WP_001132456.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132456.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINSDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~TEM-207~~~ANG20228.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG20228.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAVPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~hmrM~~~WP_032280948.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032280948.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTTKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~BLA1~~~WP_046947358.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_046947358.1~~~penam~~~unknown MILKNKRMLKIGICVGILGLSLTSLEAFTGGPLQVEAKEKKGQIKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTMKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTAGNALPNHKRNILTEWMKGNATGDKLIRAGVPTNWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIKEAAEVVIDAIK ->ARGMiner~~~emrA~~~WP_049068984.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_049068984.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIVAVAYGIYWFLVLRHFEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAQTQLAASVRQTRQQMINSKQLQASIDVKKTALSQAQTDLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTKIVSPISGYVSRRSVQPGAQISTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRGGEMLASQVRSSPVYESNAREIGLEPVNKLINDIIQANAG ->ARGMiner~~~FosB~~~WP_048519024.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_048519024.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRELAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~sdiA~~~WP_016246058.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_016246058.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFNEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRSSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~mdtF~~~WP_001551802.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001551802.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNCLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~cepA beta-lactamase~~~WP_065538049.1~~~cephalosporin unknown +>ARGMiner~~~cepA beta-lactamase~~~WP_065538049.1~~~cephalosporin~~~unknown MRSFIVLLCLVPTLLLAQQSKLETQLKQAIKDKKAEIGIAVIINGKDTATVNNDIHYPLMSVFKFHQALALADYMGKKKQSLDTRLPIKKSDLKPDTYSPLRDKYPQGGIEMSIADLLRYTLQQSDNNACDILFNYQGGPEAVNRYIHSLGVRECAIVGTETAMHEDLNLCYQNWTTPLAAAELLEIFRKKPLFAKVYKDFIYQTMVECQTGQDRMVAPLLDKKVTVGHKTGTGDRNAKGQQIGYNDIGFVLLPDGRTYSIAVFVKDSKENSQVNSKTIADISRIVYEYVILH ->ARGMiner~~~Escherichia coli mdfA~~~WP_010947134.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~WP_010947134.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MSEPLIKISYRQAIIFACFLVLYEFLTYIANDMIMPGMINVVKSFNAHESVVATSLTVYVLGGASLQLILGPLSDAYGRRPMMLIGSCLFFLFTLLIASSHSMNQFLIARFFQGMGLCFIGVIGYATIQEIFEEMDAIRLIAIMANAAILAPLLGPLLGAIIIHYTSWRLIFIIIALGALLAYWGLWRFMPEPIGQVKRDGQLIPKTPFALNAITRNYKTLLSNQAFCYSAIAEGLVGIPCIAWIALAPIILIAEAKLTVIQYGLWQLPIFGATILGNWCLHHLTYKYKIERIIFIGCIIMVVGLALTALLPYFYGNNYVYLIPGIIIYFFSLSVINAPLNRYCLFVTAVSKGTASALISLSIMIIGAIGIEIANLFYQHHNNLHFAFYCNAVGLLFLIFIGLTFFIGTPKKSEIHDEANSNVANS ->ARGMiner~~~bcrA~~~WP_002201978.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_002201978.1~~~peptide~~~unknown MPPINTIIKTTNLTKVYGTQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLLRNPKDILRRIGSIVEVPGFYENLTARENLLINAKIIGVHKRNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQDKNITILISSHILAEVEQLVDRMGIIHEGRLLEEVSLDTLRKANRKYIEFQVNNDNKAAMLLENHFQIFDYEVHDEGNIRVYSHFGQQGHINRTLVRNDIEVLKIVMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~macA~~~WP_063413405.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063413405.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKVWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~mgrA~~~WP_046207364.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_046207364.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEPLNLKDQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWADSPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEAIRPELDTACQDVAVASSLSAEENKELNRLLSKVINAFTEEKAK ->ARGMiner~~~smeE~~~WP_057637070.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_057637070.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIALIIMLAGALAVFKLPVSMYPAVAPPSVEITATYPGASAKVVEDSVTQIIEQNMKGIDGLMYFSSNSAGNGRATITLTFQSGTDPDIAQVQVQNKLQLATPLLPQAVQQQGVNVAKASKSFLQVAAFVSEDGSMDANDIADYVSSNVADPLSRVPGVGSIQVFGGKYAMRIWLDPNKLQAYKLSVDEVAAAIRGQNAQVAIGQLGGAPSIQGQQLNATINAQERLQTPEQFRNIVVRSSDAGSELRLGDVARVELGAETYDFVTRFDGKPSTGIAITLASGANALDTAEGVNQTLKELEAGFPAGLKSVVAYDTTPFVKVSIKGVIKTLLEAVVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLSALGFSINMLTMFAMVLAIGLLVDDAIVVVENVERIMAEEGLSPLEATRKSMDQITGALVGIGLVLSAVFVPMAFMDGATGVIYRQFSATIVSAMALSVIVAIVLTPALCATMLKPLPKGEHHVKHTGLLGRFFDWFNGFFDRTSGKYQGGVRGILARPGRFMAVFVALAVVMGVLFARLPSSFLPNEDQGILMAMVSTPVGATQERTLESIAKLENHFLENESELVESVFSVQGFSFAGMGQNSGMAFIKLKDWEERKQADQQVTAVAGRAMGALMQVKDAFIFAFAPPAMTELGVGNGYTFFLKDMTGQGHEALTAARNQLLGMTGQSDKLVNVRPNGQDDTPQLRLDIDPAKAGAHDLSMATINSTLATAWGSSYIDDFIDRGRVKRVYLQADQDFRMTPEDFHLWSVKNSKGEMVPFSAFATYHWDYGSPRLERYNGVSAVEIQGEAAPGVASGDAMDEVEKLSKQLPPGFSIEWTALSYQERAAGNQTPMLYALSLIVVFLCLAALYESWSVPTAVLLVAPLGILGAVLANTMRGMERDIYFQVAMLTTVGLTSKNAILIVEFAKEHLEAGANVIDATMHAVRDRLRPIIMTSLAFGLGVLPLAIASGAGSGAQRAIGTGVLGGMVVGTFLGVFFIPLFFVVIQRLFNRNKNKESNHG ->ARGMiner~~~macA~~~WP_064056009.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_064056009.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAICDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macB~~~WP_016245857.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_016245857.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLNADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mecR1~~~WP_057521930.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_057521930.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQLYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~sdiA~~~WP_032291441.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032291441.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDKDFFSWRRTMLLRFQRMEAAEEVYHEIEFQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRSSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~FOX-2~~~WP_042037504.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-2~~~WP_042037504.1~~~cephalosporin;cephamycin~~~unknown MEKAPTRSLLVLSALLLSPLSHAKEAEPLAAVVDGAIRPLLKEHRVPGMAVAVLKEGKAHYFNYGVADRESGTRVSEQTLFEIGSVSKTLTATLGAYAAVKVGFQLEDKVSQHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSTDKMHAYYRQWTPAYPAGTYRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPIRVNPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAEVTSAIALTHTGFHSVGDMTQGLGWESYAYPVTEQTLLAGNSPAVSLKANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIGIVMLANRNYPIEARVKAAHAILSQLAL ->ARGMiner~~~cmeB~~~WP_002886249.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002886249.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGVIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLVGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~TEM-1~~~ANG11777.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG11777.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLMKHW ->ARGMiner~~~FosB3~~~WP_047529730.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_047529730.1~~~fosfomycin~~~unknown MLKSINHICFSVSNLNNSIHFYKDILCGELLLSGNKTAYFNIAGLWIALNEEKDIPRNEIQLSYTHIAFTIDENEFNYWYQRLKNNKVNILEGRVRDVRDKQSIYFTDPDGHKLELHTGTLENRLNYYKSTKPHMTFYK ->ARGMiner~~~OprN~~~WP_023089177.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_023089177.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPVYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~mdtG~~~WP_023289120.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023289120.1~~~fosfomycin~~~unknown MSSADTPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIAKKEMLSAKAVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADASQHDRHSLN ->ARGMiner~~~tolC~~~EKP41816.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EKP41816.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEVTLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRNDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~tolC~~~WP_039065184.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_039065184.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLAQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSIVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPKQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~arnA~~~WP_001484727.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001484727.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~MexB~~~WP_060738816.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_060738816.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKSVRNFLMVIGVVSRDGSMTREDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVSTAISAQNVQIASGQLGGLPAMPGQQLNATIIGKTRLQTAEQFKAILLKVNPDGSQVRVGDVADVALGGENYSINAQFNGLPASGLAVRLATGANALDTAKALRKTVDDLKPFFPQGLEVVFPYDTTPVVSESIKGVVETLVEAVVLVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFGGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRSFDRGVRSYERGVGNMLKHKAPYLLAYVIIVVGMVWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVVDNMREFLLRPSKDGGEGDGVASVFTVTGFNFAGRGQSSGLAFIMLKPWEERNADNTVFKIAGRAQQHFFTFRDAMVFAFAPPAVMELGNATGFDVFLQDRAGIGHDKLMEARNQFLGMASQSKVLAQVRPNGLNDEPQYQLEIDDEKASALGITLSEINNTLSIALGSNYVNDFIDRGRVKRVYVQGQPNSRMSPEDLQKWYVRNSAGTMVPFSAFAKGEWVYGSPKLARYNGVEAMEILGTPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRTLVEAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSIGRRKNADQDDTPETSKEAGQ ->ARGMiner~~~emrA~~~WP_032237193.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_032237193.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVSIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIISPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~MexC~~~WP_034049402.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexC~~~WP_034049402.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MADLRAIGRIGALAMAIALAGCGPAEERQEAAEMVLPVEVLTVQAEPLALSSELPGRIEPVRVAEVRARVAGIVVRKRFEEGADVKAGDLLFQIDPAPLKAAVSRAEGELARNRAVLFEAQARVRRYEPLVKIQAVSQQDFDTATADMRSAEAATRSAQADLETARLNLGYASVTAPISGRIGRALVTEGALVGQGEATLMARIQQLDPIYADFTQTAAEALRLRDALKKGTLAAGDSQALTLRVEGTPYERQGALQFADVAVDRGTGQIALRGKFANPDGVLLPGMYVRVRTPQGIDNQAILVPQRAVHRSSDGSAQVMVVGADERAESRSVGTGVMQGSRWQITEGLEPGDRVIVGGLAAVQPGVKIVPKPDGAQAQAQSPAPQQ ->ARGMiner~~~tetW~~~ACA23181.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~ACA23181.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISREKLAREEQQRVQDASLFPVYHGSAKNGLGIQPLMDAVTGLFQPIGEQGGAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYQGEIVILPSDSVRLNDVLGDQTRLPRKRWREDPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPSVIYMERPLKAASPTIHIEVPPNPFWASIGLSVTPLSLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFILYAPQEYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTSGRSVCLTELKGYQAAVGQPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~lmrB~~~CP003583.1.gene510.p01~~~lincosamide unknown +>ARGMiner~~~lmrB~~~CP003583.1.gene510.p01~~~lincosamide~~~unknown MTKQKQLLLVPAVIATGMMSFAGVLIETAMNVTFPTLIRQFDLTTAQVQWVTTIYLLMISIIVPLSTYLNRNFSLKKLFLASNLLFLAGIMIDFFSPTFMVLLFGRLLQGAATGIALPLMFHIILTFAPLNRRGAMMGVGTLTTAIAPAIGPTYGGIMTSHFTWNHIFLFLVPVLFLSLIIGWFAIPEMAVQKSGYLDWISVLGICLLFSGSLTFLSTLGTVSSWIALIIGGIGWFLFYYRSKKVEHPLIRLSILSNKTFRLFLIGFLVYQFLLLGVSFVLPNFLQIVQGSSPFVAGLAMLPGAAVGALLSPFSGKMLDQYGPKKPIFAGLILSLIGWLALFLLIGHASVGVLIACHVFYMIGIGLSYSNMMTTGMNALNEELQGDGNAMFNTLQQFSGAVATSLVAVIINYVQHHTSHNYEVSTTLGSKAALGVLLLLLLVSFARFAYYLFFAKKA ->ARGMiner~~~arnA~~~WP_001522461.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001522461.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAGLGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLIFGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~nalC~~~WP_019485706.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_019485706.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLVAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~macA~~~WP_002784303.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002784303.1~~~macrolide~~~unknown MKNRVVLIVVILALFGVGAYFIFFNDNEKITYFTQKVKKIDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGSHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLEIQKNNYYSLKASVAELNAQVIQLEITLKNAKKDLDYTTITAPIDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEIKMEIAEADVNKIKIGTELEFSLLNDPQKTYRASIASIDPADTEVSDSSSSYSSSSSSSSNAIYYYAKFYVQNKDNFLRIGMSIQNEIVIASVKDVIAVPTYAIKNDAKGYYVEILQNQKAVKKYVKFGIKDSINTQILEGVDENEELIISSSADGLVPEMKLRF ->ARGMiner~~~lsaA~~~WP_016615053.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_016615053.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQGVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGTFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLGNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~lsaA~~~WP_010817605.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010817605.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFKQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFANRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~FosA2~~~WP_032647105.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_032647105.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELQVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~MexB~~~WP_041070495.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_041070495.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNKYNLTPADVSSAISAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNQDGSQVHIGDVADVGLGGENSTISAQFNGKPSSGLAVKLANGANALDTAKALRKTIDELKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIALVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYRQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKKGFFGWFNRNFDRSVRSYERGVGNILQRKAPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVIDEMRSYLLEKEAGAVSSVFTVNGFNFAGRGQSSGLAFIMLKPWHERDSNNSVFALAQRAQQHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKVLYQVRPNGLNDEPQYHLEIDDAKARALGVSISDINSTLSISFGSSYVNDFIDRGRVKKVYVQGQAGARMSPEDLKKWYVRNSAGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGSPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSMGQRKIADPDETTETPKEAGQ ->ARGMiner~~~macB~~~WP_024547784.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024547784.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDEQVEVLKGVSLSIFAGEMVAIVGASGSGKSTLMNILGCLDKPTSGSYHVAGTDVATLDGDALARLRREHFGFIFQRYHLLSHLSAAQNVEVPAIYAGVAPKVRHQRASELLTRLGLAERIDYSPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDTHSGEEVMATLKQLRDRGHTIIIVTHDPSVAAQAERVIEIRDGEIIANPPPAPGVHDATPITDKPRHTSAWQQFIGGFKEALMMAWLAMVANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLTAIQKQPWVQSATPAVSQNLRLRYGNMDVAASANGVSGQYFNVYGMTFSEGSTFNESQLNGRAQVVILDSNSRRQLFKDKLSVVGEVVLVGNMPATVIGVAEEKQSMFGSSKVLRVWLPYTTMSGRIMGQSWLNSITVRVKDGYPSAEAEQQITRLLTLRHGKQDFFTWNMDGILKTAEKTTRTLQLFLTLVAVISLLVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLLIAFTLKLFLPGWEIGFSPVALVTAFLCSTITGVVFGWLPARNAARLNPVDALARE ->ARGMiner~~~vanXO~~~WP_026411319.1~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~WP_026411319.1~~~glycopeptide~~~unknown MNGDFVYIDDWVPGVRWDAKYATWDNFTGKPVDGYLANRIVGTRFLCTALEQVREKAASLGFGLLLWDGYRPQRAVDCFLRWSEQPEDGRTKSHHYPNIDRADMVKQGYVAAKSGHSRGGTVDLTLFHLATGELVPMGGGHDLMDPISRHQASEITPSEVQNREYLRSIMEDCGFDRYDCEWWHYTLRNEPHPDVYFDFPIT ->ARGMiner~~~MexA~~~WP_034062900.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_034062900.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGXXXLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~sul1~~~AY339985.2.gene4.p01~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~AY339985.2.gene4.p01~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMDRRLVHGETADLAPTGFGQQVREAMDQRREHHIEQRDATRNRDGRIFYRRNLLATLREREVARAGAEMAEGKALPFRAAKDGESVSGKFTGTVHLSSGKFAVVEKSHEFTLVPWRPIIDRQLGREVMGIVQGGSVSWQLGRQRGLER ->ARGMiner~~~adeB~~~WP_000987604.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987604.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSAVNTSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~novA~~~WP_056795395.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_056795395.1~~~aminocoumarin~~~unknown MKPDEPTWTPSAGDSEQPPAELRRIFRLFRPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRGFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTLQSGATAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLDVDITEPEEPVRLERIRGEIAFEDVTFGYDRKGGPTLSGIDVSVPAGHTLAVVGATGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEAAARTAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSKGRTTLTIAHRLSTVRDADEIVVLDGGRVVERGSHEELLEQGGRYAALVRRDGLPAAVPAS ->ARGMiner~~~arnA~~~WP_024155124.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_024155124.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSVLPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLDWEPSIAMRDTVEETLDFFLRSVDIAERAS ->ARGMiner~~~Escherichia coli mdfA~~~WP_053884538.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~WP_053884538.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHMLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~MexB~~~WP_061957110.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_061957110.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSNFFIERPIFAWVIALVIMLAGVLSIRSLPVTQYPAIAPPTIAISVTYPGASAQTVQDTVVQVIEQQLNGLDRLRYISSESNSDGSMTITATFEQGTNPDIAQVQVQNKLALATPLLPQEVQQQGIRVTKSVRNFLIIVGLISTNPATTREDLSNYIVSNLQDPISRTAGVGDFQVFGSQYAMRIWLDPAKLNSYQLAPLDVSTAIKAQNVQVASGQLGGLPAVQGQQLNATVIGKTRLQTAEQFGNILLKVNPDGSQVRLKDVADVGLGGQDYSINAQYNGRPASGIAIRLASGANALETVKNVRNTLNQLEPTFPPGIKVVFPYDTTPVIADSIHEVVKTLLEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEDGLGPKEAARKSMGQIQGALVGIALVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMVLSVLVALILTPALCATMLKPIEKGDHGEHKQGFFGWFNRSFIKSTQKYERGVVGILKRRAPFLIIYVLIVVAMGFLFTRIPTSFLPEEDQGVLYAQVQTPPGSSAERTQAVLDQMRNYLLNDEGKIVESLFTVNGFNFAGRGQSSGLAFILLKPFKDREGDATSVFDLTKRAQAKFSTFRDSLAFAFAPPAVQELGNATGFDFYMQDQAGLGHDALMEARNKFLGLAAKSPALQRVRPNGLNDEPQYVLDIDDERARALGVSVSDINSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPNARMNPEDLDKWFVRNDKGDMVPFSAFASGKWGYGSPKLQRYNGVPAVEILGEPAAGKSSGEAMAAVEEIMKQMPAGISYSWTGLSYEERLSGAQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALIATLARGLSNDVFFQVGLLTTVGLSAKNAILIVEFAKDLHDQGKGLVEAAVEACRMRLRPIIMTSLAFMLGVFPLAVSQGAGAGSQHAIGTGVIGGMITATVLAIFWVPLFFVVVSAIKERRRKSGSSSLETGAQA ->ARGMiner~~~mdtE~~~WP_001626199.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001626199.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLNELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQIQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWIVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~macB~~~WP_006809409.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_006809409.1~~~macrolide~~~unknown MTALLELNDIRRNYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALARLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKGLLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIRDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~arnA~~~WP_001630041.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001630041.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSVLPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQAVESRSYYGKGYQDVAHRKPSIDNARRCLDWEPSIAMRDTVEETLDFFLRSVDIAERAS ->ARGMiner~~~FosB~~~WP_045507040.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_045507040.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSHLEKSIEFYENVFHAQLLVKGQKTAYLDLNGLWLALNLEVDIPRNEIHKSYTHMAFTIEAKDFDAIHQKLKSLNVNILNGRARDKQDQKSIYFADPDGHKFEFHTGTLQDRLAYYKKDKPHMKFYI ->ARGMiner~~~tet(V)~~~WP_019346715.1~~~tetracycline unknown +>ARGMiner~~~tet(V)~~~WP_019346715.1~~~tetracycline~~~unknown MQHDTQPPVGQTGGWRVLAPFRIREYRLLIAAVTLSIFAEGMWSVVMALQVIAIDNDPASLSLVATCMGVGLVAFVLVGGITADRINQRTIIIAVETINLVTVSTIAVLGLLDLLKIWHLAVAAGILGIAAAFFFPAYSALLPRILPAEQLLAANGVEGVVRPVFQRSVGPAVAGMVIAATFPSLGAVVVAALFGAGLVLLVATRPTVDSIAAQEDNERPHVLRDLREGFAFMVRTPWLLWTLLFASIFVLVVLGPIEVLLPFIAQDRFADGARAYGFILAFFGFGSALGALTVSSRRMPRRYLTTMMAMWGLGSVPLVIVGVTSSFPLMALATFCIGVTDGAGMVIWGTLLQRRVPTEMLGRVSSLDFFVSLAFMPLSFAIVGPLSKVVSMESIFLVSGLLPAALAAVAVTAARMPRDELTHPLR ->ARGMiner~~~macB~~~WP_059238406.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059238406.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGVERKRRLARAQELLQRLGLEERVDYYPAQLSGGQQQRVSIARALMNGGQAILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPATENMNVVAGTESIVKMSSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQRQPWVASATPAVSQNLRLRYSNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNSRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFALQLFLPGWEIGFSPLALLLAFLCSTVTGVLFGWLPARNAARLDPVNALARE ->ARGMiner~~~adeG~~~WP_041222758.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_041222758.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIARRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVLVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRAAQGNARA ->ARGMiner~~~macA~~~WP_045417323.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045417323.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLALVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISESLPGAAK ->ARGMiner~~~FosB~~~WP_065223557.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_065223557.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETYIPRNEIHQSYTHIAFSVEQEDFKCLIKRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~acrF~~~WP_046598079.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~WP_046598079.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MANFFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRLKDPEEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLSPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGLFGWFNTRFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMAVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIDQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAPGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVISRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~sul1~~~WP_033548863.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_033548863.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPEASLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~Serratia marcescens Omp1~~~WP_000977914.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_000977914.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEAQLLGNGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNISGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~arnA~~~WP_001363173.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001363173.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFTYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_062893510.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_062893510.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTASGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_045441598.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_045441598.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVENLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGLAQNIWQFLVLRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADHFGLRPVFFITASVLLLCFILTLFFIRENFRPVSKKEMLHMREVVASLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALVISVLLLIPMSLVQTPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGIVLFNAIYSWNSLRRRRDAQVPG ->ARGMiner~~~Escherichia coli ampC~~~WP_001358055.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001358055.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNTLQ ->ARGMiner~~~macA~~~WP_049014695.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_049014695.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAVWGWQILNAPLPHYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTATTDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~mdtM~~~WP_001136984.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001136984.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLIIGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~sul1~~~WP_024234985.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_024234985.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPAPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~FosB~~~WP_002200773.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002200773.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENAIMFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQEDFERLLQRLEENKVHILQGRERDMRDCESIYFQDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~TEM-1~~~ANG22809.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG22809.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVIIYTTGSQATMDERNRQIAEIGASLVKHW ->ARGMiner~~~MexA~~~WP_023095117.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_023095117.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILQRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~bcrA~~~WP_002124853.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_002124853.1~~~peptide~~~unknown MPPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLLRNPKEILRRIGSIVEVPGFYENLTARENLLINAKIIGVHKRNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQDRNITILISSHILAEVEQLVDRMGIIHEGRLLEEVSLDTLRKANRKYIEFQVNNDNKAAMLLEKHFQIFDYEVHDEGNIRVYSHFGQQGHINRTLVRNDVEVLKIVMSEDRLEDYFTKLVGGGAIG ->ARGMiner~~~macB~~~WP_032639200.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032639200.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRASRAAAPKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKASVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRNLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARSSDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli ampC~~~WP_016245605.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_016245605.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWIRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINSSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~blaF~~~WP_064884317.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_064884317.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGNAAPAFATPVDDQIAELEHRDNALIGLSATNLDSGRTLTHRPDEMFAMCSTFKGYAAARVLQMVGRGQISLDNRVFVDPEALVPNSPVTETHAGSEMTLAELCQAALQRSDNTAGNLLLKTIDGPAGITAFARSIGDERTRLDRWEVELNSAIPGDPRDTSTPAALAIGYREILVGDALSPPQRRQLQDWMRANQTSSVRAGLPEGWTTADKTGSGDYGSTNDVGIAYGPNGQRLLLAVMTRSQADDPKADNLRPLIGELTALILPALL ->ARGMiner~~~pmrA~~~WP_010738119.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~WP_010738119.1~~~fluoroquinolone~~~unknown MKIQWKKNLFVAWIGCFFTGASFSLVMPFIPVYVEQLGTPSDQVELFSGLAISVTAFASAIVAPIWGNLADRKGRKLMMIRAAAGMTVTMGSLAFVPNAYWLLVMRFLNGILSGYIPNATAMIASQAPKEKSGWALGTLSTGAIAGSLIGPSMGGALAQWFGMENVFLITGVLLLVTTLLTIFLVKEDFQPVEKNDMISTKEIFAKMDHLSILIGLFVTTLILQIGITTISPILTLYIRELSGNSGNILFVSGLIVSISGVSAVFSSPKLGKLGDKIGNQKVLLAGLTLSFCCYLPMAIVATPLQLGILRFILGFSTGALMPSINTLISKITPPEGVSRIYSYNQMCSNFGQVFGPMVGSTVAHAFNYRAVFIATSLFVLSNILLSLFNFRKVLRQRF ->ARGMiner~~~macB~~~WP_001552670.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001552670.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEDVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~CBY96181.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~CBY96181.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIXENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGBVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~vanYF~~~WP_005545369.1~~~glycopeptide unknown +>ARGMiner~~~vanYF~~~WP_005545369.1~~~glycopeptide~~~unknown MKKWGFLLVFALFLVFIFNILPISKDKVDIQKYEQNDNTATENIQKIELTEEQIYHGNLLLVNNEHPVRQKSIKSDVINLFTHEELTKGYGLLDNEIKLSEEIAKKFSKMIADAKKDGVWHFLISSGFRDLDEQSRLYEEMGSDFALPAGHSEHNLGLSLDVGSTQMKMDKAPEGRWIEKNSWEYGFILRYPLDKTVVTGIHYEPWHIRYVGLPHSVIMQEMNLALEEYLDYLKEEKSISVSVDGTKYTISYYPISQNETIEVEVPANEHHEISGNNIDGVIVTSFS ->ARGMiner~~~mdtM~~~WP_032283697.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032283697.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAKER ->ARGMiner~~~macA~~~WP_063448756.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063448756.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMEMRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISESLPGAAK ->ARGMiner~~~bcr-1~~~EGI39050.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~EGI39050.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIAVLMLSLFILKETRPAALAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHVVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~lsaA~~~WP_010713140.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010713140.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~aadK~~~WP_064814315.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_064814315.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNKNIPPDNFQDYDISYFVTDMESFTESDWWLEIFGNRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPINEVEDYFAESDGLVEVLLDKDTFITNKVTPNDRQYWIKKPTAREFDDCCNEFWMVSTYVVKGLARKEILFAIDHLNEIVRPNLLRMMAWHIASQQGYSFSIGKNYKFMKRYMSNKEWEELMTTYSLNGYQQMWKSLFTCYALFRKYSKAVSESLGYKYPDYDEGITKYTESIYSSLK ->ARGMiner~~~mdtN~~~WP_044861831.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_044861831.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYKANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~APH(6)-Id~~~WP_045893453.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_045893453.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIKDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSF ->ARGMiner~~~FosB~~~WP_000943775.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000943775.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHISRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRDYESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~novA~~~WP_030341347.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030341347.1~~~aminocoumarin~~~unknown MKPDTPPWTPPPDARSVTDRQPGEVRRILRLFHPYRARLALVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEGVDFSYDEKNGPTLTGIDLTVPAGSGLAVVGSTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATAEEIETAARAAQIHDHIASLPDGYDTLVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQAAIDALSAGRTTLTIAHRLSTVRDADQIVVLEGGRIAERGTHEDLLARDGRYAALIRRDSHPVPAPAP ->ARGMiner~~~mecR1~~~WP_001587825.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_001587825.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKCIVAYIVCSISSFT ->ARGMiner~~~Escherichia coli acrA~~~WP_046597369.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_046597369.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQKNGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGNKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~arnA~~~WP_001455099.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001455099.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFPLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_061537620.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061537620.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRXGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGDTFNSVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFXIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB~~~WP_061401754.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_061401754.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGKLLVRGRKLAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMTFY ->ARGMiner~~~tolC~~~WP_000735277.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735277.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTFARTTTSNGHNPFRN ->ARGMiner~~~CTX-M-3~~~AIC64480.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64480.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTSNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~adeA~~~NC_010410.6002906.p01~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~NC_010410.6002906.p01~~~glycylcycline;tetracycline~~~unknown MDSMQKHLLLPLFLSIGLILQGCDSKEVAQAEPPPAKVSVLSIQPQSVNFSENLPARVHAFRTAEIRPQVGGIIEKVLFKQGSEVRAGQALYKINSETFEADVNSNRASLNKAEAEVARLKVQLERYEQLLPSNAVSKQEVSNAQAQYRQALADVAQMKALLARQNLNLQYATVRAPISGRIGQSFVTEGALVGQGDTNTMATIQQIDKVYVDVKQSVSEYERLQAALQSGELSANSDKTVRITNSHGQPYNVTAKMLFEDINVDPETGDVTFRIEVNNTERKLLPGMYVRVNIDRASIPQALLVPAQAIQRNISGEPQVYVINAQGTAEIRPIEIGHQYEQFYIANKGLKVGDKVVVEGIERIKPNQKLALAVWKAPAVANHASSVETKTSIAEGAQP ->ARGMiner~~~macB~~~WP_063150532.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063150532.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEPLPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIRTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSGLAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tet(C)~~~WP_047706567.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_047706567.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGAWSRATST ->ARGMiner~~~Pseudomonas aeruginosa CpxR~~~NC_008702.1.4607594.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~Pseudomonas aeruginosa CpxR~~~NC_008702.1.4607594.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MTNQPDHILIVDDDRDIRSLLADYLEKQGLRCTTAADGREMKAALERHRVDLIVLDLMLPGEDGLTLCRNLRAGGSHANTPILMLTARGEDMDRIIGLEMGADDYLPKPFVPRELYARVRAILRRARALPPNLDTQPQAQARELRFAQWRLDTVNRHLIDAQGTVVPLSGAEYRMLGVFLAHPQRVLSRDQLMELTQGREADVFDRSIDLMVSRLRQRLGDNAREPAIIKTVRNEGYVLSAEVVAGDGSLSQ ->ARGMiner~~~amrA~~~WP_011656808.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_011656808.1~~~aminoglycoside~~~unknown MNNKRSLARRLRLAPVALAAMLAVAGCGKGDKDKAPEAIQNATVVTVRPTAVPMTVELPGRLDAYRQAQVRARVAGIVTARTYEEGQEVKQGAVLFRIDPAPLKAARDAAQGALAKAQAAALAASDKRRRYDDLVRDHAVSERDHTEAVADDTRAKADVASAKAELARAQLQLDYATVTAPISGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVDALRRAVKSGHATGIAQHDVTVTLRRADGTAYPLKGKLLFSDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDAAVDQRAILVPRDALLRTAERTSVRVVGTNGKVKDVEVVADQMSGRDWRITRGLSGGERVIVDNAAQFAPDTAVKPVEQASPTKAAQPAAARQT ->ARGMiner~~~mdtP~~~WP_001455649.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001455649.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSLLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mexH~~~WP_053008119.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_053008119.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MAVHATGSGKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~macA~~~WP_017146211.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_017146211.1~~~macrolide~~~unknown MKLNGKRRKIWWLLAIVVLALAVWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALKKVDVGAQVSGQLKTLRVEIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERKLSAATLARQQQLAQRQLVSRQDLDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLSEVKNVITIPLSALGEAIGDNRYHVRLLRTGEVKEREIVIGARNDIDVAVVKGLEEGDEVIIGEGAAGAAK ->ARGMiner~~~mexY~~~WP_016253510.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_016253510.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVEARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~macB~~~WP_046618460.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_046618460.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAAKEVLPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSGLAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~CEB97148.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CEB97148.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLSLNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNAAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNVHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~tolC~~~WP_039078098.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_039078098.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDSNGVNSNATSGSLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVESLRQVTGNYYPQLASLNVDGFKTDKPQAVNTLLKDAESRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTAVSNTSYSGSRTNSAQYDDSKIGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLLALNNTLGKPISTSPENVAPENPEQEARVEKMANGQAPQTQPASVRKTTH ->ARGMiner~~~mdtH~~~WP_048269010.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_048269010.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPCVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~mdtB~~~CP004022.1.gene1673.p01~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~CP004022.1.gene1673.p01~~~aminocoumarin~~~unknown MSEKIPATGGGPSRLFILRPVATTLFMVAILLAGIVGYRMLPVSALPEVDYPTIQVVTLYPGANPEVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLMFQLTLPLDVAEQEVQAAINAATNLLPTDLPYPPIYSKVNPADPPVLTLAVTSSVLPMTQLQDMVETRISQKISQVNGVGLVSLAGGQRPAVRVKLNAQAAASYGLDSETIRVAINNANVNSAKGSLDGPTRSVTLSANDQMKSLDDYRKLIVSYKNGAPIRLADIATIEQAPENNQLGAWANNQQAIIINVQRQPGVNVIETTDNIRNLLPDLVSNLPKSVNVEILTDRTTTIRASVKDVQFELGLAIALVVMVIYLFLRNGVATLIPSIAVPLSLVGTFAVMYFCGFSVNNLTLMALTIATGFVVDDAIVVIENISRYLERGDKPLTAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARLLKPESQIKHNRFEMACERFFEKMIAVYAVWLKRVLNHQWITLGVALSTLALTVLLYLFIPKGFFPLQDNGLLQGTIETSQSISYQAMVEKQQQVVERLIDDPAVENIASFVGIDGSNATLNTGRLQITLKPLDQRDSRIDEIIPRLQARVASIAGITLYLQPTQDLTIDTQVSRTQYQFTLQATSLDELAYWVPKLSQALQESPELTDISSDWQADGMMAYINVDRDSASRLGISMSAIDNALYNAFGQRLISTIYTQANQYRVVLEQNMHNSEGLQALSAVHLTGKEGAMVPLLSIASVEQRLAPLSINHQEQFPATTFSFNVAKDASLEAAVNAVKQAEEQIAMPKDITTQFQGATLAFESALSSTLWLIIAAIVAMYIVLGVLYESFIHPITILSTLPTAGVGALLALMAAGNELDIIAIIGIILLIGIVKKNAIMMIDFALAAEREQGLSPYEAIYQACLLRFRPILMTTMAALLGALPLMLSTGVGAELRQPLGVCMVGGLIMSQILTLFTTPVIYLLFDKLSLYLNRHKRVEHDNGAVS ->ARGMiner~~~macB~~~WP_062886781.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_062886781.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGGSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanZA~~~WP_026574226.1~~~glycopeptide unknown +>ARGMiner~~~vanZA~~~WP_026574226.1~~~glycopeptide~~~unknown MGKIVSRGLLALYLVILIWLVLFKLQYNILTVFTYHHRSVNLIPFAAPSIVNGSFGEMIENVIIFIPFGLLLNVNFKNVGFLAKFALILVLSLTFEFIQFIFAIGATDITDVITNAGGGFLGLKLYGLSNTYIHNKKLDSVIIFVGILLLLLLLYYRTHLRIKYS ->ARGMiner~~~mdtM~~~WP_001741254.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001741254.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRVGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNSGRLPFHLLAVVAGVIVVFPLAGLLNRVLQHQAAELAEER ->ARGMiner~~~vanWB~~~SAM48405.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~SAM48405.1~~~glycopeptide~~~unknown MDRKRLTQRFPFPLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIRPGETFSFWWLVRHADKDTPYKDGLTVTNGKLTTMSGGGMCQMSNLLFWMFLHTPLTIIQRRGHEVKEFPEPNSDEIKGVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVFADKEPQALYKITNGSIQYVRESGGIYEYAQVKRMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEENQ ->ARGMiner~~~MexF~~~WP_009049256.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_009049256.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFISRPIFAAVLSLLILIAGSISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKITLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGTLGAPPAPSATSFQLSVNTQGRLVTEEEFENIIIRAGDNGEITRLKDIARVELGSNQYALRSLLNNQPAVAIPIFQRPGSNAIEISNEVRAKMAELKQSFPQGMDFSIVYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLNPVEATKRAMREVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKDHHAPKDRFSRLLDKLLGGWLFKPFNRFFDRASHGYVGTVRRVIRGSGIALFLYAGLMVLTWFGFAHTPMGFVPAQDKQYLVAFAQLPDAASLDRTEDVIKRMSDIALKQPGVESAVAFPGLSINGFTNSPNNGIVFVTLKPFDERKDPSMSAGAIAGALNGKYADIQEAYMAIFPPPPVQGLGTIGGFRLQVEDRSGMGYEELYKEVQNVITKSRSVPELAGLFTSYQVNVPQVDAAIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEAEQIGQLKVRNNKGEMIPLATFIKVSDTAGPDRVMHYNGFVTAEINGAAAPGYSSGQAEAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVILSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVFSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERSEARKATRALKLEAQQ ->ARGMiner~~~hmrM~~~WP_001174965.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174965.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRTMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~arnA~~~WP_001735490.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001735490.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGCAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~cepA beta-lactamase~~~WP_042986334.1~~~cephalosporin unknown +>ARGMiner~~~cepA beta-lactamase~~~WP_042986334.1~~~cephalosporin~~~unknown MQKRLIHLSIIFFLLCPALVVAQNSPLETQLKKAIEGKKAEIGIAVIIDGQDTITVNNDIHYPMMSVFKFHQALALADYMHHQKQPLKTRLLIKKSDLKPDTYSPLREIYPQGGIEMSIADLLKYTLQQSDNNACDILFNYQGGPDAVNKYLHSLGIRECAVIHTENDMHKNLEFCYQNWTTPLAAAKLLEIFRNENLFDKEYKNFIYQTMVECQTGQDRLIAPLLDKKVTMGHKTGTGDRNAKGQQIGCNDIGFILLPDGHAYSIAVFVKDSEADNRENSEIIAEISRIVYEYVTQQID ->ARGMiner~~~OXY-2-6~~~WP_023582028.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXY-2-6~~~WP_023582028.1~~~monobactam;cephalosporin;penam~~~unknown MFKKTFRHTAAIAVSLISLLASPILLANTNNTIEEQLSTLEKYGKGRLGVALINTEDNSQITYRGEERFAMASTSKVMAVAAVLKESEKQAGLLDKNITIKKSDLVVYSPITEKHLVTGMSLAQLSAATLQYSDNTAMNKILDYLGGPAKVTQFARSINDVTYRLDRKEPELNTALHGDPRDTTSPIAMAKSLQALTLGDALGLSQRQQLVTWLQGNTTGDHSIKAGLPKHWIVGDKTGSGDYGTTNDIAVIWPENHAPLILVVYFTQQEKDAKYRKDIIAKAAEIVTKPFITSPKKES ->ARGMiner~~~FosB~~~WP_004231828.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_004231828.1~~~fosfomycin~~~unknown MTIQNINHLLFSVSNLEESITFYERVFDAKLLVKGNSTAYFDVNGLWLALNVEKDIPRNDTHHSYTHIAFTITEDDFDKMYDRLVQLKVNILAGRQRDEKDKKSIYFTDPDGHKFEFHTGTLQDRLDYYKQEKQHMAFFDN ->ARGMiner~~~mdtM~~~WP_001688225.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001688225.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFPLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWMWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~mdtH~~~WP_064794419.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064794419.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIVCAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAAGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~emrB~~~WP_060617983.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_060617983.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANDIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~tet(59)~~~WP_032423104.1~~~tetracycline unknown +>ARGMiner~~~tet(59)~~~WP_032423104.1~~~tetracycline~~~unknown MNKPAVIALVITLLDAMGIGLIMPVLPSLLREYLPEADVANHYGILLALYAVMQVCFAPLLGRWSDKLGRRPVLLLSLAGAAFDYTLLALSNVLWMLYLGRIISGITGATGAVAASVVADSTAVSERTAWFGRLGAAFGAGLIAGPAIGGLAGDISPHLPFVIAAILNACTFLMVFFIFKPAVQTEEKPAEQKQESAGISFITLLKPLALLLFVFFTAQLIGQIPATVWVLFTESRFAWDSAAVGFSLAGLGAMHALFQAVVAGALAKRLSEKTIIFAGFIADATAFLLMSAITSGWMVYPVLILLAGGGIAMPALQGIISAGASAANQGKLQGVLVSLTNLTGVAGPLLFAFIFSQTQQSADGTVWLIGTALYGLLLAICLLIRKPAPVAATC ->ARGMiner~~~acrE~~~WP_044805600.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_044805600.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQDYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~mexY~~~WP_058182058.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_058182058.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVLFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~Escherichia coli ampC~~~WP_000477369.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_000477369.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~FosB3~~~gi:588293047:pdb:4NB1:A~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~gi:588293047:pdb:4NB1:A~~~fosfomycin~~~unknown MHHHHHHMLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~emrA~~~WP_040253321.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_040253321.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKGDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRLGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~mdtC~~~WP_001618699.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_001618699.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAISNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGVVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRNTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLAYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~norA~~~WP_049425590.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049425590.1~~~fluoroquinolone;acridinedye~~~unknown MRKQLFTLYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGILVASFALAQMIISPFGGGLADKLGKKLIICIGLVLFSISEFMFAVGHSFTILVISRVLGGFSAGMVMPGVTGLIADISPSQDKAKNFGYMSAIINSGFILGPGFGGFLAEVSHRLPFYFAGGLGIIAFIMSLIVIHNPKKMTTAGFPQYDPEFLTKINWKVFLTPVILTLVLAFGLSAFETLFSLYTSDKAGYTPKDISIAITGGGIFGALFQVFFFDKFMKFTTELNFIAWSLLYSAIVLVMLIIAQGYWTIMLISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFIGPLVAGTLFDVNIEFPLYMAIAVSLSGIVIIFIEKMIRTRLNRNAQ ->ARGMiner~~~MIR-16~~~WP_063622719.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-16~~~WP_063622719.1~~~monobactam;cephalosporin~~~unknown MMKKTLSCALLLSVASAAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEITLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPKWKPGTTRLYANTSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMVNMMPDSLQDYPLKHGIALAQSRYWRVGAMYQGLGWEMLNWPVDAQTVVGGSDNKVALAPLPAREVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~OpmH~~~WP_061692761.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~WP_061692761.1~~~triclosan~~~unknown MRKSLFCWILTLGVSTHVFATDLMDIYQQALENDTIFKEAYDTYMSSTEAIPQARAALYPQVGLGSQAGRNYQDAVAGAFSANQYYGSYLWQVNASQALFNYQAWAKVAQAKASVKAAQATFNDAAQNLILRTAKAYFDVLFAKDTLDFAEAKKRANKRQYDQATQRFQVDLDAITSVYEAKAAYDQSIATVIAARNNQINQSENLRKLTNHVYETLAPLKDSKIPLVKPEPNDVNQWIDTGLKQNYKLYAAKYNLEVAKDNVKAISAGNWPVFSLQSNASQVHNNASGNTVFIPSKQTQANIAIAMNFPVFQGGLVQAQTRQAQYGFQSTSEKLEQTYRDVVVNSRIAFNTITDGISKVKADRQTVISVQNSLQSTEAQFEVGTRTMVDVVNAQQRLFEAQEQLARDQYDLINSILTLKYLAGTLNVNDLEQINSWLATTRVNGFSPVDNKTSK ->ARGMiner~~~macB~~~WP_025912926.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_025912926.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGIPLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSAMAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mexH~~~WP_058131329.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058131329.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPSVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~BcI~~~WP_061675841.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_061675841.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKDDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNKLIADAAEVIVKALK ->ARGMiner~~~macB~~~WP_058820271.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_058820271.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQSVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITARVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosA2~~~AEW71950.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~AEW71950.1~~~fosfomycin~~~unknown MKAAFRRLSENGENYSVPPLRRQPPAVYGEHGVVYVHEVTVPRLAQKTKGHVMLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARGYVPPQESDYTHYAFTVAAEDFEPFSHKLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~mdtA~~~WP_044720440.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~WP_044720440.1~~~aminocoumarin~~~unknown MKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLIALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~mdtG~~~WP_062932045.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_062932045.1~~~fosfomycin~~~unknown MSPTDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMLLMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRQKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFLCFLVTLICIRENFTPVAKKEMLHARDVLASLKNPKLVLSLFVTTMIIQVATGSIAPILTLYVRDLAGNVSNIAFISGLIASVPGVAALLSAPRLGKLGDRIGPEKILICALIVSVLLLIPMAMVQSPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDLGNVTGPLVGAGISASFGFRAVFIVTAGVVLFNAVYSWLSLSRALRPARIRQHRDG ->ARGMiner~~~mdtH~~~WP_044815582.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_044815582.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALDWQFSHKRTPRRMLEPGA ->ARGMiner~~~macA~~~WP_060617235.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_060617235.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMSVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtF~~~WP_000024862.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_000024862.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLHDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVIYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~vanXB~~~WP_010815682.1~~~glycopeptide unknown +>ARGMiner~~~vanXB~~~WP_010815682.1~~~glycopeptide~~~unknown MENGFLFLDEMLHGVRWDAKYATWDNFTGKPVDGYEVNRIIGTKAVAFALREAQIHAAALGYGLLLWDGYRPRTAVDCFLRWAAQPEDKLTKEKFYPNIERAELITKGYVASQSSHSRGSAIDLTLYHLDTGELVSMGSNFDFMDERSHHTAKGIGDAEAQNRRCLRKIMESSGFQSYRFEWWHYKLIDEPYPDTYFDFAVS ->ARGMiner~~~AAC(6')-IIa~~~WP_058132760.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-IIa~~~WP_058132760.1~~~aminoglycoside~~~unknown MSANNLSITLRLMTEHDLPMLHDWLNRPHIVEWWGGKEKRPTLDDVLEHYQPGAMAEDSVIPYIAMLGEEPIGYAQSYVALGSGDGWWEDETDLGVRGIDQSLANPTQLNKGLGTKLVRALVELLFSDPAVTKIQTDPSPNNYRAIRCYEKAGFVQEKVITTPDGPAIYMVQTRQAFEGRRGVA ->ARGMiner~~~acrE~~~NC_002695.1.916015.p01~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~NC_002695.1.916015.p01~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEVRPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~FosB~~~gi:469474703:pdb:4JD1:A~~~fosfomycin unknown +>ARGMiner~~~FosB~~~gi:469474703:pdb:4JD1:A~~~fosfomycin~~~unknown MMLQGINHICFSVSNLEKSIEFYQKILQAKLLVKGRKLAYFDLNGLWIALNVEEDIPRNEIKQSYTHMAFTVTNEALDHLKEVLIQNDVNILPGRERDERDQRSLYFTDPDGHKFEFHTGTLQNRLEYYKEDKKHMTFYIAGENLYFQ ->ARGMiner~~~mgrA~~~WP_037536464.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_037536464.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLSILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTEKSEKIKPELSDASQKVANASSLSNDEVHELNRLLGKVIDAFTESK ->ARGMiner~~~msbA~~~WP_031870663.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031870663.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLGIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_032279889.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032279889.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPEKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~BcI~~~WP_063676343.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_063676343.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKCKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKALR ->ARGMiner~~~L1 beta-lactamase~~~CRQ22488.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~CRQ22488.1~~~cephalosporin~~~unknown MRHCLTTLALTATLAFDVTAAGTPLPQLQAYTVDPSWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMASHLISNMKVRDVAPQDLRLILLSHAHADHAGPVAELKRRTGAMVVANAESAVLLARGGSNDLHFGDSITFPPASTDRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLQGNVRYPRLVEDYRRSFATVRGLPCDLLLTPHPGASNWNYAAGSKASDKALSCKAYADAAEKKFDAQLAKETATAR ->ARGMiner~~~emrA~~~WP_048969082.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_048969082.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLIFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKGDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~macB~~~WP_048223926.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048223926.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIGGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_023180661.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023180661.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGVSDTSYSGSNTHGPGSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDANEAGYAVGTRTIVDVLDATTTLYEAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPVVQPTAARANSNNGNPFRH ->ARGMiner~~~mdtH~~~WP_016231666.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_016231666.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAQEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVRTPVREGMARVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGASSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPVGMVSSLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAQQPELPWMMLGIIGIITFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~tolC~~~ABB67411.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~ABB67411.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDITYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSIVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061733462.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061733462.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKVSSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~Escherichia coli ampC~~~WP_020239867.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_020239867.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSDMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~acrB~~~WP_042324453.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_042324453.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAITISASYPGADAKTVQDTVTQVIEQNMNGIDNLLYMSSTSDSSGTVQITITFDSGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVMGMISTDGSMTQEDIADYVGATVKDPVSRTSGVGDVQLFGAQYAMRIWMDPTELNKYQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVRLSDVARIELGGENYDVIAKFNGQPASGLGIKLATGANALDTANAVRATIAKLEPFFPHGLKVVYPYDTTPFVKISINEVVKTLVEAIVLVFIVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMVEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMVLSVLVAMILTPALCATMLKPVAKGDHGEGKKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAFLFVRLPSSFLPDEDQGVFLTMAQLPAGATQERTQKVLDEVTDYYLKDEKANVNSVFTVNGFGFSGRGQNTGLAFISLKNWEDRPGEENKVPAIAERASAHFAAIKDAMVFAFNLPAIVELGTATGFDFQLIDQANLGHDKLTQARNQLFGEIAKHPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGNWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRRNEDIEHSHSVEHH ->ARGMiner~~~emrK~~~WP_016244912.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_016244912.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLAVVLFIAFSGAYAYWSMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQTYKANKALVMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSITATIDTKNEDIAEMPELASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~BcI~~~WP_002127465.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002127465.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGVQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKDDLVEYSPVTEKHVDTGMTLGQIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRSGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNKLIADAAEVIVKALK ->ARGMiner~~~bcrA~~~WP_002139378.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_002139378.1~~~peptide~~~unknown MPPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLLRNPKDILRRIGSIVEVPGFYENLTARENLLINAKIIGVHKRNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQDRNITILISSHILAEVEQLVDRMGIIHEGRLLEEVSLDTLRKANRKYIEFQVNNDNKAAMLLEKHFQIFDYEVHDEGNIRVYSHFGQQGHINRTLVRNDIEVLKIVMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~mepA~~~WP_000651035.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_000651035.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLAMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSEVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061839264.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061839264.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDARVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~mecA~~~WP_050963202.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_050963202.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKIQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~mdtH~~~WP_004251378.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_004251378.1~~~fluoroquinolone~~~unknown MALVTQARTLGKYFLLLDNMLVVLGFFVVFPLISIRFVEQLGWAGVIVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIITGMLLRALGFALMALADKPWILWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLLYDFHLVCWVGAGVFVLAAIFNAWLLPAYRISTTRTPIKEGLKRVILDKRFVQYVLTLTGYFVLSVQVMLMFPIVVNEIAGTPSAVKWMYAIEALLSLTLLYPIARWSEKHFRLEQRLMAGLFLMSISMFPVGITHSLHAIFLIITLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWMYDIGKQLELPELPWFLLGSIGFITLYALHRQFNRKKIETAMLTP ->ARGMiner~~~lmrB~~~AHZ14287.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~AHZ14287.1~~~lincosamide~~~unknown MENAQPSKQYKVMPIMISLLTAGFIGMFSETALNIALTDLMKELHITPATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFIVSLAFSIIGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALLFGIAYMQNVSDITKPKIDVLSIILSTIGFGGIVFGFSNAGEGSDGWSSPTVIGSLTVGAIALILFSIRQLTMKQPMMNLRAFRYPMFVLGVVIVFICMMVILSTMLLLPMYLQSGLMLTAFTSGLILLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVITAAALWFFSNITSTSTAILIVVLHTCLMIGISMIMMPAQTNGLNQLPPEFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQKNYMSTVKNPNDPSAYSHALIAGVQHAFIFAMIVAVIGLISALFMKRVKVNHS ->ARGMiner~~~lsaA~~~WP_048943810.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_048943810.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLNYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFTPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVRPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~mdtH~~~WP_004255096.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_004255096.1~~~fluoroquinolone~~~unknown MAQVSRARSLGKYFLLLDNMLVVLGFFVVFPLISIRFVDQLGWAAVVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVIGMLLRASGFAVMAVAHDPWVLWLSCVLSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLQYDFHYVCWVGAAVFIIAAICNAWLLPAYRISTIRTPIKEGMGRVLKDKRFVTYVVTLAGYFMLSVQVMLMFPIVVNELAGTPTAVKWMYAIEAAISLTLLYPIARWSEKHFRLEQRLMAGLFLMSLSMFPIGMTTSLNTLFALICLFYLGTVTADPARETLSASLADPRARGSYMGFSRLGLALGGAVGYTGGGWMYDIGHQWNMPQLPWFLLGIIGFITLWALHKQFNRKKIETVMLSGQ ->ARGMiner~~~tetX~~~gi:326634098:pdb:2Y6R:A~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~gi:326634098:pdb:2Y6R:A~~~glycylcycline;tetracycline~~~unknown MGSSHHHHHHSSGLVPRGSHMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADAAGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~FosB~~~WP_003181080.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_003181080.1~~~fosfomycin~~~unknown MENNKILGINHLLFSVSDLSVSISFYEKVFDAKWLVKAEKTAYFDLNGIWLAFNEEKDIKRQEIHDSYTHIAFSIQQEDLPFWEKKLHDLGVNVLKGRKRNEGDRDSIYFSDPDGHKFELHTGSVFDRLQYYQNEKPHLSFHEGHIKALYDRNK ->ARGMiner~~~L1 beta-lactamase~~~WP_058982820.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_058982820.1~~~cephalosporin~~~unknown MRLCLTTLALAATLAFDVTAADEPLPQLRAYTVDSSWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMAGHLLDNMKARGVAAQDLRLILLSHAHADHAGPVAELKRRTGAKVVANAESAVLLARGGSNDLHFGDSITFPPVSTDRIIMDGEVVNVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPLRIAYADSLSAPGYQLQGNARYPRLVHDYRRSFATVRGLPCDLLLTPHPGASNWNYAAGSKASAKALTCNAYADAAEKKFDAQLAKEAAGVR ->ARGMiner~~~mdtH~~~WP_045281252.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045281252.1~~~fluoroquinolone~~~unknown MSRVSQASSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVSSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYTGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~macB~~~WP_048997630.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048997630.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_001602088.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001602088.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYEVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtF~~~WP_001472477.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001472477.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mecA~~~WP_031906491.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031906491.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYTSKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~BcI~~~WP_016512811.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_016512811.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKALK ->ARGMiner~~~MexF~~~WP_016499908.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_016499908.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFITRPIFAAVLSLVLLIAGSISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTASDVVAAIREQNRQVAAGQLGAPPAPGSTSFQLSINTQGRLVNEEEFENIIIRAGADGEITRLKDIARVELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIEISDEVRAKMAELKKDFPEGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLLAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPLEATQKAMSEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKDHHAPKDRFSRFLDKLLGSWLFSPFNRFFDRASHRYVGGVRRVIRSSGIALFVYAGLMGLTYLGFSSTPTGFVPAQDKQYLVAFAQLPDAASLDRTEAVIKRMSEIALKQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAAAIAAALNAQFADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNLGYEALYKETQNIITKSHNVPELAGLFTSYQVNVPQVDAAIDREKAKTHGVAITDIFDTLQVYLGSLYTNDFNRFGRTYQVNVQAEQQFRLDAEQIGQLKVRNNLGEMIPLATFLKVSDTSGPDRVMHYNGFITAEINGAAAPGYSSGQAEAAIEKLLKEELPNGMTFEWTDLTYQQILSGNTALLVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIVSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDEQAKGLDPLAAVLEACRLRLRPILMTSIAFIMGVVPLVFSSGAGSEMRHAMGVAVFSGMIGVTVFGLFLTPVFFFLIRRFVERRQARKAERVQVLENHA ->ARGMiner~~~lsaB~~~WP_057309929.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_057309929.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIHVQNLTFSYPSSFDPIFEDVSFQIDTDWKLGFIGRNGRGKTTFFNLLLEKYEYNGKIISSVEFNYFPYPVSDKSRFTYEIVEEICPKAEDWEFLREISYLDVDADVMYRPFETLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTNGRKMVSTYLKRKKGFILISHDRNFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEKTNERLQKDIGRLQQSSKRSANWSNQVEASKNGTTNSGSKLDKGYVGHKAAKMMKRAKNLESRQHKAIEEKSMLLKNVEKTESLQLEPLAYKSKELIQLTDVAVRYESELVNTPISFNVVAGDRVVLDGKNGSGKSSILKLILGDRLQHTGTLNVGSGLVISYVQQDTSHLKGKLADFIEENQIDEPIFKSILRKMDFDRIQFEKDLSQYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDVYSRMQVEELINSFNPTMVFVEHDQTFRGKVATKMVTL ->ARGMiner~~~mdtH~~~WP_053810568.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053810568.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAAGQPELPWLMLGVIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~BcI~~~WP_033717873.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_033717873.1~~~cephalosporin;penam~~~unknown MNLQLVFSIENLKGMMILKNKRMLKIGICVGILGLSLTSLEAFTGGALQVEAKEQTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTISYRPNERFAFASTYKALAAGVLLQQNSIDKLNEVITYTKDDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPAHKRNILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAVVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKALR ->ARGMiner~~~emrA~~~WP_040233282.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_040233282.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKNGKRKSMLLLLTLLFIIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKQGDVLVTLDQTDARQAFEKAKTALASSVRQTHQLMINSKQLQASIEVQRTALAQAQSDFNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILGSKLEDQPAVQQAATEVRNAWLALERTKIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNLWVDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAQQLAQHPLRIGLSTLVTVDTANRDGQILASQVRTTPVSESNAREINLDPVNKMIEEIVQANAG ->ARGMiner~~~MexB~~~WP_015475156.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_015475156.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLAGGLSILKLPVNQYPAIAPPAIAIQVSYPGASAETVQDTVVQVIEQQMNGLDHLRYISSESNSDGSMTITVTFDQGTSPDIAQVQVQNKLQLATPLLPQEVQQQGIRVTKAVKNFLMVVGVVSTDGGMTREDLSNYIVSNIQDPLSRTSGVGDFQVFGSQYAMRIWLDPAKLNSFQMTPGDVRAAIEAQNVQISSGQLGGLPAVKGQQLNATIIGKTRLQSAEQFKEILLKVNADGSQVRLKDVADVALGGQDYSINAQFNGKPASGIAIKLATGANALDTAKAIRSTLGTLEPFFPQGMKIVYPYDTTPVVSASIHEVVKTLGEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPREAARKSMGQIQGALVGIALVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVLVALVLTPALCATMLKPIEKGDHGEHKRGFFGWFNRMFLATTHGYERGVVSILKHRFPYLLMYVVILGGMVYLFTRIPTAFLPDEDQGVLFAQVQTPAGSSAERTQVVVDAMRSYLLEQESSAVASVFTVTGFNFAGRGQSSGMAFIMLKPWEERPGKENSVFELAHRAQEHFFTFKDAMVFAFAPPAVLELGNAVGFDIFLQDQAGVGHEVLMGARNQFLGLAERNPALQRVRPNGLNDEPQYKLLIDDEKASALGLSLADINSTLSIAWGSNYVNDFIDRGRVKKVYLQGRPNARMSPEDLDKWYVRNDKGEMVPFSAFATGEWSYGSPKLSRYNGVPAMEILGEPAMGRSTGEAMAAVEEIVKQLPKGVGYAWTGLSYEERLSGSQAPALYALSLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSMRGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKELHEQGKGIVEAAVEACRMRLRPIVMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMVTATVLAIFWVPLFYVVVSTLFKDAASKEQEATEKGH ->ARGMiner~~~novA~~~WP_032792824.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_032792824.1~~~aminocoumarin~~~unknown MKPEEPAWTPPPDAATGRPPAEVRRILRLFHPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQGFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEDVDFSYDEKNGPTLTGIDMTVPAGGSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQQAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRAAERGTHEELLDRDGRYAALIRRDAHPAPVPAP ->ARGMiner~~~novA~~~WP_041998010.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_041998010.1~~~aminocoumarin~~~unknown MKPEPSTWTPQDRAGGPGQPEPPAQLRRIFGLFRPYRGRLAVVGLLVGASSLVSVASPFMLRAILDTAIPQGRTGLLTLLALGMIVTAVVNSVFSVLQTLISTTVGQRVMHDLRTAVYGQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVIATVVAMIALDWRLTIVSMLLLPVFVWISRRVGRERKKITTHRQRQMATMAATVTESLSVSGILLGRTMGRADSLTKTFADESERLVDLEIRSNMAGRWRMSTIGIVMAAMPAVIYWVAGMALHSQGPAVSIGTLVAFVSLQQGLFRPAVSLLATGVQMQTSLALFQRIFEYLDLPVDITEPDEPVTIDRVAGEVRFADVDFAYDDKGAPTLTGIDLTVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVELDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPEATAEEIETAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSAGRTTITIAHRLSTVRDADQIVVLDAGRIAERGTHDELVARNGRYADLLRRGESADRPSDGLAAVSS ->ARGMiner~~~hmrM~~~WP_001174974.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174974.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMVVLWNAGYIIRSMQNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAAMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTISLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRAAR ->ARGMiner~~~macB~~~WP_061098715.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061098715.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLVERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIRDGELVSNPPPREARTAAAKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~iri~~~WP_029254989.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_029254989.1~~~rifamycin~~~unknown MSDVIIVGAGPTGLMLAGELRLQGVDVVVVDKDEEPTQFVRALGIHVRSIEIMEQRGLLDKFLAHGRKYPLGGFFAGISKPAPAHLDTAHGYVLGIPQPEIDRILAEHATEVGAEIQRGKRVVAIRQDADKVTAELSDGTTLHARYLVGCDGGRSTVRKLIDVGFPGEPSSADTLIGEMDVTMPADELAAVVAEIRETHKRFGVGPAGNGAFRVVVPAAEVADGRATPTTLDDIKQQLLAIAGTDFGVHSPRWLSRFGDATRLAEHYRRDRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPEGLLDTYESERRPVAADVLDNTRAQAELISTAAGPQAVRRLISELMEFEDVKRYLTEKITAISIRYDFGEGDDLLGRRLRNIALTRGNLYDLMRSGRGLLLDQGGQLSVDGWSDRADHIVDTSTELEAPAVLLRPDGHVAWVGDTQAELDTQLSTWFGRSARDRA ->ARGMiner~~~MexB~~~WP_059181498.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_059181498.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDHLRYVSSESNSDGTMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRIWLDPAKLNNFNLTPVDVKTAIAAQNVQISSGQLGGLPALPDTQLNATIIGKTRLQTAEQFNKILLKVNKDGSQVRLKDVADVGLGGENYSINAQFNGAPASGLAVKLATGANALDTATALRKTIDDLKPFFPQGMEVVFPYDTTPVVTESIKGVVHTLVEAVVLVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIAMVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRNFDRGVKRYERGVGSMLAHKAPYLLAYLIIIVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTAQRTQVVVDEMREFLLRPGKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLRPWDERNADNNVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMAARNQFLGMAAQSKILTQVRPNGLNDEPQYQLEIDDEKASALGVTIADINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGSRMSPEDLKKWYVRNSVGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGSPAPGYSTGEAMAEVEAIAAKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSIGQRKKVDQDDATETPKEAGQ ->ARGMiner~~~mdtH~~~WP_036954565.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_036954565.1~~~fluoroquinolone~~~unknown MAQVSRARSLGKYFLLLDNMLVVLGFFVVFPLISIRFVEQLGWAAVVVGFALGLRQFVQQGFGIFGGAIADRFGAKPLIIIGMLLRAAGFVLMALAYDPWVLWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLFMQDSAGAVIGALIGSWLLQYDFHYVCWAGAAVFVIAALLNAWLLPAYRISTIKAPIRDGMRRVLKDTRFVTYVITLSGYFMLSVQVMLMFPIAVNELAGTPTAVKWMYAIEAAISLTLLYPIARWSEKHFRLEQRLMAGLFLMSLSMFPIGMTTSVNILFVLIGIFYLGTITADPARETLSASLADPRARGSYMGFSRLGLALGGAVGYTGGGWMYDIGHQWNIPQLPWFLLGTIGFITLWALHRQFNRKKIETVMLSGQ ->ARGMiner~~~tolC~~~WP_063118246.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063118246.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKARGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~tolC~~~EXE73748.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EXE73748.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLLSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLKLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~OprJ~~~WP_043092439.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprJ~~~WP_043092439.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MRKPAFGVSALLIALTLGACSMAPTYERPAAPVADSWSGAAAQRQGAAIDTLDWKSFIVDAELRRLVDVALDNNRSLRQTLLDIEAARAQYRIQRADRVPGLNAAATGNRQRQPADLSAGNRSEVASSYQVGLALPEYELDLFGRVKSLTDTALQQYLASEEAARAARIALVAEVSQAYLSYDGALRRLALTRQTLVSREYSFALIDQRRAAGAATALDYQEALGLVEQARAEQERNLRQKQQAFNALVLLLGSDDAAQAIPRSPGRRPKLLQDIAPGTPSELIERRPDILAAEHRLRARNADIGAARAAFFPRISLTGSFGTSSAEMSGLFDGGSRSWSFLPTLTLPIFDGGRNRANLSLAEARKDSAVAAYEGAIQTAFREVADALAASDTLRREEKALRALANSSNEALKLAKARYESGVDNHLRYLDAQRSSFLNEIAFIDGSTQRQIALVDLFRALGGGWDEGRSLVVHRGGRS ->ARGMiner~~~tetX~~~AMP48969.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP48969.1~~~glycylcycline;tetracycline~~~unknown MTMRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKKFSDWDERYKELIRLTSSFVGLATRIFPLDKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLTNGKFNSIEEAIENYEQQMFAYGREAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~tetO~~~ACT76130.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~ACT76130.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLKEVIKISEKEKITIPEMCVPTNGELYSSDTACSGDIVIFPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~mdtH~~~WP_047062323.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047062323.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSEHRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~macA~~~WP_032424286.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032424286.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLTQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~BcI~~~WP_016110021.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_016110021.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGELQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIAMNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIVILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~macA~~~WP_001124211.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124211.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~mdtP~~~WP_001065276.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001065276.1~~~nucleoside;acridinedye~~~unknown MNNRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDTGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~mexY~~~WP_061193841.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061193841.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATSLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~tolC~~~WP_032351503.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032351503.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRELTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~nalD~~~WP_034003307.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_034003307.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTNLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~tolC~~~WP_023314865.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023314865.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGINSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDNNMGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPQQDAAVDNFTANSSTPVAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~arnA~~~WP_021569515.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021569515.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLSAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mtrR~~~WP_047924300.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~WP_047924300.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQAAGVTRGALYWHFKNKEDLFDALFQRICDDIENCIAQDAADAEGGSWAVFRHTLLHFFERLQSNDIYYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITAVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWFSSGESFDLGKTAPRIIGIMMDNLENHPCLRRK ->ARGMiner~~~TEM-1~~~ANG11664.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG11664.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGVIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLTKHW ->ARGMiner~~~mdtH~~~WP_039268355.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_039268355.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIVRWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~macA~~~WP_021437330.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_021437330.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESSERALGGPPRR ->ARGMiner~~~Serratia marcescens Omp1~~~WP_004201406.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_004201406.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVVIPALLVAGAANAAEIYNKNGNKLDFYGKMVGEHVWTTNGDTSSDDTTYARIGLKGETQINDQLTGYGQWEYNMDASNVEGSQPTKTRLAFAGLKAGEYGSFDYGRNYGAIYDVEAATDMLVEWGGDGWNYTDNYMTGRTNGVATYRNSDFFGLVDGLSFALQYQGKNDHDRSIHKQNGDGFSTAATYAFDNGIALSAGYSGSNRSVDQKADGNGDKAEAWATSAKYDANNVYAAVMYSQTYNMTPENQGNYFAGKTQNFEAVVQYQFDFGLRPSIGYVQTKGKDLQARGGFSGGDADLVKYVEVGTWYYFNKNMNVYAAYKFNQLDDNAYTREAGVATDDQAAVGIVYQF ->ARGMiner~~~arnA~~~WP_001539159.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001539159.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macA~~~WP_024230053.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_024230053.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAK ->ARGMiner~~~arnA~~~WP_001723732.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001723732.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQTGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGKMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~bcr-1~~~WP_021578120.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_021578120.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAIMGIAVLMLSLFILKETRPAAPAASDKSRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQMLFLAAGITLAVSPSHAISLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~tolC~~~WP_023309203.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023309203.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSNTPAAQPAAARTSTSASKGNNPFRN ->ARGMiner~~~CTX-M-3~~~AIC64397.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64397.1~~~cephalosporin~~~unknown MVKKSLRKFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~tet(C)~~~WP_064769584.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_064769584.1~~~tetracycline~~~unknown MLSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~sdiA~~~KLV96090.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~KLV96090.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLLRFQEMATAEDVYTELQQQTQHLEFDFYALCVRHPVPFTRPKTSLHTTYPKAWVAHYQSENYFAIDPVLKPENFSQGHLPWNDTLFRDAQPLWDAARNHGLRKGMTQCLMLPNRALGFLSVSRASIRNSRFANDEVELRMQLLVRESLSVLTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071153.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071153.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAVISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPIGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~macB~~~WP_044860626.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044860626.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtN~~~WP_047085413.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_047085413.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALSLVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~baeR~~~NC_010400.5986590.p01~~~aminoglycoside;aminocoumarin unknown +>ARGMiner~~~baeR~~~NC_010400.5986590.p01~~~aminoglycoside;aminocoumarin~~~unknown MKHIMLVEDEVELAHLVCDYLEAAGFEVSMFHDGQDAYTSFQQRKPNLMILDLMVPRMDGLTICRKVREQSDLPIIMVTARTEEIDRVLGLNMGADDYVCKPFSPKELVARVQAVLRRLERKAEPEQNDSFRIDKAQQRIWYQQKSLSLTPTEFRLLELFLEHVGQVYSRAQLLDHINPDSFDVADRVIDSHIKNLRRKITEVAETGNRHEWIQAVYGVGYRFEYPEE ->ARGMiner~~~FosB~~~WP_063217730.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_063217730.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLESSITFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRKEIHQSYTHLAFSVEQKDFERLLHRLEENNVHILQGRERKVRDCGSIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~sul1~~~AF191564.1.gene5.p01~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~AF191564.1.gene5.p01~~~sulfonamide;sulfone~~~unknown MEVAAEADAMVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~tetM~~~ADF36620.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~ADF36620.1~~~tetracycline~~~unknown MEENHMKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRKRIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYTDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~emrB~~~WP_045177011.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_045177011.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVLAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macA~~~WP_003654943.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_003654943.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIVIIAVLAWKFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEFRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTRSTAQTNIGYTRILAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQPVYFTTLGEDTKRYATLRQIEPAPDSISSESTNTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKASSTPEAAKKSQGNGARLERLNLTAEQKQLIEQGKLTLSVVRILQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~FosX~~~WP_012951695.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_012951695.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLREIFNAEEIYSSGDQTFSLSKEKFFLIAGLWICIMEGDSLQEQTYNHIAFRIQSEEVDEYIERIKALGVEMKPERPRVEGEGRSIYFYDFDNHLFELHAGTLEERLKRYHE ->ARGMiner~~~OprN~~~WP_031755887.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_031755887.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSESSRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~aadK~~~WP_041850110.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_041850110.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVESFKENDQWLEIFGKRILMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYKYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~macA~~~WP_032015253.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032015253.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDATTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSAPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVIIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~mepA~~~WP_031894914.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031894914.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVAPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~tolC~~~WP_064182545.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064182545.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNSDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~MexE~~~WP_009622695.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_009622695.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MERSLKPLRIPLALAAVLVLSACGKPQEAAQNMPAPKVSVAEVIEQPVNEWDEFTGRLEAPESVELRPRVSGYIDKVTFREGALVKKGDLLFQIDPRPFQAEVHRLEAQLAQARANQTRTANEAARGDRLRATNAISAELADARSAAATEARAQVAATQAELDNARLNLSFTQITAPIDGRVSRAEVTAGNLVNSGQSLLTTLVSTDKVYAYFDADERVYLKYVAMARAGGPDARGSTPVYLGLTGEDGFPHLGRLDFLDNQVNPKTGTIRGRAVFDNKDGLYTPGLYARIKLVGSGTYDAALIKDEAVGTDLGKKFVLVVGADKKVAYRAIELGPKLEGLRIVRSGLAKGDRIVVNGLQRVRPGAEVDAQGVPMASPDTLAALARSRQAVEDSEPPKVAEQSPGKPSRI ->ARGMiner~~~arnA~~~WP_022645909.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_022645909.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLIHDKILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKDGNILEIAQCENEATCFGRRTPEDSFLEWHKSAAVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHTSAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPVCAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGQMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVELTDKPS ->ARGMiner~~~macB~~~WP_024226778.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024226778.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPHVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRYGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP001485.1.gene721.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP001485.1.gene721.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MAHILLIDDDTELTSLLTEVLQYEGFEISQANDGEAGLAAVSDEIDLILLDVMMPKLNGMETLKRLREKWATPVLMLTAKGEEIDRVIGLELGADDYLPKPFSDRELLARIRAILRRTQNGLTPKNSDVIECQDIQVYPGKQEAYCQGQLLELTTTEFALLTHFVQSPGATLTKEMLSIEVLGKHLAAFDRAIDMHVSNLRKKLPERPDGKARIKTLRGRGYLMVQEE ->ARGMiner~~~ykkC~~~WP_014479589.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~WP_014479589.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MKWGLVVLAAVFEVVWVIGLKHADSALTWSGTAIGIIFSFYLLIKATHSLPVGTVYAVFSGLGTAGTVLSEIVLFHEPVGWPKLLLIGVLLIGVIGLKLVTQDETEEKGGEA ->ARGMiner~~~adeG~~~WP_033847639.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_033847639.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVALLATGGSFMFLHENADAKAAPTSTQQAATVDISNVISKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAQAQLASAEAQVTYTGSNLSRIQRLIQSNAVSRQELDLAQNDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFSREGTINSIDNNLDATSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRSAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQTGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASSTIPQPQPTDKTSTPAKG ->ARGMiner~~~mdtH~~~WP_053885226.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053885226.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPIIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~mdtF~~~WP_032231528.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032231528.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATREIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mdtP~~~WP_032179343.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032179343.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRQVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~macA~~~WP_033869631.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_033869631.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGNPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macA~~~WP_038154729.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_038154729.1~~~macrolide~~~unknown MNLKGKSKKVYLLLAVLIVIAGIWLWRTLNAPLPQYQTLIVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVSIGDKVKKDQLLGVIDPEQAENQIKEVDATLMELRAQLRQAQAEQKLAQVTLARQQKLAKTNLISQQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLEMTAQVHIQLSGVKNIMTIPLSALGDAVGDNRYTVRLLRNGEVKDREVSIGARNDTDVEITKGLEEGDEVITGEGKPGAAK ->ARGMiner~~~mexH~~~WP_023104661.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_023104661.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRAHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtH~~~WP_054480926.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_054480926.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIHFVEQLGWAALVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVTGMLLRALGFALIALATEPWILWLSCILSALGGTLFDPPRTALVIKLTRPHERGRFFSLLLMQDSAGAVIGALIGSWLLQYDFQLVCWTGAGVFVLAAIWNAGFLPAYRISTTRTPIWEGMGRVIKDRRFFTYVLTLTGYFMLSVQVMLMFPIIVNEIAGTPAAVKWMYAIEATLSLTLLYPIARWSEKRFRLEQRLMAGLFLMSLSMFPIGLIGEINTLFGLICLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLALGGALGYTGGGWLYDTGHALNIPQLPWFLFGIIGLITLYALHRQFNQRKIESAMLKGS ->ARGMiner~~~mdtH~~~WP_045326946.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045326946.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVMRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~mdtH~~~WP_041910529.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_041910529.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGIMTLLPLWWQFSQKRSASGMLEPGA ->ARGMiner~~~mexW~~~YP_001670703~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexW~~~YP_001670703~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol~~~unknown MAFTDPFIRRPVLASVVSLLILLLGFQAWNKLQIRQYPQMENALITVTTAYPGANAETIQGYITQPLQQSLASAEGIDYMTSVSRQNFSIISIYARIGADSDRLFTELLAKANEVRNKLPQDSEDPVLSKEAADASALMYVSFYSKEMSNPQITDYLSRVIQPKLATLPGMAEAEILGNQVFAMRLWLDPVKLAGFGLSATDVTNAVRRYNFLSAAGEVKGEYVVTSINASTELKSAEAFAALPVKTSGDSRVLLGDVARVEMGAENYDTVSSFDGTPSVYIGIKATPAANPLEVIKEVRRIMPELQSQLPSALKVSIAYDATLFIQASIDEVIKTLGEAVLIVIVVVFLFLGALRSVLIPVVTIPLSMIGVLFFMQMMGYSLNLLTLLAMVLAIGLVVDDAIVVVENIHRHMEEGKSPFDAALEGAREIAMPVVSMTITLAAVYAPIGFLTGLTGALFKEFALTLAGAVIISGIVALTLSPMMCALLLRQEQNPSGLAHRLDQLFERLKVRYQKLLHATLDSRPVVLVFAVIILCLIPVLLKFTQNELAPNEDQGVIFMMSSSPQPANLDYLNAYTDQFTPLFKAFPEYYSSFQINGFNGVQTGIGGFLLKPWNERERTQMELLPLVQAKLEEIGGLQIFGFNLPSLPGTGEGLPFQFVINTAGDYPALLEVAQRIKARAQESGKFAFLDVDLAFDKPEVVVDIDRAKAAQMGVSMDTLGGTLATLLGEAEINRFTLEGRSYKVIAQVERPYRDNPGWLNNYYVKNDQNQLLPLSTLITLTDRARPRQLNQFQQLNSAIIQGVPMVSLGEALKTVQDIAREEAPEGFAFDYAGVARQYVQEGSALWVTFGLALAIIFLVLAAQFESFRDPLVILVTVPLSICGALLPLFLGISSMNIYTQVGLVTLIGLISKHGILIVEFANQLREERGLSVREAIEEAAAIRLRPVLMTTAAMVFGMVPLILATGAGAVSRFDIGTVIATGMSIGTLFTLFVLPCIYTLLAHKAKAKEAAIA ->ARGMiner~~~tolC~~~WP_061153289.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_061153289.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDNNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQTVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDVGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPIPTSADSVAPENPQQDATADGYGNTTAAVKPASTRTTQTSSSNPFRQ ->ARGMiner~~~acrE~~~WP_032182769.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_032182769.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPHGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~lmrB~~~WP_032728901.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~WP_032728901.1~~~lincosamide~~~unknown MILETTAKASQQYKVMPIMISLLLAGFIGMFSETALNIALTDLMKELNITAATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFTVSLIFSIIGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALVFGIAYMQNVSETTKPKIDVLSIILSTIGFGGIVFGFSNAGEGSGGWSSPTVIVSLIVGVVGLILFSIRQLTMKQPMMNLRAFKYPMFILGVIMVFICMMVILSSMLLLPMYLQGGLVLTAFASGLVLLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVIVTVVLWFFSNVTTTSTAVLIIILHTCLMIGISMIMMPAQTNGLNQLPREFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQHDYMSTVKNPADPAVIPQALTAGVQHAFVFAMIVAIIGLIGAFFMKRVKVDH ->ARGMiner~~~tolC~~~WP_047499226.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047499226.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFTTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLGLTASTGVSDTSYNGSKTNTSQYNDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPIPTSPDSVAPQNPQQDAAVNDFNSNGNMPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~tolC~~~WP_059225125.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_059225125.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQALILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLTLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTRGANSAQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVISAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYTPDSPAPVVQPASVRTNTSTGKNPFRN ->ARGMiner~~~vanRO~~~WP_058921193.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_058921193.1~~~glycopeptide~~~unknown MRVLIVEDEPYLAEAIRDGLRLEAIAADVAGDGDTALRLLGVNAYDIAVLDRDVPGPSGDEIARRIVASGSGMPILMLTAADRLDDKASGFELGADDYLTKPFELRELALRLRALDRRRAHSRPPVRELAGLRLDPFRREVYRDGRFVALTRKQFAVLEVLVAAEGGVVSAEELLERAWDENADPFTNAVRITVSALRKRLGEPWVIATVPGVGYRIDAQAQTADEGGDRG ->ARGMiner~~~FosB~~~WP_060488517.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_060488517.1~~~fosfomycin~~~unknown MVKGINHLCFSVSNLEKSITFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEEFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~TEM-207~~~ANG21516.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG21516.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLMDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mgrA~~~WP_002467156.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_002467156.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEKIRPELSTASEKVATASSLSLEEVDELNRLLGKVIDAFNEAKDN ->ARGMiner~~~TEM-1~~~ANG31363.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG31363.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAALTMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtP~~~WP_021537689.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_021537689.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDSLIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRLDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mdtN~~~WP_062874226.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_062874226.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYSVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mgrA~~~WP_009383154.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_009383154.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEHLNLKEQLCFSLYNAQRQVNRYYSNHIFKKYKLTYPQFLVLSILWEESPVNVKKVVTDLALDTGTVSPLLKRMEQVDLIKRQRSEVDQREVFVHLTDKSEAMRSELQDASKKVAEASSLNKKEVKELNTLLEQIIDAFSETKS ->ARGMiner~~~mexH~~~WP_052167754.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_052167754.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPPCRSQAAEGTPR ->ARGMiner~~~amrA~~~WP_006753786.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_006753786.1~~~aminoglycoside~~~unknown MNNKRSLGRLLRLAPVALAAMLAVAGCGKGDKDKAPEAIQNATVVTVRPTAMPMTVELPGRLDAYRQAQVRARVAGIVTARTYEEGQEVKQGAVLFRIDPAPLKAARDAAQGALAKAQAAALAASDKRRRYDDLVRDHAVSERDHTEAVADDTRAKADVASAKAELARAQLQLDYATVTAPISGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVDALRRAVKSGHATGIAQHDVTVTLRRADGTAYPLKGKLLFSDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDAAVDQRAILVPRDALLRTAERTSVRVVGTNGKVKDVEVVADQMSGRDWRVTRGLSGGERVIVDNAAQFAPDTAVKPVEQASPTKAASAAAARQT ->ARGMiner~~~mdtP~~~WP_000610563.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610563.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQISETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~mexY~~~WP_023116591.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_023116591.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRGDGGAIRLRDVARVEFGQSEYGFVSRVNRMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~mdtN~~~WP_033810957.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_033810957.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGDLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~dfrA15~~~WP_064203916.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_064203916.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFTSNINYSYQIWQKG ->ARGMiner~~~Klebsiella pneumoniae OmpK36~~~WP_015706141.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK36~~~WP_015706141.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKVKVLSLLVPALLVAGAANAAEIYNKDGNKLDLYGKIDGLHYFSSDDSVDGDQTYMRIGVKGETQINDQLTGYGQWEYNVQANNTESSSDQAWTRLAFAGLKFGDAGSFDYGRNYGVVYDVTSWTDVLPEFGGDTYGSDNFLQSRANGVATYRNSDFFGLVDGLNFALQYQGKNGSVSGEDQTNNGRDFQKQNGEGFGTSVTYDIWDGISAGFAYSSSKRTDEQNNSTFVSKTDGGRYGVLGEGDHAETYTGGLKYDANNIYLATQYTQTYNATRTGNIGFANKAQNFEVVAQYQFDFGLRPSVAYLQSKGKDMGRYGDQDILKYVDLGATYYFNKNMSTYVDYKINLLDDNKFTKDASISTDNVVALGLVYQF ->ARGMiner~~~mdtN~~~WP_047663945.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_047663945.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLKEDFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPIKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~tolC~~~WP_005522583.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_005522583.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKDNDPQLLSVAAQRDAAFEAVTSSRSTLLPQINLTAGYNVNRSDQDPRESDLFSAGINFSQELYQRSSWVTLDTAEKKARQADSEYAAIQQGLILRVSKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENNLTNSYETLREITGQEYSKLAVLDTKRFAASRTTESTDALIEQAQQKNLSLLSARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDSAKGTSGEEYNDFKIGVNLSVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDIMDVNAGLKVAKK ->ARGMiner~~~MexB~~~WP_042730863.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_042730863.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKSVRNFLMVIGVVSRDGGMTREDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVRTAIAAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKNILLKVNPDGSQVRVGDVADVGLGGENYSINAQFNGAPASGLAVRLATGANALDTAKALRKTVDDLKPFFPPGMEVVFPYDTTPVVSESIKGVVETLVEAIVLVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFGGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRTFDRGVRSYERGVGNMLRHKAPFLLAYLIIVVGMVWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVVDNMREFLLRPGKDGGEGDGVASVFTVTGFNFAGRGQSSGLAFIMLKPWEERNADNTVFKISARAQQHFFTFRDAMVFAFAPPAVMELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMASQSKVLAQVRPNGLNDEPQYQLEIDDEKASALGITLSDINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMNPEDLKKWYVRNSAGTMVPFSAFAKGEWVFGSPKLARYNGVEAMEILGSPAPGYSTGEAMAEVEAIAKKLPSGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALLATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLMDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATVLAIFWVPLFFVTVSSIGRRKQADQDDTPETSKEAGQ ->ARGMiner~~~macB~~~WP_052939253.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_052939253.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKKMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVEALARE ->ARGMiner~~~macB~~~WP_032990021.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032990021.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGETDVEVLKGVTLTINADEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLNDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVGYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDAARLPAQPQDAPALGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSSEAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~norA~~~WP_052998892.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_052998892.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISQFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~macB~~~WP_045374054.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045374054.1~~~macrolide~~~unknown MTALLELREIRRSYPSGDGEVDVLKGITLSISAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRVRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSLEKGGKLRAEARAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_001512263.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001512263.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLFSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFMTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_017039215.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_017039215.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPVFISAALGAMSTSAFADTFADIYNHAKENDPQLLGAAAQRDAAFEAVTSSRSSLLPQINLTAGYNVNRSNQDGRESDKLSAGVNFSQSLYNRSSWVTLDTAEKTARRADSAYAAEQQGLILRVSSSYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENDLINSYENLREITGREHSNLNVLDTERFSASKTETPVKALVEEAEQKNLSLLTARISQDVARDNISLASSGHLPSITLDGGYSYGNDSNINGTSNSYTNNDFNIGVNLSVPLYTGGNVTSQTKQAEFAYVAASQDLEATYRSVVKNVRAFNNNISASIGALRAYEQTVVSATSALEATEAGFDVGTRTIVDVLDSTRRLYDANRNLSDARYNYIISVLQLRQAVGTLSEQDVMDVNAGLKPST ->ARGMiner~~~Escherichia coli ampC~~~WP_021539686.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_021539686.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGVLDAEAYGVKSTIEDMARWVQSNLKPLDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~acrF~~~WP_032421853.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~WP_032421853.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MSKFFIHRPVFAWVLAIIMMIAGGLAILQLPIAQYPTIAPPAVAISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFKSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLLVAGFISDNPTTTQDDISDYVASNVKDPISRLNGVGDVQLFGAQYAMRVWLDGNLLNKYNLTPVDVINALQVQNDQIAAGQLGGTPALKGQQLNASIIAQTRLKDPQEFGKVTLRVNADGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPYFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNMRATLIPTIAVPVVLLGTFAVLSMFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMVEEKLSPKEATEKSMSQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALVLTPALCATLLKPASAEHHEKKGFFGWFNARFDQSVNHYTNSVSGILRGTGRYLVIYLLIVVGMAVLFMRLPTSFLPDEDQGVFLTMIQLPSGATQERTQKVLDTVTDYYLHNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEARSGDENSVESIIKRATVAFSQIKDAMVFPFNMPAIIELGTATGFDFELIDQGGLGHTALTQARNQLLGMVKQHPDQLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDVNETISAALGGYYVNDFIDRGRVKKVYVQADAHFRMLPSDINNMYVRSANGEMVPFSAFVTSRWIYGSPRLERYNGLPSMEILGEASPGKSTGEAMALMETLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGIIEATLEASRMRLRPILMTSLAFILGVMPLVISHGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVRRRFTRHAE ->ARGMiner~~~amrA~~~YP_992988~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~YP_992988~~~aminoglycoside~~~unknown MKYEWARTRRLSAALAVAAFVAAGCGKHESEHDAAAPREASVVTVKKTSVPLSVELPGRLDAYRQAEVRAWGAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKARAAHLAALDKRRRYDELVRDRAVSERDHTEALADERQAKAAVASARAELARAQLQLDYATVTAPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVESLRRAVKSGRAAGIAQQDVEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAVARDAILVPRDALLRTADSATVKVVGQNGKIRDVTVEAAQMKGRDWIVTRGLAGGERVVVVDAAQFEAGTTVKALERGAAAQPASGAAAASAPGRRST ->ARGMiner~~~mdtN~~~WP_046123164.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_046123164.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASTMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPSTIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~MexF~~~WP_033983157.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_033983157.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPNLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~mdtM~~~WP_048241697.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_048241697.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRILAFFSQRATTLFFPIALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLFTTSMTQFLIARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKILFAIIAVMGLIALIGLMLAMPETVQRGAVPFSARGVLRDFRDVFRNRVFLFGAATLSLSYIPMMSWVAVSPVILIDAGGMTTSQFAWAQAPVFGAVIVANMVVVRFVKDPTRPRFIWRAAPIQLSGLAVLIVGNLLWPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEIGRWLWFNGGRISFHLLAVAAGIAVVFTLAGLLKRVRQHEATTLATEN ->ARGMiner~~~sdiA~~~WP_001154258.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154258.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLHFQRMEAAEEVYHEIELQAQLLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~macB~~~WP_024556062.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024556062.1~~~macrolide~~~unknown MSALLELKAIRRSYPSGEEQVEVLKGISLSIEAGEMVAIVGASGSGKSTLMNILGCLDNPTSGSYRVAGVDVSTLSGDELARLRREHFGFIFQRYHLLSHLTATQNVEVPAIYAGLGRKLRQQRARELLARLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMATLKQLRDRGHTVIIVTHDPTVAAQAERVIEIRDGEIISNPSPRKPEARAKALNEASRSSGAWQQFVSSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLQDIRSIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTAIAKQPWVRSATPALSQNVRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNEEQLKGRAQVVVLDQNARRQLFPNKASVTGEIVLVGNMPATVIGVAEEKQSMFGSSKILRAWLPYDTMAGRMMGQSWLNSITVRVNEGYDSAQAEQQLTRLLTLRHGKKDFFTMNMDGLLKTAEKTTRTLQLFLTLVAVISLLVGGIGVMNIMLVSVTERTREIGIRMAVGARAGDVLQQFLIEAVLVCLVGGALGITLSLLIAFLLQIFLPGWEIGFSPLALATAFLCSTATGVIFGWLPARNAARLNPVDALARE ->ARGMiner~~~macB~~~WP_063100554.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063100554.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATRGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~WP_001622527.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001622527.1~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAIGQNFLILMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFTGALGILAFIMSIVLIHDPKKVSTNGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~sdiA~~~WP_001154280.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154280.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPESWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMTLMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHKKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~arnA~~~WP_001583708.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001583708.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~FosB3~~~WP_044290958.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_044290958.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQSLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~macB~~~WP_000188162.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188162.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLNIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDIATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~BcI~~~WP_000438273.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_000438273.1~~~cephalosporin;penam~~~unknown MEQTCYLESLLCVRIKTGVQIGYSVLNLPLVFSIKSLKGMMILKNKRILKIGICVGILGLSLISLETITGGTLQVEAKEKTGQVKHKNHATYQEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAYASTYKALAAGVLLQQNSIDKLNEVITYTKEDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPAHKRNIFTEWMKGNATGDKLIRAGVPNDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEAAEVVINALR ->ARGMiner~~~OXA-1~~~CAC81326~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-1~~~CAC81326~~~cephalosporin;penam~~~unknown MKNTIHINFAIFLIIANIIYSSASASTDISTVASPLFEGTEGCFLLYDASTNAEIAQFNKAKCATQMAPDSTFKIALSLMAFDAEIIDQKTIFKWDKTPKGMEIWNSNHTPKTWMQFSVVWVSQEITQKIRLNKIKNYLKDFDYGNQDFSGDKERNNGLTEAWLESSLKISPEEQIQFLRKIINHNLPVKNSAIENTIENMYLQDLDNSTKLYGKTGAGFTANRTLQNGWFEGFIISKSGHKYVFVSALTGNLGSNLTSSIKAKKNAITILNTLNL ->ARGMiner~~~mdtN~~~EHP66939.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~EHP66939.1~~~nucleoside;acridinedye~~~unknown MVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~MexA~~~WP_031276119.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_031276119.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVEAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~lsaA~~~WP_002379761.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002379761.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFTPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSGFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKL ->ARGMiner~~~tolC~~~WP_038161821.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_038161821.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSAMSQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYEYSNGYRDQNGVNSNATSASLSLTQTLFDMSKWRQLSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDNVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDSFKTDKPNAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLSLSASTAVSDTSYSGSSTGGANASRYDDSNIGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNALGKPISTTPESVAPENPQQDASADGYSADNAAPAVQPAATRTTSGSKTANPFRN ->ARGMiner~~~arnA~~~EIQ74337.1~~~peptide unknown +>ARGMiner~~~arnA~~~EIQ74337.1~~~peptide~~~unknown MKAVVFAYHDMGCQGVQALLDAGYDIAAIFTHADNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERIGQLAPDMIFSFYYRHLLSDEILRLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQQRITISPDDVALTLHHKLCQSAREVLAQALPAIKAGDFQEYLQQEADATCFGRRTPEDSFLDWNNPCAQLHNMVRAVSDPWPGAFSYAGTQKFTIWSSRQSANTSAALPGTVISVSPLLIACADGALEIITGQAGDGITMQGSQLAQVLGLVAGSRLNSQPVSASKRRTRVLILGVNGFIGNHLTERLLREDNYEIYGLDIGSDAIERFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKDFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALFRIIENEGGRCDGEIINIGNPDNEASIQELAEMLLSCFEKHPLRQHFPPFAGFRDVESSSYYGKGYQDVEHRKPSIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~MexF~~~WP_003417270.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_003417270.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTDLDNAQVQVQNRVTRSEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVSEEEFENVVVRAGADGEITRLKDIARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVAATHKAMAEVTGPIIATALVLCAVFVPAAFISGLSGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRMLGSWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWMGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVQDAIAFPGLSINGFTNSPNNGVVFVTLKPFDERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAAPGYSSGQAQAAVEKLLREELPTGMIYEWTDLTYQQILSGNTALLVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARVNSQQNLPAEMH ->ARGMiner~~~Enterobacter cloacae acrA~~~CRL62373.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Enterobacter cloacae acrA~~~CRL62373.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRKNRGVLPLALLVLSGSLVLAGCDDKAKQSAGGPPPAPAVGVVTLGSQALTITTDLPGRTSAFRIAEVRPQVGGIILKRNYTEGSYVEAGTSLYQIDPALFEATLNSAQAELAKAKANAEIARLTVERYKPLLGTNYVSKQDFDAATSQYAQAVAAVRAAEAAVTSAKINLEYTKVTSPISGRSGKSTVTEGALVSMGQQVALTTVQQIDPIYVDVTQSSEDYLKLKNEIDSGAIRQEQGKPVVHLTLTNGQSYAQKGHLEFSDVTVDETTGSITMRAIVPNPKGELLPGMFVRTKLENGIRQDAILIPQQAVIRTARGDATAMVVNKDNVVEVRTIQVSQAVGNKWLVTSGVQVGERVIVSGLQKAKPKMTVTPQEENLDAKPSPEQTEPAKNPQ ->ARGMiner~~~mdtB~~~WP_024157252.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_024157252.1~~~aminocoumarin~~~unknown MQVLPPGSTGGPSRLFILRPVATTLLMAAILLAGIIGYRFLPVAALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVVTLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPIYSKVNPADPPIMTLAVTSNAMPMTQVEDMVETRVAQKISQVSGVGLVTLAGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPERAVTLSANDQMQSADEYRKLIIAYQNGAPVRLGDVATVEQGAENSWLGAWANQAPAIVMNVQRQPGANIIATADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVRDTQFELMLAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAVTLAVAILISAVVSLTLTPMMCARMLSQQSLRKQNRFSRACERMFDRVIASYGRGLAKVLNHPWLTLSVAFATLLLSVMLWIVIPKGFFPVQDNGIIQGTLQAPQSSSYASMAQRQRQVAERILQDPAVQSLTTFVGVDGANPTLNSARLQINLKPLDARDDRVQRVISRLQTAVATIPGVALYLQPTQDLTIDTQVSRTQYQFTLQATTLDALSHWVPKLQNALQSLPQLSEVSSDWQDRGLAAWVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTASTPGLAALETIRLTSRDGGTVPLSAIARIEQRFAPLSINHLDQFPVTTFSFNVPEGYSLGDAVQAILDTEKTLALPADITTQFQGSTLAFQAALGSTVWLIVAAVVAMYIVLGVLYESFIHPITILSTLPTAGVGALLALIIAGSELDIIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIFQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIAMVGGLLVSQVLTLFTTPVIYLLFDRLSLYVKSRFPRHKEEA ->ARGMiner~~~macB~~~WP_001556874.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001556874.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNIIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLMLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_042508517.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_042508517.1~~~macrolide~~~unknown MVIFIVGSLPPWMGQGLAVESASDLWDWRIQRIENMAKMMKWAAVAAVAAAVWGGWSYLKPEPQVSYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVSELKALIRQSKISINTAESELGYTRITATMDGTVVAIPVEEGQTVNAAQSAPTIIQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGVDGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEMTAAEQQESSERVMGGPPRR ->ARGMiner~~~macB~~~WP_047642376.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047642376.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARVSDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_023226297.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023226297.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDVAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKKMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFKEGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sul2~~~WP_001505252.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_001505252.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIERIAPVLDALKADGIPVSLDSYHPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~FosB3~~~WP_033567394.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_033567394.1~~~fosfomycin~~~unknown MIKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~TEM-1~~~ANG32312.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG32312.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASVIKHW ->ARGMiner~~~mdtH~~~WP_024247885.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_024247885.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKLMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~lsaA~~~WP_010823123.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010823123.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLETLILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~tolC~~~WP_008806517.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_008806517.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGVSNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPIPTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~FosX~~~WP_033919166.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_033919166.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLRGIFNAEEIYSSGDQTFSLSKEKFFLIVGLWICIMEGDSLQERTYNHIAFQIQSEEVDEYIERIKSLGVEIKPGRPRVQGEGSSIYFYDFDNHLFELHTGTLEERLKRYHE ->ARGMiner~~~tolC~~~EIQ78536.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EIQ78536.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDSNGINSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNSLLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLGLTASTGVSDTSYSGSKTNTSQYDDSNQGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNIKSALGTLNEQDLVALNNTLGKPISTAPDSVAPENTQQDAAADGYTANSAAPAVKPAAAHTSSSSNGNNPFRN ->ARGMiner~~~acrB~~~WP_004940301.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_004940301.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVIAIIVMLAGVLAIMKLPIAQYPTIAPPAVSISATYPGADAKTVQDTVTQIIEQNMNGIDNLMYMSSTSDSSGSVTITLTFESGTDPDIAQVQVQNKLSLATPLLPQEVQQQGLKVEKSSSSFLMVAGFVSDDPNMTQDDIADYVASNIKDPISRSSGVGEVQLFGAQYAMRIWLDPNKLNNYQLTTTDVTSAITEQNNQIAAGQLGGLPPVPGQQLNASIIAQTRLTSPEEFGKILLKVNTDGSQVRLRDVAHIERGAESYAVTARYNGKPAAGLGIKLATGANALNTAKGVKDELAKMAPFFPQGMKVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIPKGDHGVKTGFFGWFNRMFEKSTHHYTDSVGNILRSTGRYLIIYLLIVVGMGLLFLRLPSSFLPDEDQGILLTMVQLPAGATESRTNKVLEEVSDYFLNKEKDNVVSVFTVAGFGFNGNGQNNGLAFVSLKDWGERPGAGNKVEAIAGRAMGAFSQIKEGLVFPFNLPAIIELGTATGFDFELIDQGGLGHEKLTEARNQLLGMVAQHPDVLVGVRPNGLEDTPQFKLIVDQEKAKALGVSITTINSTLSTALGGSYVNDFIDRGRVKKVYVQAEAPFRMLPEDINKWYVRGTSGQMVPFSAFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMNLMEQLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISILVVFLCLAALYESWSVPFSVMLVLPLGVIGALLAATMRGMNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSKKNEDLEHSHPVEHH ->ARGMiner~~~emrB~~~WP_032104399.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032104399.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANVISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~pmrA~~~ZP_02721571~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~ZP_02721571~~~fluoroquinolone~~~unknown MTEINWKDNLRIAWFGNFLTGASISLVVPFMPIFVENLGVGSQQVAFYAGLAISVSAISAALFSPIWGILADKYGRKPMMIRAGLAMTITMGGLAFVPNIYWLIFLRLLNGVFAGFVPNATALIASQVPKEKSGSALGTLSTGVVAGTLTGPFIGGFIAELFGIRTVFLLVGSFLFLAAILTICFIKEDFQPVAKEKAIPTKELFTSVKYPYLLLNLFLTSFVIQFSAQSIGPILALYVRDLGQTENLLFVSGLIVSSMGFSSMMSAGVMGKLGDKVGNHRLLVVAQFYSVIIYLLCANASSPLQLGLYRFLFGLGTGALIPGVNALLSKMTPKAGISRIFAFNQVFFYLGGVVGPMAGSAVAGQFGYHAVFYATSLCVAFSCLFNLIQFRTLLKVKEI ->ARGMiner~~~OXA-10~~~CCP09090.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-10~~~CCP09090.1~~~cephalosporin;penam~~~unknown MSNVSHQEGAMKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~emrA~~~WP_021562888.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_021562888.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~mdtH~~~WP_024472963.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_024472963.1~~~fluoroquinolone~~~unknown MSLVRQARTLGKYWLLVDNLLVVLGFFVVFPLISIRFVEQLGWAGIVVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIVIGMFLRAGGFALMAMADEPWILWLSCILSAVGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVLGALLGSWLLIYDFHLVCWVGAAIFIITALCNAWLLPAYRISTTRTPVKEGLTRVLSDKRFSRYVLTLTGYFILSVQVMLMFPIIVNELAGTPAAVKWMYAIEAALSLTLLYPLARWSEKHFRLETRLMAGLFLMSLSMFPVALTHSLHVLFAIICLFYLGSVIAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWLYDLGKEMQLPELPWFLLGTIGLITLIALYRQFNPKKISPAVLN ->ARGMiner~~~macA~~~WP_032062376.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032062376.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAQTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGKSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~macA~~~CPR41603.1~~~macrolide unknown +>ARGMiner~~~macA~~~CPR41603.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGXXXXXXXXXXXXXXQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~tet(D)~~~gi:135617:sp:P28816.1:TETD_ECOLX~~~tetracycline unknown +>ARGMiner~~~tet(D)~~~gi:135617:sp:P28816.1:TETD_ECOLX~~~tetracycline~~~unknown MYIEQHSRYQNKANNIQLEYDDRQFHTTVIKDVLLWIEHNLDQSLLLDDVANKAGYTKWYFQRLFKKVTGVTLASYIRARRLTKAAVELRLTKKTILEIALKYQFDSQQSFTRRFKYIFKVTPSYYRRNKLWELEAMH ->ARGMiner~~~emrA~~~WP_038157440.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_038157440.1~~~fluoroquinolone~~~unknown MSANAEMQTPQQPASKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVSLDPTDAQQAYEKAKTQLASSVRQTRQLMINSKQLQANIDVKKTALSQAQTDLNRRIPLGSANLIGREELQHARDAVASAQAELDVAIQQYNANQAMVLSTRLEEQPAVQQAATDVRNAWLALQRTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPANNLWVDANFKETQLAHMRIGQPVTVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLAEHPLRIGLSTLVEVDTSNRDGQMLASQVRTDPVYESDAREISLDPVNKEINDIVQANAN ->ARGMiner~~~aadK~~~WP_024571810.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_024571810.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVESFKENDQWLEIFGKRIMMQKPEDMKLFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFICYALFRKYSKAVSEGLAYKYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~tolC~~~WP_032454074.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032454074.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTSKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLNASSGVSNNRYSGSNSIAQDADIGQNKIGLSFSMPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTTQSSGSNPFRQ ->ARGMiner~~~adeB~~~WP_000987621.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987621.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIILFGLLSIPKLPIARFPSVAPPQVTISAVYPGATPKTINDSVVTLIERELSGVKNLLYYSATTDTSGMAQISATFKPGTDVDMAQVDVQNKIKAVEARLPQIVRQQGLQVEATSSGFLMLVGINSPTNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNAAIRENNVEIAPGRLGDLPAEKGQLITVPLSAQGQLNSVEQFKNISLKSKTNGSVIRLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKVNLPEGMQFSIPYDTAPFVKISIEKVIHTLIEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFSVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLPPKEATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGIIYKQFTLTMSVSILFSALLALILTPALCATILKPIDEHHQKKGFFAWFDRTFDKLTKKYEIILFKVIKHTIPMMVLFIIITVATFAGMKFWPTAFMPEEDQGWFLTTFQLPSDASTERTKSVVKEFEASIQDNPDVKSNTSILGWGFGGSGQNVAVAFTTLKDFKERKSSASEMTNSINETMAHSKEGSSMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDQLMAMAAKNKKFYMVWNEGLPQGDNISLKIDRDKLNALGVKFADVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMKLQDILNLKVMGASGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGDAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWSIPLSVMLVVPLGIFGAIIAIMTKGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLVEATVAAAKLRLRPILMTSLAFTCGVVPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFVLGAAEKLFSSKQKVKS ->ARGMiner~~~MexF~~~WP_045105425.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_045105425.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALLLVFLGIIAIAVLPVSQYPAIVPPTIQVTTNYPGADAKTLIKTVALPIEQQVNGVEDMLYMQSTSTNSGNYTLIVTFAIGTDLNYAQVLVQNRVQAAMAQLPQAVQQQGVVVQQKSTAILQFITLTSEHGEYDGLFLDSYAAINMQDELARLPGVGNVIIFGSGTYAMRVWLDPKKMLAFSLTPRDVLNAISNQNKEVSAGQIASPPVVGKQSYQFTVNVPGQLANPDEFGEIIIKSVASQADEDADASSSAQVVRIRDVGRVELGSSSYSQLAKLNGKPTAAIGIFQLPGANALQVAEEVRKTVAKMAKKFPPGMKYSIPFDTTIFVKASVSEVYKTLFEAGILVLLVIVVFLQNFRATLVPATTVPVTIIGTFFAMFLMGYSINLLTLFALVLAIGIVVDDAIVIVEGVTQHIERGMAPKEASIETMRELFGPIIGITLVLMAVFVPAGFMPGLTGSMYAQFALVIAATALISAINAMTLKPTQCALWLKPIDPNKPKNIFFRTFDRFYNPIEERYVRFIGRLVNRSASACLVGIILVAVAIFGITRIPTGFIPLEDQGYLILSVLLPDGATLDRTDNILNRLTKEVSKVGGVENVIAIDGISLLDNNSSLANSGVIYIIFKDWSVRGKGEDLRTLYTKFSNIAANTTDAKVLVVVPPPIQGLGLSGGFQMQIELQDGSFDYIKLQKATDQVVKYGMLQPPLQKLMTSFRAAVPQVLAPINRVKAESLGVAVGDAFDTLQTYLGSSYVNLFTKFGQVFQVYVQADASSRMSVEDVRNYYVKNAAGDMVPLGTLTDINNTIGPALISLYNLYPSSSINGIAATGFSSGQAIQSMEDLAHKLLPAGLSFEWTSTAYQEKVAGNLSYFIFALSLVLVYMILAGQYENWFTPAAVILCVPLTLIGTVLALSSLGLDNNMYTQIGLLLLIALAAKNAILIVEVAREQRLLHNKSAIEAAVLGAKTRFRPILMTSFAFIMGVLPLVFATGAGANARRSIGIAVCSGMLASTCLAVVFVPAFYVFIQSWQDRKQSKKANALVNKKGNQDNTTSDI ->ARGMiner~~~vanXD~~~WP_023042301.1~~~glycopeptide unknown +>ARGMiner~~~vanXD~~~WP_023042301.1~~~glycopeptide~~~unknown MKKNFAFLDEMIPGIRWDAKYATWDNFTGKPVDGYMVNRVMGTKELGVALRKAQKMAEKLGYGLLLWDGYRPQCAVDCFLNWTSQPEDNLTKKRYYPNIKRNEMVAKGYVASKSSHSRGSTVDLTIFHLNSGMLVPMGGDFDYMDERSHHTASGLSEEESKNRQCLRYIMESSGFEAYRYEWWHYVLVDEPYPDTYFDFCIA ->ARGMiner~~~emrB~~~WP_023197546.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_023197546.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGGGAH ->ARGMiner~~~macA~~~WP_002244870.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002244870.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATAKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERAMGGPPRR ->ARGMiner~~~norA~~~WP_046837150.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_046837150.1~~~fluoroquinolone;acridinedye~~~unknown MNKQFVILYFNIFLVFLGIGLIVPVLPVYLKDLGLNGSDLGVLVAAFALAQMIISPFGGTLADKLGKKLIICIGLALFAISEFLFAVSHTFSLLIVSRILGGFSAGMVMPGVTGMIADLSKGKDKAKNFGYMSAIINSGFILGPGLGGFLAEFSHRLPFFVAGFSGCLALILSVVLIKNPKNETQDGFTKYQPELLTKMNWKVFLTPIILTLVLAFGLSAFETLFPLYTADKAHYSPLDISIAITGGGIFGAVFQVFFFDKFMKYFKELTFITYALIYSALILLGLTLVHSYWSIMLISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLVAGTLYDVNFEFPLYMSVVVMLLGILVIYVEKAIRAKWQKT ->ARGMiner~~~SRT-2~~~WP_013812748.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_013812748.1~~~cephalosporin~~~unknown MKRTHKLAVALLTALAIPAGHAADKADIDAIIQPLMQKYGVPGMAIAVSVEGKQQFYHYGVASKQTGKPITNQTLFEIGSLSKTFTATLAAYANDEGKLSFAEPASRYLPELRGSAFDHVSLLNLATHTSGLPLFVPDEVTNDAQLMAYYKQWQPPQPAGSTRVYSNLGIGMLGMITAKSLNQPFAQAMEQRLFPALGLTHSYIDVPTGQMANYAQGYNKKDQPVRVTPGPLDAESYGVKSNAQDLIRYLEANMQVAKVGDKWRKALDETHTGYYRAGVFTQDLMWESYPYPVALARLTEGNNAGMIMNGTPATAITPPQREQGATWFNKTGSTGGFSTYAVFLPKQKIAVVMLANKWFPNDDRVAATYRIVQALEKP ->ARGMiner~~~novA~~~WP_053728483.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_053728483.1~~~aminocoumarin~~~unknown MRPEQSTWTPPPRGTEPQEPAQVSRILGLFRPYRGRLAIVGLLVAASSLVSVASPFLLREILDVAIPQNRTGLLSLLALGMIATAVVNSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVASVVAMLALDWRLTAVSLLLLPVFVWISRRVGRERKKITTQRQKQMAAMAAEVTESLSVSGILLGRTMGRSDSLTKSFAAESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLALQTGGPSVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLPVDITEPDEPVRLTKARGAVRFEGVDFHYDDKQERPTLAGIDLTVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTIDGVDVRELDFDSLARSVGVVSQETYLFHASVAENLRFAKPEATDEELEEAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSEGRTTITIAHRLSTVRDADQIVVLDGGLIAERGTHEELLDQDGRYAALVRRDAELAPVQS ->ARGMiner~~~msrA~~~WP_031903183.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_031903183.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETVGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIYCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSLHDIT ->ARGMiner~~~macA~~~WP_058671312.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_058671312.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGIIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~macB~~~WP_000188149.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188149.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mexH~~~WP_049308068.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_049308068.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPXVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~pmrA~~~WP_010735844.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~WP_010735844.1~~~fluoroquinolone~~~unknown MKVQWRKNLFVAWIGCFFTGSSISLVMPFIPVYVEQLGTPKDQIELFSGLAISVTAFAAAIVAPIWGNLADRKGRKMMMIRAAAGMTITMGSLAFVPNAYWLLVMRFFNGILSGYIPNATAMIASQAPKEKSGWALGTLSTGAVAGTLIGPSMGGALAQWFGMENVFLITGGLLLITTILTIFMVKEDFQPVEKKDMISTKEVFAKMDHFSVLVGLFVTTLILQLGITTISPILTLYIRELSGDTSNILFVSGLIVSVSGVSAVFSSPRLGKLGDKIGNQKVLLAGLVLSFCCYLPMAFVTTPLQLGILRFILGFSTGALMPSINTLISKITPEEGVSRVYSYNQMFTNFGQVLGPMLGSTVAHAYNYSTVFIVTSLFVLTNILLSLFNFRKVLHQKL ->ARGMiner~~~Escherichia coli ampC~~~WP_012421501.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_012421501.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQMPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLTPLDINEKTLQQGIQLAQSRYWQIGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAIKPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAACQILNALQ ->ARGMiner~~~Escherichia coli ampC~~~WP_001361488.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001361488.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPLNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~mdtM~~~WP_001137010.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001137010.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFIKDPTEPRFIWRAIPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mdtE~~~WP_001082004.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001082004.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSTENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQADLNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVISPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIHPGIKARAISSSQENASTESKQ ->ARGMiner~~~FosB3~~~WP_031885529.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_031885529.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLRKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~macA~~~WP_059295021.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_059295021.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAVQ ->ARGMiner~~~MexD~~~NC_002516.2.881071.p01~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~NC_002516.2.881071.p01~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLASQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~lsaB~~~WP_028590425.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_028590425.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFEDVSFQIDTDWKLGFIGRNGRGKTTFFNLLLGKYDYSGKIISSVEFNYFPYPVSDKSRFTYEILEEICPRAEDWEFLRELSYLDMDAEAMYRPFETLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTHARKLVANYLKRKKGFILISHDRNFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEVTNERLQKDIGRLQQSSKRSANWSSQVEASKNGTTNSGSKLDKGYVGHKAAKMMKRAKSLESRQQKAIEEKSKLLKNVEKTEALQVEPLEYKSKELIQLVDVAVMYDGEFVNTPISFCVEQGDRVVLEGRNGSGKSSILKLILGQPLQHTGALSVGSGLVISYVQQDTSHLKGKLSDFIEENQVDEQLFKAILRKMDFDRIQFEKDLSHYSGGQKKRLLIAKSLCEKAHLYIWDEPLNFIDVYSRMQIEELIKNFMPTMVFVEHDQAFQEHIATKTITL ->ARGMiner~~~macB~~~WP_049000782.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049000782.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNTLGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGNERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGSTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-1~~~ANG11987.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG11987.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTERHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mefA~~~WP_049505786.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_049505786.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQYLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~MexB~~~WP_054615922.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_054615922.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSQDGSMSKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPGDVSAAISAQNVQISSGQLGGLPAMPGQQLNATIIGKTRLQTAEQFKAILLKVNKDGSQVRVGDVADVGLGGENSSIAAQFNGKPASGLAVKLANGANALDTAKALRKTIDDLKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRNFDRGLRSYERGVGNMLKHKIPYLLAYLLIIVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVIDEMRSYLLEKESGSVASVFTVNGFNFAGRGQSSGLAFIMLKPWGERNADNSVFALAGRAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMAARNQFLGMAAQSKVLYQVRPNGLNDEPQYQLEIDDEKASALGLTLSDINSTLSISFGSSYVNDFIDRGRVKKVYVQGKPGSRMSPEDLKKWYVRNSAGTMVPFSAFAKGEWVYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLASKNAILIVEFAKALHEQGKSLREAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATILAIFWVPLFFVTVSSIGQRNKADEDDANETPKEAGQ ->ARGMiner~~~macA~~~WP_025712980.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_025712980.1~~~macrolide~~~unknown MKVKGKRRTIWWLLAIVVLALAVWGWRILNAPLPHYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTATTDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYFARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVAIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~FosB~~~WP_018765467.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_018765467.1~~~fosfomycin~~~unknown MINGFNHLCFSVSDLERSIQFYETVLEGKLLVKGRKLAYFDICGVWVALNEEADIARNEIHQSYTHLAFSVKQEDFGRLLKRLEENKVHILQGRERDVRDCQSIYFIDPDGHKFEFHSGTLQDRLKYYKEAKPHMKFY ->ARGMiner~~~macA~~~WP_064785501.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_064785501.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAIIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSSTNSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEAAKKSQGNGARLQRLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~macB~~~WP_049122651.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049122651.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFTSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSAMAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_064172465.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064172465.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAIGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~sul2~~~ANH55999.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~ANH55999.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGLAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~iri~~~WP_030535072.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_030535072.1~~~rifamycin~~~unknown MSDVIIVGAGPTGLMLAGELRLQGVDVVVVDKDEEPTQFVRALGIHVRSIEIMEQRGLLDKFLAHGRKYPLGGFFAGISKPAPAHLDTAHGYVLGIPQPEIDRILAEHATKVGADIQRGKRVVAIRQDTDSVTAELSDGTTLHARYLVGCDGGRSTVRKLVDVGFPGEPSSADTLIGEMDVTMPADELAAVVAEIRETHKRFGVGPAGNGAFRVVVPAAEVADGRAVPTTLDDIKQQLLAIAGTDFGVHSPRWLSRFGDATRLAEHYRRDRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPDVLLDTYESERRPVAADVLDNTRAQAELISTAAGPQAVRRLISELMEFEDVKRYLTEKITAISIRYDFGEGDDLLGRRLRNIALTRGNLYDLMRSGRGLLLDQGSQLAVDGWSDRVDHVVDTSTELDSPAVLLRPDGHVAWVGDSQAELDTQLSTWFGRPATGPV ->ARGMiner~~~emrB~~~WP_001299241.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001299241.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQPEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~bacA~~~YP_001382798~~~peptide unknown +>ARGMiner~~~bacA~~~YP_001382798~~~peptide~~~unknown MLLILKAIIIGIVEGITEFLPVSSTGHMIIAGSLIGFDGTVYRKAYTDMFSVVIQLGAILAVVVLYWDKIISTLKNFFPSDRVPVKKCGLKFWINIVIASIPAAVIGIPFNDKIEEKLFYPLPVTIALIVGAIWMIYAENRYRNNSKVISIDDVNAKQAIIIGVFQCLALWPGMSRSASTIIGAWIVGLSTVAAAEFSFFLAIPAMIGASGMSLIKHNVFSTCSSIELIALAAGFIVSFVVALVVIDKFIAFLKKKPMKVFAIYRIVLGIVLIILIYTNIITWH ->ARGMiner~~~CRP~~~WP_010848517.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_010848517.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERTAWVRAKSACEVAEISYKKFRQLIQVNPDILMRLSAQMANRLQTTSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~macA~~~WP_003036818.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_003036818.1~~~macrolide~~~unknown MKLKGKIKKRYFLFAIILIVAVIALWRTLNAPLPQYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAEAEWKLARVTLSRQQQLAKTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLQPGQKAWFTVLGDPQTRYEGTLKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTDVKNVLTIPLSALGDPIGNNRYNVRLLRNGETREREVVIGARNDTDVEIVKGLEEGDEVITGEGNAGAAK ->ARGMiner~~~msbA~~~WP_053015382.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_053015382.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGKILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGSQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELMSKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_021562972.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_021562972.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLHLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tet(C)~~~WP_020442383.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_020442383.1~~~tetracycline~~~unknown MSTNLSVIKNPRVQSDQRRLVRRPDVKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATREWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWRNSSNSRCT ->ARGMiner~~~mdtF~~~WP_001531475.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001531475.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTPAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mdtN~~~WP_046076420.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_046076420.1~~~nucleoside;acridinedye~~~unknown MESTPKNATRNKLPALILTVAAVVALVYVIWRVDSAPATNDAYASADTVDVVPEVSGRIVELAVKDNQLVKQGDLLFRIDPRPYEASLAKAQASLTALDKQIMLTQRSVEAQQLGAAAVKTSVEKALAIVHQTSKTFQRTESLLAEGYVSDEDVDRARTAHRSAQVDYAALLLQAQSAVSGVGGVDALVAQREAVLADIALTKLHLEMATVRAPFDGRVVSLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETELNNIRAGTPATVRLMSDSGKTFEGKVDSIGYGVLPDDGGMVLGGLPRVSRSINWVRVAQRFPVKIMVDNSDPEMFRIGASAVANLEPQ ->ARGMiner~~~tolC~~~WP_001394847.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001394847.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSEFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macB~~~WP_063866263.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063866263.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTGGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIRDGELVSNPPPRQSRAAAPKEALPASGGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSVLAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~acrB~~~WP_012133513.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_012133513.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMDPTKLNNFQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSQVRLRDVARIELGGENYDIIAKFNGQPASGLGIKLATGANALDTANAIRAELKKMEPFFPSGMKIVYPYDTTPFVTISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLSAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGSHGSTKGFFGWFNNMFEKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTDYYLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGDENKVDAITGRAMGAFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQFKVDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPEDIGNWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSVEHH ->ARGMiner~~~TEM-1~~~ANG29805.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG29805.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRAALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPVMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~Enterobacter cloacae acrA~~~WP_014069159.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Enterobacter cloacae acrA~~~WP_014069159.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDEKQAQQGAHQTPEVGVVTLKSEPLQITTELPGRTSAYRVAEVRPQVSGIILKRNFTEGGDVEAGVSLYQIDPATYQAAYESAKGDLAKAEAAAKISQLTLNRYKKLLGTQYISQQDYDTALADAQQTNAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQTTALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVELVTSDGIKFPQAGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGTNPTAILVPQQGVTRTPRGDASALVVGADDKVETRNITAAQAIGDKWLVTEGLKDGDRVIVTGLQKVRPGAQVKAQEVASDTQQKAAADGQSEQTKS ->ARGMiner~~~ErmB~~~AKT73197.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~AKT73197.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNTRVTLIHQDILQFQFPNKQRYKIVGNIPYHLSTQIIKKVVFESRASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPKENSVLKKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTVTYEQVLSIFNSYLLFNGRK ->ARGMiner~~~acrB~~~gi:697351579:pdb:4U8V:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~gi:697351579:pdb:4U8V:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVNDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHHLEHHHHHH ->ARGMiner~~~norA~~~WP_061388932.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061388932.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVIPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~acrB~~~gi:146387263:pdb:2HQF:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~gi:146387263:pdb:2HQF:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAANAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHHHHHH ->ARGMiner~~~AAC(3)-Ia~~~U12338.3.gene5.p01~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~U12338.3.gene5.p01~~~aminoglycoside~~~unknown MLRSSNDVTQQGSRPKTKLGGSSMGIIRTCRLGPDQVKSMRAALDLFGREFGDVATYSQHQPDSDYLGNLLRSKTFIALAAFDQEAVVGALAAYVLPRFEQPRSEIYIYDLAVSGEHRRQGIATALINLLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREEVMHFDIDPSTAT ->ARGMiner~~~mdtH~~~WP_002950827.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_002950827.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALSLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVTGALLGSWLLQYDFRLVCATGAILFVLCAIFNAWLLPARKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~efmA~~~WP_004258246.1~~~macrolide;fluoroquinolone unknown +>ARGMiner~~~efmA~~~WP_004258246.1~~~macrolide;fluoroquinolone~~~unknown MNTSIPPNWRKNFYLFLIGQLLTGVTSMIVQYAIIWYLTLETGEESVLAVATLVGMLPMAILSPFVGPFIDRMNKKFLLIFYDAIVAVIALGLFIYGINNDVYPLWMVFVTIGIRAVAQTAQMPTVQSIMPTMVPEAEITRVNGQFGIIQSLIFIVSPGIGAFMVAALPIHWVILLDVIGFILGAGMLLLVKIPEVASQGEKISVVKDALEGFNILRENKPMWEMTLIGALFMLLFMPAMSLYPLVTTKYFGGTIVHAGWVEVLFAAAMLIGSFAVGIFGKTKDRMPWIIAAYFIVGLSIGGSGFLPGNMNGFWVFLVLNVFAGIVGQIYTTMNMAITQQSFEAQYLGRVMGIVSALMSVAGPVGLIFAAPVAESIGVQNMLVIAGFGGILAACLLYFTPSVRNYDKFLQRKLENEGQ ->ARGMiner~~~MexF~~~WP_010210597.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_010210597.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYALLNVKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAQPAPTATAFQLSVNTQGRLVTEEEFENIIIRSGDNGEITRLKDIARVELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIEISNEVRGKMEELKKSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLDPFPATEKAMSEVTGPIIATALVLCAVFIPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLRSHDAPKDRFSKILDKIFGGWLFRPFNRFFEKASHGYVGTVRRVIRGSGIALFLYAGLMVLTWFGFAHTPTGFVPAQDKQYLVAFAQLPDAASLDRTEDVIKRMSDIALKQPGVEAAVAFPGLSINGFTNSPNSGIVFVTLKPFDERKDPSMSAGAIAGALNGKYSDIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKEVQNIITKSRTTPELFGLFTSYTVNVPQVDAAIDREKAKTHGVAISDIFDTLQIYLGSLYANDFNRFGRTYQVNVQAEQQFRLDEDQIGQLKVRNNKGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGNAAPGYSSGQAEAAIEKLLKEELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIISGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRYVERSEARKAAKALKLETQQ ->ARGMiner~~~norA~~~WP_061823785.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061823785.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLITCIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~mdtB~~~WP_001197872.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_001197872.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVLPLSSIAKVEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQTIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALMIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~norB~~~WP_051999321.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_051999321.1~~~fluoroquinolone~~~unknown MTQTAYKGTNKLIIGIVFGVITFWLFAQSLVNIVPAIQKDIGISSDLLNIAISLTALFSGIFIVVAGGMADKFGRMKLTYIGLTLSIIGSLCLVLTQGATLLIIGRIIQGLSAACIMPATLSLMKTYFDGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIIFALLGMLLIKGTPESKVAQTGKKSKFDLGGLITFIIAMVSLNLVITRGSTFGWGSAITLTLIAVFLVAAALFFYIELKQSNGFIDFALFKNRAYTGATVSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRVGEKILQRIGARKPMIFGSLITATGIALTSLTFLPDTIYTVVVFIGFSLFGVGLGMYATPSTDTAISNAPEDKVGIASGIYKMASSLGGSFGIAISATIYGVITASGNINLAAMVGLLTNVAFCLASCIAVMITTPKDKKNITQRHGKIKSISPPKKAAQFPLREACVYKKHL ->ARGMiner~~~arnA~~~WP_001645328.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001645328.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADSPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLDWEPSIAMRDTVEETLDFFLRSVDIAERAS ->ARGMiner~~~EBR-2~~~WP_019976234.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~EBR-2~~~WP_019976234.1~~~carbapenem;cephalosporin;penam~~~unknown MKKIVSLLTLISVFAFGQIKPIQIDSIRNNLFVYQTFNTFQGVEYNVNAMYLVTKKGIVLFDVPWQKSQYQTLNDLLEKKYNLPVIAVFVTHSHEDRAGDLSFYNDLNIPTYASALTNTILRKEGKATSKFEIELGKTYTFGKEKFVVEYFGQGHTEDNVVVWFPKYKVLNGGCLIKGADAINLGYTGEANITEWPETMKKLVSKYPTIKQVIPGHDNWKASGHIENTFKLLENNKN ->ARGMiner~~~MexF~~~WP_063030419.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_063030419.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHITSPDQRYNMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAQPAPNDTSFQLSVNTQGRLVTEEEFENIVIRAGANGEITRLKDIARVELGSSQYALRSLIDNQPAVAIPIFQRPGSNAIDISNEVRAKMAELKQSFPEGMDYRIAYDPTVFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPFPATEKAMSEVTGPIIATALVLCAVFIPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHNAPKDGFSRFLDKMLGGWLFKPFNRFFDKASHGYVGTVRRVIRGSGIALFLYAGLMVLTWMGFAHTPTGFVPAQDKQYLVAFAQLPDAASLDRTEDVIKRMSDIAMKQPGVESAIAFPGLSINGFTNSPNNGIVFVTLKPFDERKDPSLSAGAIAGALNGKYSSIQEAYMAIFPPPPVQGLGTIGGFRLQVEDRGNLGYDELYKEVQNIITKSRGVPELFGLFTSYTVNVPQVDAAIDREKAKTHGVAISDIFDTLQIYLGSLYANDFNRFGRTYQVNVQAEQQFRQDEDQIGQLKVRNNKGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGNAAPGYSSGQAQAAIEKLLKDELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIISGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQQEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVMSSGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVERGEARKAAKALKLETQQ ->ARGMiner~~~CRP~~~WP_002212297.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_002212297.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSSQMANRLQITSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~macB~~~WP_061357412.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061357412.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRQVKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tetX~~~WP_064969375.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~WP_064969375.1~~~glycylcycline;tetracycline~~~unknown MTMRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKKFSDWDERYKELIHATLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKSDFTFQQLLNV ->ARGMiner~~~mdtE~~~WP_001080588.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001080588.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRKRILLIPLLFCGAILTACDDKSTENAAAMTPEVGVVTLSPGSVNVMSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIDATKAIGNQWVVTSGLQAGDRVIVSGLQRIHPGIKARAISSSQENASTESKQ ->ARGMiner~~~FosB~~~WP_035438154.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_035438154.1~~~fosfomycin~~~unknown MIKGMNHLCFSVSNLEESIQFYERVLEGKLLVKGRTLAYFDLCGMWVALNEEKDIPRREIYQSYTHIAFSIEKEDFTALLHRLEVNKVHILQGRERDVRDCHSIYFTDPDGHKFEFHTGTLQERLQYYKEEKPHMLFY ->ARGMiner~~~lsaB~~~WP_061884457.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_061884457.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYSVSDKNKFTHEILEEICPQAADWELLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNAGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEKATNERLQKDIGRLKQSSKRSASWSNDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELVTLVDVSVKYNDQIVNEPISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVNLGTGLIISYVEQDTSHLKGSLSGFIEEHKIDETLFKSILSKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKIFQQTVATKTISM ->ARGMiner~~~macB~~~WP_023328168.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023328168.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAESSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGGGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~acrB~~~WP_050192659.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_050192659.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATDANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~mdtH~~~WP_023894390.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023894390.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVVGALLGSWLLQYDFRQVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAVGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~MexD~~~WP_023088576.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_023088576.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFTLPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~tetX~~~WP_041915031.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~WP_041915031.1~~~glycylcycline;tetracycline~~~unknown MNLLSDKNVAIIGGGPVGLTMARLLQQNGVDVTVYERDKDRDARIFGGTLDLHRDSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILTTKNVKPENRFDNPEINRNDLRTILLNSLQNNTVIWDRKLVALEPDKEKWVLSFEDKPNETADLVIIANGGMSKVRKYVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKKFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLTNGKFNSIEEAIENYEQQMFAYGREAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~sul1~~~WP_044719974.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_044719974.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKAALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~macA~~~WP_064719478.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_064719478.1~~~macrolide~~~unknown MAFFPLKKQGKVIALILIIVVIAAYFFWPRENLPTYHTETIIRGELSKEVTATGKLDAVRKVDVGAQVSGQLQTLLVKEGDAVKKGDLLAIIDPKKAQNDVTESQETDNELRANLQQAKAELRLAQLTYQRQLKLMGTHAIAQEELDRAKTDVEVKKARIITYEAQIKKNQATLDTAKTNLQYTRITAPMDGVVTFIKTLEGQTVIAAQEAPTILTLADLDTMLVKAEVSEADVIYLKPNLSASFTVLGAPDKAFSGKLKDILPTPEKINDAIFYYARFEVPNDQHLLRLQMTAQVKILLENKANVLLIPLSALGDEESTNQYSVDVLVNGKPEKRVVKIGMRTDVYAEVLSGLNENDKVILGETSEES ->ARGMiner~~~mecA~~~WP_031861724.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031861724.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFYLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~arnA~~~WP_048215868.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_048215868.1~~~peptide~~~unknown MKAVVFAYHDMGCQGIQALMDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSDEILSLAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQKRVAISPDDVALTLHHKLCQAARHLLEEALPAIKTGDYAELSQQEAEATCFGRRTPEDSFLDWNKPTAELHNQVRAVSDPWPGAFSYVGTQKFTVWSSRVCTNDIAAQPGTVISVSPLLIACVDGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTSKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAIGRLLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEDGRCDGEIINIGNPQNEASIQELAEMLLSCFEKHPLRNHFPPFAGFRDVESSSYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~bcr-1~~~WP_052976036.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_052976036.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGVTLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~efmA~~~WP_019335328.1~~~macrolide;fluoroquinolone unknown +>ARGMiner~~~efmA~~~WP_019335328.1~~~macrolide;fluoroquinolone~~~unknown MNTSVPPNWRKNFYLFLIGQLLTGVTSMIVQYAIIWYLTLETGEESVLVIATLVGMLPMALLSPFVGPFIDRINKKFLLISYDAVVAVIALGLFIYGINNDVYPLWMVFVTIGIRAVAQTAQMPTVQSIMPTMVPEDEITRVNGQFGIIQSLIFIVSPGIGAFMVATMPIHWVILLDVIGFILGAGMLLLVRIPEVASQGEKISVIRDTLEGFKILRENKPMWKMTLIGALFMLLFMPAMSLYPLVTTKYFGGTIVHAGWVEVLFAAAMLIGSFAVGIFGKTKDRMPWIIAAYLIVGLSIGGSGFLPGNMNGFWVFLVLNVFAGIVGQIYTTMNMAITQQSFEAQYLGRVMGIVSALMSIAGPVGLIFAAPVAESIGVQNMLVIAGFGGILAAALLYCTPSVRNYDKHLQRKLENEGQ ->ARGMiner~~~macA~~~WP_040241688.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_040241688.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPSYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQVKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAMKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~msbA~~~WP_031807899.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031807899.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGKSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~tolC~~~WP_032720252.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032720252.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDAKDQNSDVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAESRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSSVSNNSYSGSKNTTQDRDIGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~tolC~~~WP_052895314.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_052895314.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGQPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~adeG~~~WP_004834356.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_004834356.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPPQPQPTDKTSTPAKG ->ARGMiner~~~Escherichia coli ampC~~~WP_059339500.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_059339500.1~~~cephalosporin;penam~~~unknown MLKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~mdtH~~~WP_024909006.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_024909006.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~sdiA~~~WP_001461118.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001461118.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFNEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~tolC~~~WP_038869774.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_038869774.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGVDTTSKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDSFKTAKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTSSAAAASRYTDSKVGQNSVGLSFSMPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTTPESVAPENPQQDAAVNNMANSGGAAPTVQPAAANRSTTGNSSNPFRQ ->ARGMiner~~~OpmH~~~WP_042238014.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~WP_042238014.1~~~triclosan~~~unknown MRKSLFCWILTLGVSTHVFATDLMDIYQQALENDTIFKEAYDTYMSSTEAIPQARAALYPQVGLGSQAGRNYQDAVAGAFSANQYYGSYLWQVNASQALFNYQAWAKVAQAKASVKAAQATFNDAAQNLILRTAKAYFDVLFAKDTLDFAEAKKRANKRQYDQATQRFQVGLDAITSVYEAKAAYDQSIATVIAARNNQINQSENLRKLTNHVYETLAPLKDSKIPLVKPEPNDVNQWIDTGLKQNYKLYAAKYNLEVAKDNVKAISAGNWPVFSLQSNASQVHNNASGNNVFIPSKQTQANIAIAMNFPVFQGGLVQAQTRQAQYGFQSTSEKLEQTYRDVIVNSRIAFNTITDGISKVKADRQTVISVQNSLQSTEAQFEVGTRTMVDVVNAQQRLFEAQEQLARDQYDLINSILTLKYLAGTLNVNDLEQINSWLATTRVNGFSPVDNKTSK ->ARGMiner~~~macB~~~WP_000188156.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188156.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYNLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexF~~~WP_023104400.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_023104400.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTNLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~tetS~~~ACA42497~~~tetracycline unknown +>ARGMiner~~~tetS~~~ACA42497~~~tetracycline~~~unknown MEEIKLKIINIGILAHVDAGKTTLTESLLYSSGAIKELGSVDSGTTKTDTMFLERQRGITIQTAITSFQRENVKVNIVDTPGHMDFLADVYRSLSVLDGAILLISAKDGVQSQTRILFHALRKMNIPIIFFINKIDQNGINLPDVYQDIKDKLSDDIIIKQTVNLNLKPYVIDYTEPEQWETVIVGNDYLLEKYTIGKTLNIAELEKEENERIQSCSLYPVYHGSAKNNIGIKQLIEVITSKLFSPTQLNSDKLCGNVFKVEYSDDGQRLVYVRLYSGTLHLRDSVNISEKEKIKVTEMYTSINGELRQIDKAEPGEIIILKNELLKLNNVLGDKKRLPHREILENPLPMLQTTIEPCKSVQREKLLDALFEISDSDPLLQYYVDTVTHEIVLSFLGEVQMEVTCTLIQEKYHIEIETRKPTVIYMERPLKKSEFTIDIEVPPNPFWASIGLSVTPLPLGSGIQYESLVSLGYLNQSFQNAVMEGIRYGCEQGLYGWKLTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQAFRKSGTELLEPYLSFEIYVPQEYLSRAYNDASKYCANILNTKLKGNEVILIGEIPARCIQEYRNSLTFFTNGRSVCLTELKGYQVTNIKSAFQPRRPNNRIDKVRHMFNKINLH ->ARGMiner~~~adeG~~~WP_031996802.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_031996802.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGSQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPQPQPTDKTSTPAKG ->ARGMiner~~~OXA-23~~~SBS23848.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-23~~~SBS23848.1~~~cephalosporin;penam~~~unknown MSYLFLSCTELFSIDLVFKMNKYFTCYVVASLFLSGCTVQHNLINETPSQIVQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKVSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~mdtP~~~WP_001705606.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001705606.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFPQRAAEAAYQRGLTSRLQATEAQLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~MexE~~~WP_031636099.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_031636099.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGERIVVNGLQRVRPGMQVEPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~mdtH~~~WP_059341719.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_059341719.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLEPDA ->ARGMiner~~~CTX-M-3~~~AIC64453.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64453.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRLDVLASAAKIVTDGL ->ARGMiner~~~mdtN~~~WP_016233732.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_016233732.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASSVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~abeM~~~ELX07817.1~~~fluoroquinolone;acridinedye;triclosan unknown +>ARGMiner~~~abeM~~~ELX07817.1~~~fluoroquinolone;acridinedye;triclosan~~~unknown MLPILITQFAQAGFGLIDTIMAGHLSAADLAAIAVGVGLWIPVMLLFSGIMIATTPLVAEAKGARNTEQIPVIVRQSLWVAVILGVLAMLILQLMPFFLHVFGVPESLQPKASLFLHAIGLGMPAVTMYAALRGYSEALGHPRPVTVISLLALVVLIPLNMIFMYGLGPIPALGSAGCGFATSILQWLMLITLAGYIYKASAYRNTSIFNRFDKINLTWVKRILQLGLPIGLAVFFEVSIFSTGALVLSPLGEVFIAAHQVAISVTSVLFMIPLSLAIALTIRVGTYYGEKNWASMYQVQKIGLSTAVFFALLTMSFIALGREQIVSVYTQDINVVPVAMYLLWFAMAYQLMDALQVSAAGCLRGMQDTQAPMWITLMAYWVIAFPIGLYLARYTDWGVAGVWLGLIIGLSIACVLLLSRLYLNTKRLSQT ->ARGMiner~~~tolC~~~EEG86727.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EEG86727.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MALWRQLNIQEKTAGMSDVTYQTSQQKLILDTATAYFDVLRAIDSLSFIEAQKEQVYRQLDQTTQRFNVGLVAITDVQNARANYDSVLAQEVVGRNQLDNALEKLRQVSGIYYINLASLNISRFSTTSPDSIEKLLKDAEERNLSLLSARLGQDLARENIRLAQSGHLPTVDLNASTGVSNSHSHGSALPPVTPNTSRNSYSGQNSIGLSVSIPLYTGGRTSSQVEQAQYGFTSASEQLESVYRSIVQIARSSYNNISASISSIKAYQQVVVSAQSSLDATEAGYQVGTRTIVDVLNATTTLYDAKQKLSNARYDYLINQLNIEYARGTLNENDLIQLNNTLGAEVSTSPDSIIRSLTSPALNVAP ->ARGMiner~~~mdtM~~~WP_032185824.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032185824.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLSLLIVGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELVEER ->ARGMiner~~~tolC~~~WP_001458260.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001458260.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGSSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mexY~~~WP_058177447.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_058177447.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYTLVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPESIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~otr(B)~~~WP_015482765.1~~~tetracycline unknown +>ARGMiner~~~otr(B)~~~WP_015482765.1~~~tetracycline~~~unknown MDTTTAKQASTKFVVLGLLLGILMSAMDNTIVATAMGNIVADLGSFDKFAWVTASYMVAVMAGMPIYGKLSDMYGRKRFFLFGLIFFLIGSALCGIAQTMNQLIIFRAIQGIGGGALLPIAFTIIFDLFPPEKRGKMSGMFGAVFGLSSVLGPLLGAIITDSISWHWVFYINVPIGALSLFFIMRYYKESLEHRKQKIDWGGAITLVVSIVCLMFALELGGKTYDWNSIQIIGLFIVFAVFFIAFFIVERKAEEPIISFWMFKNRLFATAQILAFLYGGTFIILAVFIPIFVQAVYGSSATSAGFILTPMMIGSVIGSMIGGIFQTKASFRNLMLISVIAFFIGMLLLSNMTPDTARVWLTVFMMISGFGVGFNFSLLPAASMNDLEPRFRGTANSTNSFLRSLGMTLGVTIFGTVQTNVFTNKLTDSFSGMKGSAGSGAAQHIGDPQEIFQAGTRSQIPDAILNRIIDAMSSSITYVFLLALIPIVLAAGTLVFMGKDRVKTTAEMTKKAN ->ARGMiner~~~MexE~~~WP_042170191.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_042170191.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSDPPKVAATKDNATRNEPRG ->ARGMiner~~~nalC~~~WP_058168418.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_058168418.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIARMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMTLLETRLKLSVDIIACYLEHLSQSPAQG ->ARGMiner~~~sdiA~~~WP_033145891.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_033145891.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCSLRFQELTCVDEVYQELQRQTQTLEFDCYSLCVRHPVPFTRPKISVHTTYPKQWMAQYQSANYFAIDPVLKPENFIQGHLPWTDALFADAQELWHSAQDHGLREGITQCLMLPNHALGFLSVSRTSVLEGPFAREEIELRLQMLVQMALTSLVRFEDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mdtH~~~WP_000092187.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092187.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIPPQQRGRFFSLLMMQDSASAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~mdtE~~~WP_029396991.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_029396991.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGNQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~mdtE~~~WP_021576552.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_021576552.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYGQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHDAQGKATALILDKNDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~emrA~~~WP_038988940.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_038988940.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQVMILGTKLEDQPAVQQAATEVRNAWLALERTRIISPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~cmeA~~~WP_002843091.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002843091.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLFTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIENKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYASASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQNGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~emrB~~~WP_001187301.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001187301.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPSERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESITAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~TEM-1~~~ANG10023.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG10023.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAILSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~Escherichia coli ampC~~~WP_025269906.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_025269906.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNEITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~arnA~~~YP_670193~~~peptide unknown +>ARGMiner~~~arnA~~~YP_670193~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKSASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLCEDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPL ->ARGMiner~~~mecR1~~~WP_064289379.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_064289379.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKVIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~macB~~~WP_001721241.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001721241.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSFGTNTIDVYPGKDFGDDEPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB~~~WP_061884085.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_061884085.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRELAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIKRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~sul2~~~WP_014386805.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_014386805.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAASFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~mdtH~~~WP_063812910.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063812910.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMAGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAVGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macB~~~WP_015367496.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_015367496.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGEVDVLKGITLSIAAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVVHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRIRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSPEKGGVLRAESRAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_032711388.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032711388.1~~~fosfomycin~~~unknown MSSADTPINWKRNLSVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAVASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQIPRQKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTATVLFICFLFTLFLIRENFVPVNKKEMLNARDVFGSLKNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALLSAPRLGKLGDRIGPEKILIVALVISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTACVVLFNAFYSTISLRRPRHDASADDGGSGKRSVN ->ARGMiner~~~macA~~~WP_032674454.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032674454.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGEKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISESLPGAAK ->ARGMiner~~~tolC~~~WP_057554965.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_057554965.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSNRDSRDSDTLSAGVGFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLSVLDTKRFAASRTTESSEALIEKAQQQNLSLLTARISQDVARDNISLASSGHLPSLTLNGDYNYADNRNSHASNPSDYNDFKIGVNLKVPLYTGGKTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~mdtN~~~WP_061361130.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_061361130.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRATEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~acrF~~~WP_023200713.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~WP_023200713.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MANFFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLIFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRLKDPEEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLSPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGFFGWFNTRFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMAVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIDQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAPGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVISRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~mdtH~~~WP_023343750.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023343750.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLHDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~pmrA~~~WP_002329062.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~WP_002329062.1~~~fluoroquinolone~~~unknown MEINWRKNLVIAWIGCFFTGASISLVMPFIPVYVEQLGTPKDQIELFSGLAISVTAFASAVVAPIWGNLADRKGRKLMMIRAAAGMTLTMGSLAFVPNAYWLLIMRFWNGILSGYIPNATAMIASQAPREKSGWALGTLSTGAIAGNLIGPSMGGALAEWFGMENVFLITGALLMITTVLTIFLVKEDFHPIEKKDLISTKEIFSKMDHLSILIGLFITTLILQIGITTISPILTLYIRELSGSTENILFVSGLIVSIAGVSAVFSSPKLGKLGDKIGNQKVLIGGLILSFCCYLPMAFVTTPLQLGILRFILGFSTGALMPSVNTLISKITPQEGVSRIYSYNQMFSNFGQVLGPMLGSTVAHAYNYSAVFIVTSLFVLSNILLSLFNFRKVLRSRL ->ARGMiner~~~mdtG~~~WP_032229737.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032229737.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTSAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~macB~~~WP_058676869.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_058676869.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEPLPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSGLAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_024232179.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_024232179.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMILGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~sdiA~~~WP_045444278.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_045444278.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDHDFFTWRRSMLLRFQEMATAEDVYNELQHQTQHLEFDFYALCVRHPVPFTRPKTALHTTYPKAWVAHYQSENYFAIDPVLKPENFSQGHLPWNDTLFRDAQPLWDAARNHGLRKGITQCLMLPNRALGFLSVSRASVRNSSFEQDEVELRMQLLIRESLSVLTRLEDDMVMTPEMRFSKREKEILKWTAEGKTSSEIAMILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mdtH~~~WP_058684530.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_058684530.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGALLFILCALFNALFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALSQPEMPWVMLGMVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~MexB~~~WP_050820513.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_050820513.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MAKFFIDRPVFAWVIAIVLMMAGALSILSLPVAQYPNIAPPAISINVTYPGASAQTVQDTVVQVIEQQMNGIDNLQYISSESNSDGSMSITLTFSQGTNPDTAQVQVQNKLAVAQPLLPLEVQQQGIRVTKATKNFLIVAGFVSTDGTMDKSDLADYVASYIQDPISRTPGVGNFQLFGAPYAMRIWISPEKLVNFGLTASDVTTAIREQNVQVSSGQLGGQPAVRGQQLNATVIGPSRLQTPEAFGRILLKVNRDGSQVRLKDVASIELGAQTYAIDSYYNGKPASGLAIKLASGANALDTAQAVRETINGLKPYFPPGMDVVYPYDTTPFVSLSIEGVVKTLFEAVLLVFLVMYLFLQNVRATLIPTLAVPVVLLGTFGVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPRQATRKSMGQITGALIGIAMVLAAGFVPMAFFGGSTGVIYRQFSITIVSSMVLSVVVAIVFTPALCATMLKPIPKGHHGSKKGFFGWFNRTFERSSQAYANNVARSMGRTKRLLLIYVVILLGMAWMFMRIPTAFLPDEDQGILFAQVQAPAGATSERTQATIDAAVKYLLEDEKESVASVFAVNGFSFGGRGQNAAILFIKLRDWNERKGAEHRAAAVAARANAKFRAELRDAQAAVFAPPAVMELGNATGFDFQLQDRAGVGHEKLLAARNQLLQEAGASPMLVAVRPNGIEDAPQYQLDIDREKARALGVSITEINNTLATAWGSSYVNDFIDRGRVKKVFVQGEASSRMLPQDLDKWYVRNNAGDMVPFSAFSSAQWTFGPQKLNRYNGVPSYNIQGQAAPGYSSGDAMAEMERLADKLPLGIGYDWTGLSFEERLSGAQAPALYAISLIVVFLCLAALYESWSIPTAVMLVVPLGVVGALLATMTRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKEHYEEGASLTEAAVHAARQRLRPILMTSLAFILGVVPLAISTGAGSGSQNAIGTGVIGGMLTGTFLAIFFVPLFFVLTLRLFKVKRASENREDDDPHGGAQPATHGGQPQ ->ARGMiner~~~FosA2~~~WP_022646914.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_022646914.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQKSDYTHYAFTVAEEDFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~TEM-207~~~ANG20867.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG20867.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESLRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macA~~~WP_061874282.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_061874282.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSEKASSTPSTERKNQGNGARLERLNLTTEQKQLVEQGKATLSVVRILQADGTAKPTQILVGINNRVNAQVLSGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~sdiA~~~WP_016236716.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_016236716.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMETAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~sul1~~~EU675686.2.gene7.p01~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~EU675686.2.gene7.p01~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAATEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCR ->ARGMiner~~~tolC~~~WP_024237824.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_024237824.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNTIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYTELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macA~~~WP_062740776.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_062740776.1~~~macrolide~~~unknown MNLKGKGKKRYFVIVVLVLLAGGWVWRTLNAPVPQYQTLIVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAVAERKLAQVTLVRNQQLAKTQLISRQDLDTSATDLAVKEAQIGTIDAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLMPGQKAWFTVLGDPLTRYEGRLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLEMTAQVHIQLSGVNNVLTIPLSALGDAVGDNRYSVRLLRNGDVKDREVTIGARNDTEVEIVKGLEEGDEVITGESRPGAAK ->ARGMiner~~~macB~~~ZP_03074747~~~macrolide unknown +>ARGMiner~~~macB~~~ZP_03074747~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRHARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_000188151.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188151.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQEVLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGNDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~novA~~~WP_028811890.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_028811890.1~~~aminocoumarin~~~unknown MHPDRPTWTPPARDPAQPEEPAQVRRILRLFRPYRGRLAVVGLLVAASSLVAVASPFLLKEILDTAIPQRRTGLLSLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMASMAATVTESLSVSGILLGRTMGRADSLTKSFADESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTMQTGGAAVSLGTLVAFVSLQQGLFRPAVSLLSTGVQMQASLALFQRIFEYLDLPVDITEPEKPVRLEKIRGEVRFDDVHFTYDEKSGATLTGIDITVPAGGSLAIVGPTGSGKSTLSYLVPRLYDVTDGGVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEELRAAAEAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQRAIDALSAGRTTITIAHRLSTVRDADQIVVLDGGKTVERGTHEELLAHGGRYAALVRRDARLSPAAT ->ARGMiner~~~tetX~~~AMP48046.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP48046.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLLPPFAGQGVYSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~TEM-207~~~ANG15438.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG15438.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFVAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtN~~~WP_039021433.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_039021433.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLTALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFAGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVEKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~macB~~~WP_003834285.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_003834285.1~~~macrolide~~~unknown MTALLELSHIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPPQKPSGGRDIAEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALRYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGILKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~CTX-M-30~~~AIC64482.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64482.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVPKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRTQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~tet(42)~~~WP_045253881.1~~~tetracycline unknown +>ARGMiner~~~tet(42)~~~WP_045253881.1~~~tetracycline~~~unknown MLVVLTMLAVVGMTVVLPVLPFVVLQYVSHEGDLAIWVGVLEAINGLCAFLVAPFLGRLSDRFGRRPVIIGAAFGAAFAMTLFGIGGAIWVLVLARVIQGLTAGDLPALFAYLADITPPEKRAQRFGLLGALSGIGMMIGPAIGGLLATVSLQLPVFLTAAVGLTIAILSIFLLPESLAPENRIARIAVRDIQPFGVFKEAFGRRELRGLMIGFGLLALPFGFFVNNFSVLALDAVQWGPTQIGLLTAGVGIIDILIQGLLLGILLPRIGERGVIISGIVAQAIGLTALAIVASIFAQPWVFIVGALLLAAGQGASTAAMDGAMSNAVGDDEQGWLGGATQSLNAAMGTVAPLIAGALYVTVSHAAPYWLGAALMVVAVVVVARAHIVDTAKRRPVDGDAVMPTELARVNN ->ARGMiner~~~OXA-48~~~gi:241913430:pdb:3HBR:A~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-48~~~gi:241913430:pdb:3HBR:A~~~cephalosporin;penam~~~unknown MRVLALSAVFLVASIIGMPAVAKEWQENKSWNAHFTEHKSQGVVVLWNENKQQGFTNNLKRANQAFLPASTFXIPNSLIALDLGVVKDEHQVFKWDGQTRDIATWNRDHNLITAMKYSVVPVYQEFARQIGEARMSKMLHAFDYGNEDISGNVDSFWLDGGIRISATEQISFLRKLYHNKLHVSERSQRIVKQAMLTEANGDYIIRAKTGYSTRIEPKIGWWVGWVELDDNVWFFAMNMDMPTSDGLGLRQAITKEVLKQEKIIP ->ARGMiner~~~macA~~~WP_000746468.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746468.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQELDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macB~~~WP_063159864.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063159864.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLEERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLLDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMATLTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sdiA~~~ESM16726.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~ESM16726.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLYVLGYIHHNNDYLYQRLHSVSMRDIDFFTWRRECFLRFQEMTCAEEVYQELQRQTQAFEFDYYALCVRHPVPFTRPRTSVHSSYPQQWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFTDAQQLWDGARDHGLRKGITQCLMMPNHALGFLSVSCTSLQAHSISSEEVELRLQMLVQMALTTLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKIQIACYAAATGLI ->ARGMiner~~~tolC~~~WP_032417856.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032417856.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADREAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~lsaB~~~WP_053594535.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_053594535.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFENVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKINSSVEFNYFPYPVSDKNKYTHEIMEEICPQAEDWEILREISYLDVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTEARKMVSEYLRKKKGFILISHDRNFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSSGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNIESRQQKAIEEKSKLLKNVEKTESLKLESLAFHSNELIVLADVSVQYDNQIVNMPISFKLEQGDRIVLDGKNGSGKSSILKLIQGNPIQHTGSMYVGSGLVISYVQQDTSHLKGLLSDFIEEHGIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQKFNPTMVFVEHDQAFQQTVATKTISI ->ARGMiner~~~L1 beta-lactamase~~~WP_049437465.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049437465.1~~~cephalosporin~~~unknown MRCSLLAFALAAVLPVAHASAAEAPLPQLRAYTVDASWLQPMEPLQIADHTWQIGTWNLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLQLILLSHAHADHAGPVAELKRRTSAHVMANAESAVLLARGGSNDLHFGDGITYPPTSADRIIMDGEVVTVGGIEFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFTTVRGLPCDLLLTPHPGASNWNYAAGSKAGAKALTCSAYADAAEKTFDAQLAKESAAHR ->ARGMiner~~~tet(C)~~~AKN19377.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~AKN19377.1~~~tetracycline~~~unknown MTDKHNMSTNLSVIKNPRVQSDQRRLVRRPDVKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGKLTAAWQNVKRMTRKTQRPPIPEKRRVLKDSPAATAMSNLPGHRIKITILLPDTVSTVRIVIPTRWTKTAWNARTTPSAIMGVGITAPAN ->ARGMiner~~~tolC~~~WP_001715676.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001715676.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSAYLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~norA~~~WP_053014082.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_053014082.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLVFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~arnA~~~WP_001614922.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001614922.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWGERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~sdiA~~~WP_023212504.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023212504.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNLALGFLSVSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMCFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~tolC~~~CDQ55087.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CDQ55087.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MISPLMPPLNRRWRKRYKSSEKKPRYSVSRAIISTSFLEKVIPCCVGLLIVMSCESEIRDFQTVWLPFYGPYVRLVQITAGWHVTPTFYGGRIDTISGIFLRIRPALNASQQGMQMKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~sdiA~~~WP_023336160.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023336160.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCFLRFQELTCADEVYQELQRQTQTLEFDYYSLCVRQPVPFTRPKLSLHTTYPKQWMAHYQSANYFAIDPVLKPENFIQGHLPWTDALFADAQELWHGAQDHGLRSGITQCLMLPNHALGFLSVSRTSVLEGPFAREEIELRLQMLVQMALTSLMRFEDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~vanRO~~~WP_055698749.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_055698749.1~~~glycopeptide~~~unknown MRVLIVEDEPFLAEAIRDGLRLEAIAADIAGDGDTALELLCVNTYDIAVLDRDIPGPSGDEIARRVVASGSGMPILMLTAADRIDDKASGFELGADDYLTKPFELQELALRLRALDRRRAHSRPPVREIAGVRLDPFRREVYRDDRYVALTRKQFAVLEVLVAAEGGVVSAEELLERAWDENADPFTNAVRITVSALRKRLGEPWIIATVPGVGYRIDTPPRAGHEGGDRG ->ARGMiner~~~mexH~~~WP_033872859.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_033872859.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPCRSQAAEGTPR ->ARGMiner~~~macB~~~WP_001631244.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001631244.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFFPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~PDC-7~~~WP_047305391.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_047305391.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHKTSTGLGIISACVLLLGSVDALAENPQDSRLKTSVDATIRPLMQQQDIPGMAVAIIANGKRHYFNYGLASKDNQQPVDNDTLFEVGSVSKTFSATLAGYAQASGKLALEDHASQYWPALRGSAFDGISLLQLGTYSAGGLPLQFPDEVQGEDKILDYYNTWKPTFSPGTQRLYSNPSIGLLGYLAARSLGQPYDQLLEKSLFPKLGLKHSYIRVPKEQQAHYAQGYDKQNKPIRVSPGPLDAEAYGVKTSAPDLLQFIADNLQPQPLDDTLQKAIASTQSGYYRVGDMTQGLGWERYAYPVPLARLMAGNSSPMALKPHPVEWLTPAQPPKADALYNKTGSTSGFGAYVVFVPSKQIGIVLLANKNYPNEERIKAAHALLNALEAAK ->ARGMiner~~~vanRO~~~AFS59920.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~AFS59920.1~~~glycopeptide~~~unknown MRVLIVEDEPYLAEAIRDGLRLEAIAADIAGDGNTALEMLGINTYDIAVLDRDIPGPSGDEIAQRIVASGSGMPILMLTAADRLDDKASGFGLGADDYLTKPFEMQELVMRLRALDRRRAHVRPPVREIAGLRLDPFRREVYRDGRYVALTRKQFAVLEVLVAAEGGVVSAEELLERAWDENADPFTNAVRITVSALRKRLGEPWVIATVPGVGYRIGTAPVTGHGGGDRG ->ARGMiner~~~macA~~~WP_047949754.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047949754.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQASYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLDMEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDAASKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVSIPVEEGQTVNAAQSAPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~macB~~~WP_023151596.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023151596.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNIIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTEWTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexB~~~WP_014833867.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_014833867.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLAGGLSILSLPVNQYPAIAPPAIAVQVSYPGASAETVQDTVVQVIEQQMNGIDNLRYISSESNSDGSMTKEDLSNYIVSNIQDPLSRTKGVGDFQVFGSQYSMRIWLDPAKLNSYQLTPGDVSSAIQAQNVQISSGQLGGLPAVKGQQLNATIIGKTRLQTAEQFENILLKVNPDGSQVRLKDVADVGLGGQDYSINAQFNGSPASGIAIKLATGANALDTAKAIRQTIANLEPFMPQGMKVVYPYDTTPVVSASIHEVVKTLGEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPREAARKSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVIVALILTPALCATMLKPIEKGDHGEHKGGFFGWFNRMFLSTTHGYERGVASILKHRAPYLLIYVVIVAGMIWMFTRIPTAFLPDEDQGVLFAQVQTPPGSSAERTQVVVDSMREYLLEKESSSVSSVFTVTGFNFAGRGQSSGMAFIMLKPWEERPGGENSVFELAKRAQMHFFSFKDAMVFAFAPPSVLELGNATGFDLFLQDQAGVGHEVLLQARNKFLMLAAQNPALQRVRPNGMSDEPQYKLEIDDEKASALGVSLADINSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPDARMNPDDLSKWYVRNDKGEMVPFNAFATGKWEYGSPKLERYNGVPAMEILGEPAPGLSSGDAMAAVEEIVKQLPKGVGYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSMRGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKELHEQGKGIVEAAIEACRMRLRPIVMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMVTATVLAIFWVPLFYVAVSTLFKDEASKQQASVEKGQ ->ARGMiner~~~L1 beta-lactamase~~~WP_032962659.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_032962659.1~~~cephalosporin~~~unknown MRLCLTTLALTATLAFDVTAADAPLPQLQAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMAGHLLDNMKARGVAAQDLRLILLSHAHADHAGPVAELKRRTGASVAASAESAVLLARGGSNDLHFGDSITFPPASTDRIIMDGEGVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGQPVRIAYADSLSAPGYQLQGNARYPRLVEDYRRSFATVRGLPCDLLLTPHPGASNWNYAAGAEAGANALTCKAYADAAEKKFDAQLAKETATAR ->ARGMiner~~~mdtP~~~WP_001358036.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001358036.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQFNDPQLDALIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQNKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETREFLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~tet(V)~~~CDO32455.1~~~tetracycline unknown +>ARGMiner~~~tet(V)~~~CDO32455.1~~~tetracycline~~~unknown MQHDIQPPVDQTGGWRVLAPFRIREYRLLIAAVTLSIFAEGMWSVVMALQVIAIDNDPASLSLVATCMGVGLVAFVLVGGITADRINQRTIIIAVETVNLVTVTTVASLGLLDLLKIWHLAVAAATLGIAAAFFFPAYSALLPRILPAEQLLAANGVEGVVRPVFQRSVGPAVAGMVIAATFPSLGAVVVAALFGTGLVLLIATRPTADSVGTQGPEQRPHVLRDLREGFAFMVRTPWLLWTLLFASMFVLVVLGPIEVLLPFIAQDRFADGARAYGFILAFFGFGSALGALTVSSRRMPRRYLTTMMAMWGLGSVPLVLVGVTSSFPWMALATFCIGVTDGAGMVIWGTLLQRRVPTAMLGRVSSLDFFVSLAFMPLSFAIVGPLSKVVSMESIFLVAGLLPAVLAAVAVTAARMPRDELAHPLR ->ARGMiner~~~MexF~~~WP_004667559.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_004667559.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITCLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISALNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAAKAQRLQNLPAEMH ->ARGMiner~~~mgrA~~~WP_064270918.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_064270918.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLIGKVIHAFDETKEK ->ARGMiner~~~msbA~~~NC_002758.1121879.p01~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~NC_002758.1121879.p01~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~FosB~~~WP_054609514.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_054609514.1~~~fosfomycin~~~unknown MTIQSINHLLFSVSDLEKSIAFYENVFDAKLLVKGNNTAYFDVNGLWLALNVEKDIPRNDIIHSYTHIAFTISEDEFDKMYDHLVQLKVNILDGRQRDEKDKQSIYFTDPDGHKFEFHTGTLQDRLDYYKKEKLHMEFFDN ->ARGMiner~~~mgrA~~~WP_061855296.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_061855296.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQLNLKEQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWTESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEAIRPELETACQDVAVASSLDTDEAAELNRLLGKVIKAFTEYENE ->ARGMiner~~~msbA~~~WP_031833460.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031833460.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRSTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~norA~~~WP_054189230.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_054189230.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGLVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~macB~~~WP_039301529.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_039301529.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGEEQVEVLKGISLTIEAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVSGLDNDALATLRREHFGFIFQRYHLLSHLNASQNVEVPAVYAGTTRAQRQQRAHELLGRLGLKERVEYLPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMATLKQLRERGHTVIIVTHDPAVAAQAQRIIEIRDGEIISNPPPVHQPTARMVENQTLRSGSSIQQVISSFREALGMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDNPQFQQALKYDDLLAIGQQPWVSSATPSISSNLRLRYGNVDAAASVNGVSGDYFDVYGMTMSQGASFNDEQMKGRAQVVVLDANSKRQLFPNKSDVVGEVVLVGNMPATVIGVAEEKQSMFGSSKVLRVWLPYNTMAGRVMGQSWLNSITVRVKEGYDSHEAEQQLNRLLSLRHGKKDFFTYNMDGLLKTAEKTTRTLQMFLTLVAVISLLVGGIGVMNIMLVSVTERTKEIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLVIAFTLQLVLPGWQIGFSPVALLTAFGCSTATGVLFGWLPARNAARLNPIDALARE ->ARGMiner~~~adeG~~~WP_027790469.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_027790469.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVNANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAETDRAAAQLAAAQARNGYAQTDWQRAQRLIGDNAIAKRDYDEKQNAAREAAANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARNGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHEALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGLQHGNRRVIVSGLAAGDRVVVNGTQRVRPGEQVKPHMVPMTGGDAPSAPLADNAKPAAPAKADS ->ARGMiner~~~tet(C)~~~AMP57913.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~AMP57913.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFPPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~OprN~~~WP_033980978.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_033980978.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPQVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~mexH~~~WP_033991900.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_033991900.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAAYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~TEM-207~~~ANG24321.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG24321.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPEELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAIATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtF~~~WP_001390776.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001390776.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASIPLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mdtM~~~WP_001401531.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001401531.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIITVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~sdiA~~~ESG77780.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~ESG77780.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGRPHRRSPLFCRFLKIPLTSIRKHAEEIQCAK ->ARGMiner~~~mdtH~~~WP_064670261.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064670261.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHDPWMLWFSCLLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVRTPVREGMARVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLIMSLSMIPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSTQQPELPWMMLGVIGIITFLALGWQFSHKRAARRLLERDA ->ARGMiner~~~mdtG~~~WP_000050575.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_000050575.1~~~fosfomycin~~~unknown MSLCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADNYGLRPVFFITASVLMLCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQIATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISAHYGFRAVFLVTAGVVLFNAVYSWNSLRRRRLPQVSN ->ARGMiner~~~ACT-29~~~WP_065365974.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_065365974.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKFLCCALLLSTSCSVLAAPMSEKQLADVVERNVTPLMKAQGIPGMAVAVIYQGQPHYFTFGKADIAANKSVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPDLTGKQWQGIRMLDLATYTVGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANSSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEETHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMANMAPDALQDTSLKQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVSPPVPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~macB~~~WP_063133117.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063133117.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPCQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrA~~~WP_033555625.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_033555625.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIIKANAG ->ARGMiner~~~sdiA~~~EGJ04611.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~EGJ04611.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRFTMQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPESWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~sdiA~~~WP_004122106.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_004122106.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRREMLQQFQSVSVGEGVFHLLQQQAKGLEYDYFALCVRHPVPFTRPRVTLQSTYPQAWMAHYQAENYFAIDPVLRKENFLRGHLPWNDKLFNDTPELWNGARDHGLRKGVTQCLTLPNHAQGFLSVSGASHSQGPFAEDELEMRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~MexT~~~WP_041021175.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~WP_041021175.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNRNDLRRVDLNLLIVFETLMHERSVTRAAEKLFLGQPAISAALSRLRSLFDDPLFVRTGRSMEPSARAVEIFALLSPALDSISTAVSRAAEFDPATSTSVFRIGLSDDAEFALLPMLLKRLRAEAPGIVLVVRRVNYILMPSLLASGEISIGVSYTDDLPANAKRKVLRRSSPKVLRADTAPGQLTLDEFCARPHALVSFAGDLSGFIDEELEKLGRKRHVVLAVPQFNGLSTLLAGTDIVATVPDYTADALTAAGGVRAEDPPIPVRSFELHMAWRGSQDNDPGERWLRSRIQMFFGDPESL ->ARGMiner~~~sdiA~~~WP_001154271.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154271.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~lsaA~~~AAW30455.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~AAW30455.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHEFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSLNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEVVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~macA~~~WP_004738098.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004738098.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASVNSGNNRRRSGPMGM ->ARGMiner~~~macB~~~WP_032649220.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032649220.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKVNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_001675578.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_001675578.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIHENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGDVSNIAFISGMIASAPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~nalD~~~WP_034023301.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_034023301.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~lsaB~~~WP_002175049.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_002175049.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYPVSDKNKFTHEILEEICPLAEDWEFLREISYLNVDAEAMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKMVSDYLRKKKGFILISHDRIFLDGCVDHILSINRSNIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSAGWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEALKFKSNELVTLADVSVKYDDQFVNEPISFIVEQGDRIVLDGKNGSGKSSILKLILGHPIQHTGSVISGTGLIISYVQQDTSHLKGSLSDFIEEHKIDETLFKSILRKMDFDRIQFEKDISNYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~macB~~~WP_000188191.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188191.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQQLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mefA~~~WP_007837430.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_007837430.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPIWMVMVVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWGLNAIIAIDVLGAVIASITVAIVRIPKLGDQVQSLKPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMEYFNGTPMHISITEIAYASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKLEYLGRVFSLTGSIMSLAMPIGLILSGFFADRIGVNHWFLLSGILIICIAIVCPMITEIRKLDAK ->ARGMiner~~~macA~~~WP_000746443.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746443.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTKAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~bcrA~~~CKG93789.1~~~peptide unknown +>ARGMiner~~~bcrA~~~CKG93789.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGENLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGIHKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEIEQLVDRIGIIHEGKLLEEVSLDTLRKANRKYIEFQVNNDDKAVMLLENHLQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNDIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~MexA~~~WP_050396929.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_050396929.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGAGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~emrB~~~WP_001742122.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001742122.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCIRLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGAIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~TEM-1~~~ANG10321.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG10321.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRIALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~emrA~~~WP_024181488.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_024181488.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWGDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~y56 beta-lactamase~~~WP_050127727.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050127727.1~~~cephalosporin;penam~~~unknown MKHSSLRRSLLLAGITLPLVNFALPAWANALPASVDKQLAELERNANGRLGVAMINTGNGTKILYRAAQRFPFCSTFKFMLAAAVLDQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMIVSELCAATIQYSDNTAANLLIKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTARPNDPRDTTTPAAMAASMNKLVLGDALRPAQRSQLAVWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASVTKIILSQIS ->ARGMiner~~~FosA2~~~WP_048965578.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_048965578.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVSFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~acrB~~~WP_057483569.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_057483569.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGISYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~FosB~~~WP_002135842.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002135842.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENAIMFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQEDFERLLQRLEENKVHILQGRERDGRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~macA~~~WP_016142217.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_016142217.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSNTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQTSEKASSTPSTERKHQGNGARLERLNLTAEQKQLVEQGKATLSVVRILQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSDTSAASANSGNNRRRGPMGM ->ARGMiner~~~mecI~~~NC_002758.1120003.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~NC_002758.1120003.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEFMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKK ->ARGMiner~~~bcrA~~~WP_001134765.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_001134765.1~~~peptide~~~unknown MPPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLLRNPKDILRRIGSIVEVPGFYENLTARENLLINAKIIGVHKRNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGKLLEEVSLDTLHKANRKYIEFQVNNDNKAVMLLENHLQIFDYEVHDEGNIRIYSHFGQQGHVNRTFVLNDIEVLKMRMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~norA~~~WP_031879651.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031879651.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSYQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~OXA-10~~~gi:210060769:pdb:2RL3:B~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-10~~~gi:210060769:pdb:2RL3:B~~~cephalosporin;penam~~~unknown MGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFHLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~novA~~~WP_031054357.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_031054357.1~~~aminocoumarin~~~unknown MKPDTPTWTPPPDARSVTDRQPGEVRRILRLFHPYRARLALVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEGVDFSYDEKNGPTLTGIDLTVPAGSGLAVVGSTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATAEEIETAARAAQIHDHIASLPDGYDTLVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLEGGRIAERGTHEDLLARDGRYAALIRRDSHPVPAPAP ->ARGMiner~~~bacA~~~YP_001007833~~~peptide unknown +>ARGMiner~~~bacA~~~YP_001007833~~~peptide~~~unknown MTDMYSLFVAFVLGVVEGLTEFLPVSSTGHMIIVGELLGFTGDKAKTFEVIIQLGSILAVVVVFWRRLFGLIGIHFGKVPHEGKTNGHLTLGHILLAMIPAVGLGLAFHDVIKSLFNPQSVMYALVAGGLLLLAAEWFKPKNPKATGLDDITYRQAFAIGCFQCLALWPGFSRSGATISGGMLVGVNRYAASEFSFILAVPMMLGASGLDLYKSLHFLSWGDLPMFAVGFITAFVVALIAIKTFLSLIKRISFVPFAIYRFIVAAAVYWVFM ->ARGMiner~~~tolC~~~WP_017047450.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_017047450.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFISAALGSLSSSVWADTLTEIYNQAKENDPQLLSSAAQRDAAFEAVTSSRGDLLPQINLTAGYNINRSDIDARESDKLTAGISFSQQLYQRSSWVSLDTAEKNARKADSAYAATQQGLILRVAQAYFEVLRAKDNLAFVRAEKAAVARQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAKNSLTNSYETLREITGQEHSDLSVLDTNRFSASKTTQPIDALLGEAQQKNLSLLTARIAQDVAKDNISLASSGHLPSLTLDGGYKYGDESNNNSGSKGDYNDFNVGINLAVPLYTGGKTTSQTKQAEFAYVAASQDLEKTYRSVVKDVRAFNNNINASIGALRAYEQSVISAKSALEATEAGFDVGTRTIVDVLDSTRRLYDANKNLSNARYDYVLSVLQLRQAVGTLSEQDILDINAGLKADS ->ARGMiner~~~mdtH~~~WP_022647718.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_022647718.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHDPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~tolC~~~WP_058689592.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_058689592.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLNQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSYNNVNASISSINAYKQAVVSAQSSLDANEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVPTSPDSVAPENPQQDAAVDNFTPNSSAPLAQPAAARSTAPASSGTNPFRH ->ARGMiner~~~OprM~~~ETU76469.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~OprM~~~ETU76469.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MKRSFLSLAVAAVVLSGCSLIPDYQRPEAPVAAAYPQGQAYGQNTGAAAVPAADIGWREFFRDPQLQQLIGVALENNRDLRVAALNVEAFRAQYRIQRADLFPRIGVDGSGTRQRLPGDLSTIGSPAISSQYGVTLGTTAWELDLFGRLRSLRDQALEQYLATEQAQRSAQTTLVASVATAYLTLKADQAQLQLTKDTLGTYQKSFDLTQRSYDVGVASALDLRQAQTAVEGARATLAQYTRLVAQDQNALVLLLGSGIPANLPQGLGLDQTLLTEVPAGLPSDLLQRRPDILEAEHQLMAANASIGAARAAFFPSISLTANAGTMSRQLSGLFDAGSGSWLFQPSINLPIFTAGSLRASLDYAKI ->ARGMiner~~~macB~~~WP_045906664.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045906664.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLEERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYNSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_032664451.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032664451.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLNQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGTTPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~pmrA~~~WP_063855968.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~WP_063855968.1~~~fluoroquinolone~~~unknown MKIKWKQNLLVAWIGCFFTGASISLVMPFIPVYVEQLGTLKSQVELFSGLAISVTAFAAAIVAPIWGNLADRKGRKIMMIRAAAGMTITMGALAFVPNVYWLLIMRFMNGILSGYIPNATAMIASQAPKEKNGWALGTLSTGAIAGTLIAPSIGGALAQWFGMENVFIITGVILFITTLLTIFLVKEDFQPVEKKDLLTTKEIFSKMDHVSVLIGLFVTTLILQLGITSISPILTLYIRSLSGDTENVLFVSGLIVSIAGVSAIISSPTLGKIGDRIGNQKVLLGGLILSFICYIPMAFVQTPFQLGVLRFLLGFSTGALMPSINTLISKITPTEGVSRVYSYNQMCSNFGQVLGPMVGSTVAHGFGYSSVFLVTACFVLGNIGLSFFNFRKVLNKKL ->ARGMiner~~~EXO beta-lactamase~~~WP_018471188.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_018471188.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAATLVPGTAHASSGGRGHGSGSVSDAERRLAGLERASGARLGVYAYDTGSGRTVAYRADELFPMCSVFKTLSSAAVLRDLDRNGEFLSRRIFYTQDDVEQADGAPETGKPENLANGMTVEELCEVSITASDNCAANLMLRELGGPAAVTRFVRSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLVLGDALDPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRAPIVLTVLTAKTEQDAARDDGLVADAARVLAETLG ->ARGMiner~~~Escherichia coli mdfA~~~ZP_02344185~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~ZP_02344185~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MQNRLQSGGRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLATLLAKNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFILFAALAAIAFFGLQRAMPETATRRGETLSFKALGRDYRLVIKNRRFVAGALALGFVSLPLLAWIAQSPIIIISGEQLSSYEYGLLQVPVFGALIAGNLVLARLTSRRTVRSLIVMGGWPIVAGLIIAAAATVVSSHAYLWMTAGLSVYAFGIGLANAGLVRLTLFSSDMSKGTVSAAMGMLQMLIFTVGIEVSKHAWLSGGNGLFSLFNLANGILWLLLMLVFLKDKRTGNSQTV ->ARGMiner~~~mexY~~~WP_014602996.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_014602996.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRSLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~lsaA~~~WP_048941892.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_048941892.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQELTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~mdtM~~~WP_001669158.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001669158.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAGIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~FosB~~~WP_026579806.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_026579806.1~~~fosfomycin~~~unknown MANNNILGINHLLFSVSDLSVSISFYEKVFDAKWLVKAEKTAYFDLNGIWLALNEEKDIKRQEIHDSYTHIAFSIQQEDLPFWEKKLCDLGVNVLKGRKRHEGDKDSIYFSDPDGHKFELHTGSVFDRLQYYQNEKQHLSFHEGHIKALYDRNK ->ARGMiner~~~BLA1~~~WP_052737305.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_052737305.1~~~penam~~~unknown MILKNKRLLKIGICVGILGLSLTSLEAFTGGSLQVEAKEKNGQIKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKVGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKELTVGNALPHQKRNILTDWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRSPIIIAILSSKDEKEATYDNQLIKEAAEVVIDAIK ->ARGMiner~~~macA~~~WP_001201756.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201756.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPEQAENQIKEVEATLMELNAERQQAAAELKLARVTLTRQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGDNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRSGATP ->ARGMiner~~~mdtH~~~WP_021570493.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_021570493.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGTLLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~arnA~~~WP_032298102.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032298102.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIHNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_023230855.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023230855.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDVAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKKMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVSERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~adeG~~~WP_039250898.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_039250898.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMFLHENADAKAAPTSAQQAATVDISNVISKTITDWQEYSGRLEAIDQVDIRPQVSGKLISVHFKDGSLVKKGDLLFTIDPRPFQAELNRAQAQLASAEAQVTYTGSNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFSREGTINSIDNNLNTTSGTIRVRATFDNPNGILLPGLYARIRLGGGQPRSAILISPTAISVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNAQITANSTTPQPQPTEKTSTPAKG ->ARGMiner~~~sdiA~~~WP_044524003.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_044524003.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMAAGEEVYNLLQRETETLEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFTIDPVLRPENFMRGHLPWEDGLFRDAQALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPNAYPDDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~mdtN~~~WP_061349294.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_061349294.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATEEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mdtF~~~WP_001633395.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001633395.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGGALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~ACT-6~~~WP_047059454.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-6~~~WP_047059454.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMTKSLCCALLLSTSCSVLAAPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPITPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKAEESHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMANWVMVNMKPDSLQDSSLRKGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVEGSDNKVALAPLPAREVNPPAPPVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~macB~~~WP_028012549.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_028012549.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLSVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAKQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWSQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sul1~~~WP_025464900.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_025464900.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQLETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~tolC~~~WP_000735316.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735316.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRHR ->ARGMiner~~~sul1~~~WP_012695459.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_012695459.1~~~sulfonamide;sulfone~~~unknown MGLCRYGAHNCCLFARPIPIVEVAAEADAMVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGLGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~tolC~~~WP_045332892.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045332892.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGINSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDNNMGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPQQDAAVDNFTANSSAPVAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~emrB~~~WP_045146315.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_045146315.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYVVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~Escherichia coli acrA~~~WP_053895298.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_053895298.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAQLTVTRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~macB~~~WP_032674455.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032674455.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPATTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtC~~~WP_000667571.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_000667571.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAISNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIVHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGVVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~tolC~~~WP_058653858.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_058653858.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVNSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSTTPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~CepS beta-lactamase~~~WP_060390001.1~~~cephalosporin unknown +>ARGMiner~~~CepS beta-lactamase~~~WP_060390001.1~~~cephalosporin~~~unknown MKQTRALPLLALGTLLLAPLSLAAPVDPLKAVVDDAIRPVLKQHRIPGMAVAVLKGGQAHYFNYGLADVATGTKVNEQTLFEIGSVSKTYTATLGAYAVVKGGFKLDDQVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPEEVDSSDTMRAYYRHWTPAYQPGSQRQYSNPCIGLFGHLAANSLQQPFATLMEQTLLPALGLEHSYLQVPEVAMARYAFGYSKEDKPIRVNPGMLADEAYGIKTGSADLLAFVKANISGVDDKALQQAIALTHTGFYRVGEMTQGLGWESYAYPVSEQTLLAGNSPAVSLKANPVTQFETPATPGEMRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPIEARVSAAHAILSQLAP ->ARGMiner~~~msbA~~~WP_061740625.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_061740625.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNVYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELMSKQGAYEHLYSIQNL ->ARGMiner~~~norA~~~WP_049408110.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049408110.1~~~fluoroquinolone;acridinedye~~~unknown MKKQFLILYFNIFLVFLGIGLVIPVLPVYLKDLGLKGSDLGILVAVFALAQMIISPFGGTLADKLGKKLIICIGLVLFSISEFLFATSHTFSLLIVSRVLGGWSAGMIMPGVTGMIADISNPKDKAKNFGYMSAIINSGFILGPGLGGFLAEFSHRLPFYMAGTTGVLALIRSVTLLHNPKHHTQAGFTHYQTDVLGKINWKVFVTPVLITLILAFGLSAFETLFPLYTADKAHYQPFDISIAITGGGILGAIFQVLLFDKFMKYLKELTFINYALVYSGIILISLTFASHYWTIMIISFVVFIGFDMIRPALTNYFSNIAGNRQGFAGGLNSTFTSMGNFIGPLVAGGLYDVNYNFPLYMSTLVMVLGIGVIFIEKALRKRKV ->ARGMiner~~~OXA-10~~~gi:297039739:pdb:2WGW:A~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-10~~~gi:297039739:pdb:2WGW:A~~~cephalosporin;penam~~~unknown MGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFXKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSATPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGII ->ARGMiner~~~rosB~~~ERK10884.1~~~peptide unknown +>ARGMiner~~~rosB~~~ERK10884.1~~~peptide~~~unknown MLANRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKNIAIPGAIAQIAVATVLGMGLSKLMGWDLVSGLVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLALVLLPAFANMLNSDTASTTQLLTELAITIGKVIAFIALMIIVGRRVVPWILAKTASTGSRELFTLAVLALALGIAYGAVGLFDVSFALGAFFAGMVLNESELSHRAAHDTLPLRDAFAVLFFVSVGMLFDPMILINQPLAVLATLAIILFGKSVAAFALVKMFGHSKRTALTISASLAQIGEFAFILAGLGVTLGMMDQHGRNLVLAGAILSIMLNPLLFTLLERYLAKTETIEDQILEEAVEEEKQIPVDICNHAVVVGYGRVGSLLGAKLTEEGVSMVVIENSRPRVEALRAQGIKAVLGNAANPEIMELARLDCARWLLLTIPNGYEAGEIVASARTKRPTLEIIARAHYDDEVSYISDRGADQVVMGEREIANSMLNILKLDSLSDEEKLAACPI ->ARGMiner~~~mdtH~~~WP_016530423.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_016530423.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFPLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGAPLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARSSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~smeC~~~CCP13702.1~~~aminoglycoside;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~smeC~~~CCP13702.1~~~aminoglycoside;cephalosporin;cephamycin;penam~~~unknown MKPMLLRALAAATMTTVLGGCVSMAPRYQRPEAPVPAQFGNVATGETDPALAMPAWHEVFVEPRLQQVIALALQNNRDLRVAVLQVEKERAQYRIQRAALLPSVDASGSVTRSRVSDANSETGATQLTEADTVQVGISSWELDLFGRIRSLSNEALQNWLASAENQRAARTSLVAEVATAWLALAADGQSLAFTQQTLDSQQQTLQRTEARRAQGLASGLDLSQVQTSVEAARGALAKLQTQQAQDRDALQLLVGSPLDPALLPTAQALDGSVALAPLPANLPSSVLLQRPDVLSAEHALQAANADIGAARAAFFPTLTLTANYGHSSTALSTLFSAGTRGWSFAPSITAPIFHAGALKASLDASKIGKDIGIAQYEKAIQQAFSEVADALATRDHLATQMDAQRALVAASQRSYTLADARYRTGLDGYLQALDAQRSLYAAQQDLIALQQQEAGNRVTLFKVLGGGADAR ->ARGMiner~~~VIM-7~~~gi:340707458:pdb:2Y8B:A~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-7~~~gi:340707458:pdb:2Y8B:A~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFQIRSFLVGISAFVMAVLGSAAYSAQPGGEYPTVDDIPVGEVRLYKIGDGVWSHIATQKLGDTVYSSNGLIVRDADELLLIDTAWGAKNTVALLAEIEKQIGLPVTRSISTHFHDDRVGGVDVLRAAGVATYTSPLTRQLAEAAGNEVPAHSLKALSSSGDVVRFGPVEVFYPGAAHSGDNLVVYVPAVRVLFGGXAVHEASRESAGNVADANLAEWPATIKRIQQRYPEAEVVIPGHGLPGGLELLQHTTNVVKTHKVRPVAE ->ARGMiner~~~mdtF~~~WP_001463761.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001463761.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASIPLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~tolC~~~WP_046401894.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_046401894.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTFQTDQQTLILNTASAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTRGATGSQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYNYLINQLNIKSALGTLNEQDLVALNNTLGKPISTSPEHVAPETPQMDANADGYAANATAPATQPASVRSSSSNGKNPFRN ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061739947.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061739947.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINVLNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~macA~~~WP_004794665.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004794665.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIVIIALLAWKFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGEDTKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKASSTPEAAKKSQGNGARLERLNLTAEQKQLIEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~tolC~~~WP_032253592.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032253592.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLARAQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~Escherichia coli ampC~~~WP_001751950.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001751950.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQINLKPLDINEKTLQQGIQVAQSRYWQTGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~MexF~~~WP_034052523.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_034052523.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDVLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~mdtH~~~WP_046892225.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_046892225.1~~~fluoroquinolone~~~unknown MSLVRQARTLGKYWLLVDNLLVVLGFFVVFPLISIRFVEQLGWAGIVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVIGMFLRAGGFALMAMADEPWILWLSCVLSAIGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGAQLGSWLLIYDFHLVCWVGAAIFIITALCNAWLLPAYRISTTRTPVREGLTRVLRDKRFSRYVLTLTGYFILSVQVMLMFPIIVNELAGTPAAVKWMYAIEAALSLTLLYPLARWSEKRFRLETRLMAGLFLMSLSMFPVALTHSLHVLFAIICLFYLGSVIAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWLYDLGKEMQLPELPWFLLGTIGLITLTALYRQFNPKKISPAVLN ->ARGMiner~~~mdtH~~~WP_061114545.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_061114545.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGHFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~mepA~~~WP_000651039.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_000651039.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVALPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMSNKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~BcI~~~WP_061530550.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_061530550.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGMCVGILGLSVTSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRHNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFHKIGGPKGYEKALRQIGDRVTMSDRFETELNEAIPGDIRDTSTAKAIASNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGMPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKSLK ->ARGMiner~~~macA~~~WP_002866477.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002866477.1~~~macrolide~~~unknown MKKKIVLIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVPNKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLAPKVKLRF ->ARGMiner~~~mdtH~~~SBW88219.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~SBW88219.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIVCAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMPPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAAGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~macB~~~WP_023993622.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023993622.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANIVGEVVLVGNMPVIVIGMAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_061019066.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_061019066.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFISAAIGSLSSSAFADTLAEVYDQAKQNDPQLLRSAAQRDAAFEAVTSSRSDLLPQINLTAGYNINRSDIDARESDKLTAGINFSQELYQRSSWITLDTAEKSARQADSAYAAEQQALILRVATAYFEVLRAQDNLEFVRAEKAAVARQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENTLTNNYEGLREITGQEHSNLSILDTDRFSASKSSDSAVALVQQAEKNNLSLLAARISQDVAKDNISLASSGHLPSLTLDGGYNYGDESNSNNGSQGDYNDFNVGVNLVVPLYTGGNTTSLTRQAEYNYVAASEDLEATYRSVVKDVRAFNNNISASIGALRAYEQSVVSAQSALEATEAGFDVGTRTIVDVLDSTRRLYDANKNLSDARYNYILSVLQLRQAVGTLSEQDIVDVNAGLKVASK ->ARGMiner~~~adeG~~~WP_031982652.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_031982652.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMFLHENADAKAAPTSTQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVRKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDVKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPPQPQPTDKTSTPAKG ->ARGMiner~~~tolC~~~WP_020689478.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_020689478.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDNFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDSNQGQNKVGLSFSLPLYQGGLVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLLALNNALGKPVSTSPDSVAPENPEQVAAVDNFNANSSAPAAQPAAARTNTGSNPFRH ->ARGMiner~~~sul1~~~WP_015272384.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_015272384.1~~~sulfonamide;sulfone~~~unknown MLRSRAVALKQSWVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~arnA~~~WP_032186576.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032186576.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPNVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mepA~~~HE999704.1.gene1126.p01~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~HE999704.1.gene1126.p01~~~glycylcycline;tetracycline~~~unknown MINPVTHFLGADATSFLHTKNYTLALLICSPFIIANFALEQVVRAEGASRVSMNGMLIGTVVNLVFDPLLILYFDFNVVGAAVSVGLASLFSLIYYAWYLEKKSDYLSIRFKWFKASKEIVQNVFKIGVSELLLSLFLIVTTLVLNHYSMIYGEGVVAGFGVALRVVQLPEFICMGLYMGIIPLLAYNYGSGNIARFEKAIRFTAISIGLIVLLLSSLVFIFRFQVMHLFSDSQSVITLGVHIMVAMLISSLFSGFTGLFTSTFQAIGKAIPATIMSVSQGIIFIPVIILGQHYFGLMGVIWSLTATEILTCIIGVTLFTIHNIKIASSAKTKDLAV ->ARGMiner~~~mexY~~~WP_003121712.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_003121712.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLAGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~norA~~~NC_002745.1123457.p01~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~NC_002745.1123457.p01~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~mecR1~~~EYQ92220.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~EYQ92220.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSIKSV ->ARGMiner~~~hmrM~~~Q8ZPP2~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~Q8ZPP2~~~fluoroquinolone;acridinedye~~~unknown MQKYTSEARQLLALRIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLVMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNEKGFGKPDSVVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGICMAVVTAIFTVTLRKHIALLYNDNPEVVALAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAIILQRAAR ->ARGMiner~~~APH(6)-Id~~~WP_040235334.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_040235334.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQXRQTSY ->ARGMiner~~~TEM-1~~~ANG23305.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG23305.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYVELDLNSGKILESFRPEERFPMMSTFKVLLCGAILSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~norA~~~WP_001041287.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041287.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~acrB~~~WP_023214248.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_023214248.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTAAGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~macB~~~WP_063119975.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063119975.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVFIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FOX-5~~~AKA87066.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-5~~~AKA87066.1~~~cephalosporin;cephamycin~~~unknown MTAAVDGIIQPMLKAYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAAVKGGFELDDKVSQHAPWLKGSALDGVTMAELATYSAGGLPLQFPDEVDSNDKMRTYYRSWSPVYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMVNYAYGYSKEDKPVRVTPGVLAAEAYGIKTGSADLLKFAEANMGYQGDAAVKSAIALTHTGFYSVGDMTQGLGWESYAYPVTEQTLLAGNAPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPARGIAIVMLANRNYPIEARVKAAHAILSQLAE ->ARGMiner~~~sul2~~~WP_001043255.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_001043255.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGIGVFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~ykkD~~~ADV96321.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkD~~~ADV96321.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MLHWISLLCAGCLEMAGVALMNQYAKEKSVKWVLLIIVGFAASFSLLSYAMETIPMGTAYAVWTGIGTAGGALIGILFYKEQKDAKRIFFIALILCSAVGLKILS ->ARGMiner~~~TEM-1~~~ANG10571.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG10571.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRVHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~cmeB~~~WP_002859457.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002859457.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDIADVEIGSQQYSSQGRLNGNDAVPIIINLQSGANALHTAELVQAKMQELSKNFPKGLTYNIPYDTTKFVIESIKEVIKTFIEALVLVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGDPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLNKAVPSSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVTAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDTLKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLVGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~TEM-1~~~ANG14490.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG14490.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFMHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~lsaA~~~WP_002405492.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002405492.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQFTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~macA~~~WP_001539592.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001539592.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRVGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~emrK~~~WP_001735531.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_001735531.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLAVVLFIAFSGAYAYWSMELEDMISTDDTYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISRETLEHTKDTLISSKAALNAAIQAYKANKALVMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTKNEDIAEMPELASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~norA~~~WP_050968701.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_050968701.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGDFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~OXA-51~~~gi:835020474:pdb:4ZDX:A~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXA-51~~~gi:835020474:pdb:4ZDX:A~~~monobactam;cephalosporin;penam~~~unknown MNIKTLLLITSAIFISACSPYIVTANPNHSASKSDEKAEKIKNLFNEVHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFXMLNALIGLEHHKATTTEVFKWDGQKRLFPEWEKDMTLGDAMKASAIPVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSPKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVDPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~macA~~~WP_059288119.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_059288119.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRSQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~mecR1~~~WP_031896639.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_031896639.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKLCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~FosA2~~~WP_032660973.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_032660973.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFEPFSNKLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~y56 beta-lactamase~~~WP_050085377.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050085377.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITVPLLNFALPSWAVGAKLSLDNQLAELEKSSNGRLGLALINTGKGTKIHYRGGQRFPFCSTFKLMLVAAVLGHSQSQPNLLSKHITYHESDLLAYAPITRKHLAQGMTVAELCAATIQYSDNTAANLLIKQLGGLEMVNQFARSIGDQTFRLDRWEPELNTALPNDPRDTTTPAAMAASVNKLVLGDALAAPQREQLALWLKGNTTGAATIRAGAPTDWVIGDKTGSGDYGTTNDVAVLWPAKGAPLVLVVYFTQLKKAAEPRRDVLAAATEIVLAHLS ->ARGMiner~~~lsaB~~~WP_000061803.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061803.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYSVSDRNKFTHEILEEICPQAADWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNAGQFLLIDEPTNHLDTDARKIVSNYLRKKKGFILISHDRIFLDGCVDHILSINRANIEIQSGNYSSWKLNFDRQQEHEKATNERLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELVTLVDVSVKYNDQIVNEPISFIVEQGNRIVLDGTNGSGKSSILKLILGHPIQHTGLVTLGTGLIISYVQQDTSHLKGSLSDFIEEHKIDETLFKSILSKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~mtrR~~~WP_003702952.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~WP_003702952.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQAAGVTRGALYWHFKNKEDLFDALFQRICDDIENCIAQDAANAEGGSWAVFRHTLLHFFERLQSNDIYYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITAVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWFSSGESFDLGKTAPRIIGIMMDNLENHPCLRRK ->ARGMiner~~~BcI~~~WP_048535493.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_048535493.1~~~cephalosporin;penam~~~unknown MILKKKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPVEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~tolC~~~WP_045620525.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045620525.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSNTPAAQPAAARTTTSASKGNNPFRN ->ARGMiner~~~macB~~~WP_049036297.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049036297.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALVANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanWB~~~WP_033700821.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~WP_033700821.1~~~glycopeptide~~~unknown MDRKRLTQRFPFLLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLSIRPGETFSFWWLVRHADKDTPYKDGLTVTNGKLTTMSGGGMCQMSNLLFWMFLHTPLTIIQRRGHEVKEFPEPNSDEIKGVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVSADKEPQALYKITNGSIQYVRESGGIYEYAQVKRMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEENQ ->ARGMiner~~~mexH~~~WP_023129406.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_023129406.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDVRTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPCRSQAAEGTPR ->ARGMiner~~~patB~~~WP_003731686.1~~~fluoroquinolone unknown +>ARGMiner~~~patB~~~WP_003731686.1~~~fluoroquinolone~~~unknown MSQFDEVIPRIGTNSEKWDGAEELFGRKNIIPMWVADMDFRAPQPVLDAFQRQIDHGIFGYSTKSAALVEAIIDWNKEQHQFEIDPSTLFFNGAVVPTISLAIRSLTNEGDAVLMVSPIYPPFFNVTKATERKVVMSPLIYENRQYRMDFNDLEKRMKEEKVKLFLLCNPQNPGGRCFTKEELVELAKLCEKYQIPIVSDEIHADLVMKNHKHVPMMVAAPFYQDQIITLMAATKTFNLAAIKASYYIITNKDYQAKFAAEQKYATTNGLNVFGIVGTEAAYRHGAPWLKELKEYIYSNYEYVKAALEKEVPEVGVTDLEATYLMWLDCRALPKDEKTIYADLIEAGVGVQMGSGFGHSGKGFVRFNIACPKETLEKAVKLLIQGLKK ->ARGMiner~~~acrB~~~gi:697351589:pdb:4U95:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~gi:697351589:pdb:4U95:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAANAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHHLEHHHHHH ->ARGMiner~~~FOX-2~~~WP_065401222.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-2~~~WP_065401222.1~~~cephalosporin;cephamycin~~~unknown MKQNTAVSLLALSTLLLPPLSHAKEAEPLTAVVDGAIQPLLKEHRVPGMAVAVLKEGKAHYFNYGVADRESGARVSEQTLFEIGSVSKTLTATLGAYAAVKGGFQLEDKASQHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSTDKMHAYYRQWTPAYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPVRVSPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAAVTSAIALTHTGFHSVGGMTQGLGWESYAYPVTEQTLLAGNSPAVSFQANPVTHFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPNEARIKAAHAILSQLAE ->ARGMiner~~~OprM~~~CRX31464.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~OprM~~~CRX31464.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQQLIGVALENNRDLRVAALNVEAFRAQYRIQRADLFPRIGVDGSGTRQRLPGDLSTTGSPAISSQYGVTLGTTAWELDLFGRLRSLRDQALEQYLATEQAQRSAQTTLVASVATAYLTLKADQAQLQLTKDTLGTYQKSFDLTQRSYDVGVASALDLRQAQTAVEGARATLAQYTRLVAQDQNALVLLLGSGIPANLPQGLGLDQTLLTEVPAGLPSDLLQRRPDILEAEHQLMAANASIGAARAAFFPSISLTANAGTMSRQLSGLFDAGSGSWLFQPSINLPIFTAGSLRASLDYAKIQKDINVAQYEKAIQTAFQEVADGLAARGTFTEQLQAQRDLVKASDEYYQLADKRYRTGVDNYLTLLDAQRSLFTAQQQLITDRLNQLTSEVNLYKALGGGWNQQTVTQQQTAKKEDPQA ->ARGMiner~~~tetX~~~WP_035572949.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~WP_035572949.1~~~glycylcycline;tetracycline~~~unknown MNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPRFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~acrE~~~WP_032439017.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_032439017.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNQQPHAQAPQVTVHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGVDPQAILVPQQGVTRTPRGDASVMLVNDKNQVETLEVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEVERAAPAVQ ->ARGMiner~~~hmrM~~~WP_001397346.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001397346.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAGAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~y56 beta-lactamase~~~WP_054882360.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_054882360.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITLPLVNFALPAWAATLPTSLDKQLAELEQQSQGLLGITVINTGNGRKIQYRGTQRFPFCSTFKFILAAAVLNKSASQPKLLDKHLHYHERDLLSYAPITRKNLAHGMSVSQLCAATVQYSDNTAANLLIQELGGVESVNQFARSIGDQTFRLDRLEPELNSALPNDPRDTTTPSAMAASMNALVLGDALPAAQREQLAVWLKGNTTGGETIRAGAPAEWIVGDKTGTGDYGTTNDIAVLWPTKTAPIVLVIYFTQREKDAKPRRDVLAAATKILLSHLP ->ARGMiner~~~hmrM~~~WP_001174956.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174956.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNKKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~tolC~~~WP_048971854.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048971854.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDNFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDSNQGQNKVGLSFSLPLYQGGLVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLLALNNALGKPVSTSPDSVAPENPEQVAAVDNFNANSSAPAAQPAAARTNTGSNPFRN ->ARGMiner~~~MexF~~~CP000675.2.gene2298.p01~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~CP000675.2.gene2298.p01~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MKIALFFIDRPVFATVISIIIVMVGVLSYFNLPIEQYPQVVPPTIQVQASYPGANAKTVAETVATPIEQEVNGVENMLYMDSQSTDDGQMRLTITFKLGTDLDQAQVLVQNRVAVVEPKLPEEVRRLGITTNKNSPDLMLVVNLYSPNGQYDQTYIGNYAILHIRDKIRRIEGVGNVLIFGASEYAMRLWLNPDLMNTFNLTANDVLAAVRAQNVQVASGKLNLQPQKKQYGFEYHIETKGRLVKKEEFENIIVKSGEGGRIVRLKDIGRVELGTQNYLTKGYLDKYPAVALPIYQRPGTNALATAQEIIKTMKTIAKDFPPGITYDMAYNPTLFVQQSIDAVFHTIYEAIALVVLVILIFLQTWRASVIPVVAIPVSLIGTFAVMQAIGFSLNYLTLFGLVLAIGIVVDDAIVVVENMERNIQAGMNPRDAARKTMTEVGSALVAMGLVLIAVFLPTVFLEGISGRFYQQFGTTLAVATAISVFVSLTLTPTMAVLLLRAHHETIKQEGLAWWRKPVYGFLHGFNRLMEGFSRQYGKLVATLTRKTALMIIAYGIFISITLLLFVYVPRGFIPRQDQGYFIVAVQLPPGASLSRTDAVINKAVKKILAIPGIAHTVSFTGFSGATFTNSSNAGAIFTPLLSFEERQRMGINYNDILKRLRQELSTIKEALVVVIPPPPVRGIGNAGGFKMMLQDRGGRGLDVLMEAAATMVNAANQEKATTSVFTFFENSTPRLHLKLDREKVERLNVPYANVVEALEVYLGSVFINEFNYLGRTFRVIAQADSEYRHTEDDILRIKVKSNNGDMVPIGSVAQIENTVAPSRMPRFNLYPAIDLQGDVAPGYSSDEALATMEKLAQNNLPDGIGYEWTEIAYQQKMVGNTALMAFTLGVIFIFLVLAAQYESWSLPLAVILIVPMCLFSSMLGVKILGMENNIMTQIGFLVLIGLASKNAILIVEFARQLENRGYNLWKAAIQAAKLRLRPILMTSFAFILGVFPLIIATGAGAEMRRALGVAVFSGMLGVTFFGLIFTPLFYVLISRLSRYKRKLTRPEK ->ARGMiner~~~mdtG~~~WP_048264469.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_048264469.1~~~fosfomycin~~~unknown MSSAETPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFLMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~lsaB~~~WP_043070402.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_043070402.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKIISSVEFNYFPYPVSDKNKYTHEIFEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEDQFLLIDEPTNHLDTDARKMVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNQRLQKDIERLKQSSKRSAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKNESLKLEPLEFHSNELIVLADVSVKYDDQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGNPIQHTGSMNLGSGLIISYVQQDTSHLKGLLSDFIEEYAIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQRFNPTMVIVEHDQAFQQTVATKTISM ->ARGMiner~~~mdtP~~~WP_000610575.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610575.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKNKMSAA ->ARGMiner~~~norA~~~WP_061736375.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061736375.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLRYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~lsaB~~~WP_016080735.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_016080735.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYGGKILASVEFNYFPYSVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVIYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELITLVDVSVKYDDQIVNDSISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVILGTGLIISYVQQDTSHLRGSLSDFIEQHKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~hmrM~~~WP_023481339.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_023481339.1~~~fluoroquinolone;acridinedye~~~unknown MQKYVTEARQLLALALPVIFAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWFPAILFGHGLLLAMTPVIAQLNGSGRRDRIAHQVSQGFWLAGAVSVLIMIVLWNAGHIIRAMHNIDPLMADKAVHFLRALMWGAPGYLFFQVLRNQCEGLARTKPGMVMGFIGLLVNIPVNYAFIYGRFGMPELGGVGCGVATASVYWVMFIFMRLYVKHAGAMRDIKHEARFARPDMAVLKRLTQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGFRLGQGSTIDAKTSARTGLMVGVCMAAITAVFTVLMREQIALLYNDNPEVVALASHLMMLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFIAYWVLGLPTGYILALTDLVVAPMGPAGFWMGFIIGLTSAAVMMMLRMRHLQRQPSSRILQRAAR ->ARGMiner~~~MexD~~~WP_003161410.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_003161410.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLASQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~ykkC~~~WP_003239065.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~WP_003239065.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MKWGLVVLAAVFEVVWVVGLKHADSALTWSGTAVGIIVSFYLLMKATNSLPVGTVYAVFTGLGTAGTVLSEIILFHEPIGWPKLLLIGVLLIGVIGLKLVTQDETDEKGGEA ->ARGMiner~~~mecA~~~WP_031807381.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031807381.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDNKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~FosB~~~WP_000943766.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000943766.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIKRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~arnA~~~WP_001390431.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001390431.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYATDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSILNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~tetM~~~AJE60963.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~AJE60963.1~~~tetracycline~~~unknown MEENHMKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLVYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKISFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~vanRO~~~WP_055502070.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_055502070.1~~~glycopeptide~~~unknown MRVLIVEDERYMAEAIRDGLRLEAIAADIAGDGDTALELLSVNTYDIAVLDRDVPGPSGDEIARRIVASGSGMPILMLTAADRIDDKASGFGLGADDYLTKPFELQELALRLRALDRRRAHNRPPVREIAGLRLDPFRREVYRDGRYVALTRKQFAVLEVLVAAEGGVVSAEELLERAWDENADPFTNAVRITVSALRKRLGEPWIIATVPGAGYRIGPQSEAGHEGADHG ->ARGMiner~~~macB~~~WP_053445667.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053445667.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAALAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGSTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB~~~WP_054549647.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_054549647.1~~~fosfomycin~~~unknown MTIQNINHLLFSVSNLEESITFYEKVFDAKLLVKGNSTAYFDVNGLWLALNVEKDIPRNDIHHSYTHIAFTIAEDDFDKVYDRLVQLKVNILAGRQRDEKDKKSIYFTDPDGHKFEFHTGTLQDRLDYYKQEKQHMAFFDN ->ARGMiner~~~MexA~~~EFQ42836.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~EFQ42836.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MGHGAGWITGHRAKTFQVFSREAFGRLQTPMNVSILPAFFEPVQRLHAASAAFAHEDNAMQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~macA~~~WP_050892644.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050892644.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKVNVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~macB~~~WP_042946953.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_042946953.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHDLLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIEIRDGEIVRNPPGSCQGGGLRARQQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrB~~~WP_050178684.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_050178684.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLIIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGISSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGISSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~ACT-29~~~WP_065365931.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_065365931.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKFLCCALLLSTSCSVLAAPMSEKQLADVVERNVTPLMKAQGIPGMAVAVIYQGQPHYFTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPDLTGKQWQGIRMLDLATYTAGGLPLQVPDEVIDNASLLRFYQNWQPQWKPGTTRLYANSSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEETHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMANMAPDALQDTSLKQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVNPPVPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~mdtE~~~YP_002295057~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~YP_002295057~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVSQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~macA~~~WP_036938162.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_036938162.1~~~macrolide~~~unknown MAFLSLKKRGKVLAFILITVAIIAYFFWPKENVPSYQTQIITRGELSKEVTATGKLDAVRKVDVGAQVSGQLQTLFVKEGDTVKKGDLLAIIDPKKAQNEVTESQETNNELRANLQQAQAELRLAQLTYQRQLKLIGTHAIAQDELDRTKTDVDVKKARIITYEAQIKKNQATLDTAKTNLQYTRITAPMDGIVTFIKTLEGQTVIAAQEAPTILTLADLDTMLVKAEVSEADVIYLKPDLSASFTVLGAPDKAFSGKLKDILPTPEKINDAIFYYARFEVPNEQHLLRLQMTAQVKILIENKKDVLLVPLSVLGEDAGINEYYVDVLVNGQPEKRTVKIGMRTDVYAEVLSGLKENDEVILGETSGDA ->ARGMiner~~~L1 beta-lactamase~~~WP_014037345.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_014037345.1~~~cephalosporin~~~unknown MNLRLLTLALVAVLPMTHASAAEAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMASHLLDNMKARGVTPQDLRLILLSHAHADHAGPVAELKRRTGAKVAANAESAVLLARGGSNDLHFGDDITYPPASVDRIIMDGEVVTVGGITFTAHFMPGHTPGSTAWTWSDTRDGKPVRIAYADSLSAPGYKLQENARYPRLVEDYRRSFTTVRGLPCDLLLTPHPDASNWDYAAGAKAGAKALTCKAYADAAEQKFDAQLVKEVAGAR ->ARGMiner~~~Bacillus subtilis mprF~~~WP_053860941.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_053860941.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGEFNEEYLSKAPVGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~adeG~~~WP_039758925.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_039758925.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVALLATGGSFMFLHENADAKAAPTSAQQAATVDISNVISKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAQAQLASAEAQVTYTGSNLSRIQRLIQSNAVSRQELDLAQNDARSASANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFSREGTINSIDNNLDATSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRSAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANTTPPQPQPTDKTSTPAKG ->ARGMiner~~~Sed1 beta-lactamase~~~WP_060569629.1~~~cephalosporin;penam unknown +>ARGMiner~~~Sed1 beta-lactamase~~~WP_060569629.1~~~cephalosporin;penam~~~unknown MLKERFRQTVFIAAAVMPFIFSSTSLHAQATSDVQQVQKKLAALEKQSGGRLGVALINTADNSQVLYRADERFAMCSTSKVMTAAAVLKQSETHDGILQQKMTIKKADLTNWNPVTEKYVGNTMTLAELSAATLQYSDNTAMNKLLAHLGGPGNVTAFARSIGDTTFRLDRKEPELNTAIPGDERDTTSPLAMAKSLRKLTLGDALAGPQRAQLVDWLKGNTTGGQSIRAGLPARWVVGDKTGAGDYGTTNDIAVIWPEDRAPLVLVTYFTQPRQDAKWRKDVLAAAAKIVTEGK ->ARGMiner~~~macB~~~WP_063404331.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063404331.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLEERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGTMGIALSMVIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mgrA~~~WP_048792526.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_048792526.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQLNLKEQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWTESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEAIRPELETACQDVAVASSLDTDEAAELNRLLGKVINAFTEYENE ->ARGMiner~~~tet(C)~~~AMP48941.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~AMP48941.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARRFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~arnA~~~WP_042204136.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_042204136.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITIQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~FosB3~~~WP_039702397.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_039702397.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMIFYK ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071141.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071141.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAYVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGEFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~MexB~~~WP_063322586.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_063322586.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKSVRNFLMVIGVVSRDGSMTREDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVSTAITAQNVQIASGQLGGLPAMPGQQLNATIIGKTRLQTAEQFKAILLKVNPDGSQVRVGDVADVALGGENYSINAQFNGAPASGLAVRLATGANALDTAKALRKTVDDLKPFFPQGLEVVFPYDTTPVVSESIKGVVETLVEAIVLVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFGGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKPIPKGEHGTPKRGFFGWFNRTFDRGVRSYERGVGNMLKHKAPYLLAYIIIVVGMVWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVVDKMREFLLRPSKDGGEGDGVASVFTVTGFNFAGRGQSSGLAFIMLKPWEERNADNTVFKIAGRAQQHFFTFRDAMVFAFAPPAVMELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMASQSKILAQVRPNGLNDEPQYQLEIDDEKASALGITLSEINNTLSIALGSNYVNDFIDRGRVKRVYVQGQPNSRMSPEDLKKWYVRNSAGTMVPFSAFAKGEWVYGSPKLARYNGVEAMEILGTPAPGYSTGEAMAEVETIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRTLMEAAIEACRMRLRPIIMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSIGRRKNTDEHDTPETSKEAGQ ->ARGMiner~~~macB~~~WP_047362788.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047362788.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWAQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_032223141.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032223141.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMTGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~tolC~~~WP_045414304.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045414304.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDRNSNVTSGSLQLTQTLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASTSVSNNRYSGSKKISPDADIGQNTVGLNFTLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPTSPDSVAPENPQQDAAADGYANTASAQPAAARTTKTSGSNPFSH ->ARGMiner~~~mdtH~~~WP_039261870.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_039261870.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALSQPELPWMMLGAVGFMTLLALWWQFSPKRSASGMLEPGA ->ARGMiner~~~sdiA~~~NC_002695.1.912965.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~NC_002695.1.912965.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMETAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFNEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~mdtF~~~WP_001464736.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001464736.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGGFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLIVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~arnA~~~WP_021571368.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021571368.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEESLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~FosB3~~~WP_029377637.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_029377637.1~~~fosfomycin~~~unknown MIQSINHVTYSVSDIKTSIAFYKEILKAEILVESDKTVYFTLGGLWLALNEEKDIPRNEIQYSYTHIAFTINESEFGEWYQWLKDNDVNILEGRNRNIRDKQSIYFTDPDGHKLELHTGTLQDRLDYYKEEKPHMKFYI ->ARGMiner~~~mdtF~~~WP_001503037.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001503037.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGGFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~emrB~~~WP_025712752.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_025712752.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLSMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTMQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPYNPNAQQLYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGGGGAH ->ARGMiner~~~arnA~~~AAC75315~~~peptide unknown +>ARGMiner~~~arnA~~~AAC75315~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtH~~~WP_047071489.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047071489.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLHDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~CAU-1 beta-lactamase~~~WP_010920000.1~~~carbapenem;penam unknown +>ARGMiner~~~CAU-1 beta-lactamase~~~WP_010920000.1~~~carbapenem;penam~~~unknown MKRLILAAAASLLALASAAHADDMPANWTKPTKPYRVVGNIYYVGTEGISSWLITSSEGHVVLDGGPNAETGKLVERNITALGFQLADVKILINTHAHYDHAGGLAQLKADTGAKLWISRDDAPAMTAGHHIGDNIYGPTPMPAVKPDRSFGDQTKLKLGEIAMVAHLTPGHTIGCTSWTTAVVEKGRPLTVTFPCSLSVAGNVLVGNKTHRTIVADYRASFAKLRAIPTDVMLPAHEEQGNLLAKRQKQLRGDPNAFVDPTELARFVDASEAAFNKELARQQAAGPKR ->ARGMiner~~~mdtP~~~WP_001514840.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001514840.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLELDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~mdtO~~~WP_021522583.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021522583.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIASLFLIYKWSYGEPLIRLIIAGPILMSCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEAVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHSITEGQCWQSDWRISESEAMTARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKIMRIPRQQEVTALRTYLHIRIGLHAAFNACEEMCQRVVLERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGVATALSHSPQITLEETSASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~mdtH~~~WP_040092385.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_040092385.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKLFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~arnA~~~WP_021524378.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021524378.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mepA~~~EFR85124.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~EFR85124.1~~~glycylcycline;tetracycline~~~unknown MKQSDNYYLTKASIPKAIAHLSIPMMLGMSVGVIYNIINAFFIGLLHDTSMLTAVTLGLPMFTVLMAIGNMFGVGGGTYISRLLGKEEGIKAKQVSAFVLYGSLVLGILCAILLGFLINPVTHFLGADATSFLHTKNYTLALLICSPFIIANFALEQVVRAEGASRVSMNGMIIATVVNLVFDPLLILYFDFNVAGAAVSVGLASLFSLIYYAWYLEKKSDYLSIR ->ARGMiner~~~macB~~~WP_042064477.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_042064477.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLMRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_045327303.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045327303.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGVAK ->ARGMiner~~~mdtG~~~CP004022.1.gene1785.p01~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~CP004022.1.gene1785.p01~~~fosfomycin~~~unknown MPFLPLYVEELGIKDHESLNLWTGVAFSITFLFSAIAAPFWGKLSDRKGRKLMLLRSALGMAIVMVLIGFAQNIWQLLILRALLGVLGGFVPNANALIATQVPVKKSGWALGTLSTGAVSGALIGPLIGGILADLYGLRPVFFITAAVLFICFIVTLFFVSENFTPVSKKDALSTQQVFSSLKNKRLVICLFFTTMIIQVATGSVTPILTLYIRDLAGSISNLAFISGVIASVPGIAALISAPRFGKLGDRIGPDKVLIFTLGLSIFMLIPMALVSNYWELGALRFLLGAVNAAMLPAVQTLILYNITPAIAGRIFSYNQALRDVGNVTGPLMGAFVAANYGFRAVFYFTAAVVFFNLIYSWISFRTPQRK ->ARGMiner~~~acrE~~~WP_001626061.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_001626061.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVITAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~mdtF~~~WP_016243973.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_016243973.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNSLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~MexE~~~WP_023129766.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_023129766.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWHYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~tetO~~~AIJ27535.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~AIJ27535.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSWKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEERQRLAYVRLYGGILHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPMLQTTVEPSKPQQREMLLDALLEISDSDPLLQYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTSFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~arnA~~~WP_023147955.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_023147955.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYSSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQASDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLCEDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSICNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mecA~~~WP_031879536.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031879536.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGIWKLDWDHSVIIPGMQKDQSIHIENLKSKRGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKRGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~smeE~~~WP_055772372.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_055772372.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGALAMLKLPISMYPEVAPPAVSISANYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQASITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVVGFVSEDGSMDEHDISDYVGSNVLDPLSRVPGVGSIQVFGGKYAMRIWLDPNKLQTYRVSVDEVTAAVRAQNAQVAVGQLGGAPAVKGQQLNATINAQDRLQTPQQFRDIVLRTEADGSTLKLGDIARVELGAETYDFVTRYNGKPASGLAVTLATGANALDTSEGVRKTLDELAANFPRGLKAVIPYDTTPFVKVSIKGVVKTLLEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGILAALGFSINMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHRGLAGRFFNGFNNGFDRTSGSYQRGVRGILARPWRFMAVFLALAVAMGLLFVRLPSSFLPNEDQGILMALVQAPVGATQERTLESIYKLEDHFLQNEKDAVESVFSVQGFSFSGMGQNSGMAFVKLKDWKDRGAKDGVGPITGRAMAALGQIKDAFIFAFPPPAMPELGTASGYTFFLKDNTGQGHDALVNARNQLLGMAGKSGKLANVRPNGLDDTPQLRLDIDVAKAGAHGLSLDAINSTLATAWGSSYVDDFIDRGRVKRVYMQADDGFRMNPEDFNLWTVKNSAGQMVPFSAFASQRWDYGSPRLERYNGVSALEIQGEAAPGVASGDAMQEVEKLASQLPPGFSIEWTAVSYQEREAGSQTPLLYTLSLLIVFLCLAALYESWSVPTAVLMVAPLGILGAVLANTMRGMERDVYFQVAMLTTVGLTSKNAILIVEFAKENLEKGAGVIEATMHAVRDRLRPIVMTSLAFGLGVLPLAIASGAGSGAQRAIGTGVLGGMIAGTLLGLFFIPLFFVVVQRLFNRRRLAGNGESPQA ->ARGMiner~~~bcr-1~~~WP_039065325.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_039065325.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHTVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~MexE~~~WP_058149138.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_058149138.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGAFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~adeG~~~WP_005138439.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_005138439.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARATVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~mdtP~~~WP_000610613.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610613.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDSLIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~lsaB~~~WP_063548266.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_063548266.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYGGKILASVEFNYFPYSVADRNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEETTNERLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELITLIDVSVKYDDQIVNESISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVILGTGLIISYVQQDTSHLKGSLSDFIEQHKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~Escherichia coli ampC~~~WP_024193751.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_024193751.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQRIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVHASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~mdtF~~~WP_003826085.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_003826085.1~~~macrolide;fluoroquinolone;penam~~~unknown MANFFIERPVFAWVLAIIMMFTGGIAIMNLPVAQYPQIAPPTITISAAYPGADAKTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASIILTFKTGTSPDIAQVQVQNKLQLAMPSLPQEVQQQGISVDKSSSNILMVAGFISDNNSLSQYDIADYVASNIKDPLSRTAGVGSVQLFGSQYAMRIWLDPQKLDKYNLTPEDVITQLKVQNNQISGGQLGGMPQSADQQLNASIIVQTRLQTTDEFGKIFLKVQQDGSQVLLRDVARIELGAENYATVARYNGKPAAGIAIKLAAGANALATSQAVKQELNRLSAWFPASMKTVYPYDTTPFIEISIQGVFHTLIEAIILVFLVMYLFLQSFRATLIPTIAVPVVILGTFAILDVAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERIIAEEHLSPKAATHKAMGQLQRALVGIAVVLSAVFMPMAFMSGATGEIFRQFSITLISSMLLSVFVAMSLTPALCAMLLKSHEGEKANTHFLFTRFNHFMEKCTQHYTDSTRRLLRRTGRYMVVYLVIGAGMIVLFLRTPTSFLPEEDQGVFMTTAQLPSGSTMVNTSKVLGEITDYYLTKEQKNVASVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIRRAMMALSTINNAVVYPFNLPAVAELGTASGFDMELLDNGNLGHEKMMQARNQLLALANQSSGEVDGVRPNGLEDTPMFRIHVNAKKAEAMGVALSDINQTISTAFGSRYVNDFLNQGRVKKVYVQADTPFRMLPDNINHWYVRNASGAMTPLSAYSSTEWTYGSPRLERYNGQPAMEILGQPVEGKSSGDAMKFMASLINKLPAGVGYAWTGLSYQEALSTNQAPMLYGISLIVVFLALAALYESWSIPFSVMLVVPIGVVGALLATDLRGLSNDVYFQVGLLTTMGLSAKNAILIVEFAVEIMQKEGKTPLEAAVEAAQMRLRPILMTSLAFILGVIPLAISNGAGSGAQNAVGTGVIGGMLAATVLAIYFVPVFFVLVENMLARFKARR ->ARGMiner~~~macA~~~WP_032177096.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032177096.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLAQVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~APH(6)-Id~~~WP_046127528.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_046127528.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFSALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~mdtP~~~WP_001468067.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001468067.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSLLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~TEM-1~~~ANG14159.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG14159.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLIEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061838454.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061838454.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFILLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~sul2~~~WP_013512945.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_013512945.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAAKRNQARIR ->ARGMiner~~~FosA2~~~WP_063148083.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063148083.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGELWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPLSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~y56 beta-lactamase~~~WP_050159440.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050159440.1~~~cephalosporin;penam~~~unknown MKHSSLRRSLLLAGITLPLVNFSLPTWAAGIPGSLDKQLAALEHSANGRLGIAMINTGNGTKILYRGARRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLLKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRYVLASATKIILSQIS ->ARGMiner~~~mexY~~~WP_058150556.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_058150556.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPESTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~mdtH~~~WP_047052427.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047052427.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHCLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~emrA~~~WP_025712751.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_025712751.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTNRDGEMLASQVRSSPVYESNAREIALDPVNKLIDDIIRANAG ->ARGMiner~~~mdtH~~~WP_062899171.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_062899171.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPIREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~norA~~~WP_001041273.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041273.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKKHRAKLKEQNM ->ARGMiner~~~nalD~~~ERZ05289.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~ERZ05289.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~bacA~~~ZP_04064297~~~peptide unknown +>ARGMiner~~~bacA~~~ZP_04064297~~~peptide~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFEDERAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGVLGVLFHSTIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDVLSSADITLFVTGFVTAFVVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~sdiA~~~WP_050737002.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_050737002.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRECSLRFQKLTCTDEVYQELQRQTQALEFDYYALCVRHPVPFTRPKISVHTTYPPQWQAQYQSKNYFAIDPVLKPENFIQGHLPWTDELFADAEELWHSAREHGLRTGITQCLMLPNHALGFLSVSRTSMLESPLDHEEIELRLQLLVQVALTSLIRFEDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~hmrM~~~WP_042320052.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_042320052.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSLLIMVVLWNAGYIIRAMHNIDPVLADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFCAMLWYVKHARSMRDIRNEQRFSKPDNAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGVCMACFTAIFTVSLREHIALLYNDNPEVVTLAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYVLALTDLVVDRMGPAGFWMGFIIGLTSAAIMMMLRMRFLQRQPSTVILQRAAR ->ARGMiner~~~APH(6)-Id~~~WP_001760685.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_001760685.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIKDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSYGTVANSRW ->ARGMiner~~~macA~~~WP_001201757.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201757.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVIWRKLNAPLPQYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPEQAENQIKEVEATLMELNAERQQAVAELKLARVTLTRQQQLAKTQVVSQQDLDTAVTEMAVKQARIGAIDAQIKRNQASLNTAKTNLEYTRIVAPMAGEVTQVTTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQKAWFTIPGDPQTRYEGALKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGDNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGEGRPGATP ->ARGMiner~~~mdtA~~~SAH60719.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~SAH60719.1~~~aminocoumarin~~~unknown MGLCRVALRLPGLRFEDLVGRVRRSRHPAKQRATLRPFLTVFHISSIFPLCYLTKLVSFPESVSGRERITMKGSNKSRWAIAVGLIVVVLAAWYWHSQSANSTAPAGANSPSQRPTGGGRHGMRGAALAPVQAATAVNKAVPRYLSGLGTITAANTVTVRSRVDGQLMAIHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLVKTNLVSRQELDTQQSLVSESQGTIKADEAAVASAQLQLDWSRITAPIDGRVGLKQVDIGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVIQAQKAGKALVVEAWDRTNKQKLSEGSLLSLDNQIDTTTGTIKLKARFNNQDDALFPNQFVNARMLVATEENAVVIPTAALQMGSEGNFVWVLNSENKVSKHLVKTGIQDSQTVVISAGLSAGDRVVTDGIDRLTEGAQVEVVEAQNTGAKA ->ARGMiner~~~AQU-1~~~WP_024941871.1~~~cephalosporin unknown +>ARGMiner~~~AQU-1~~~WP_024941871.1~~~cephalosporin~~~unknown MKQTSPLSLLALSALLLSPLTQAAPADPLVGVVDDVIRPLVKEHRIPGMAVAVFKEGQPHYFNYGVAELATGKKVSEQTLFEIGSVSKTLTATLGAYAVVKGSIGLDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDKVESLEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGYLAASSLQQPFAQLMEQTLLPGLGLHHTYINVPKQAMANYAYGYSKEDKPIRVTPGVLADEAYGIKTSSADLLAFVKANIKGVDDKGLQQAIALTHQGRYSVGEMTQGLGWESYPYPVSEQTLLAGNSAQVIMKANPTTAAPKEMGSQRLFNKTGSTNGFGAYVAFVPAKGVGIVMLANRNYPIQERVKAAHAILSKLAP ->ARGMiner~~~tet(K)~~~CFD81368.1~~~tetracycline unknown +>ARGMiner~~~tet(K)~~~CFD81368.1~~~tetracycline~~~unknown MIFGRLVQGVGSAAFPSLIMVVVARNITRKKQGKAFGFIGSIVALGEGLGPSIGGIIAHYIHWSYLLILPMITIVTIPFLIKVMVPGKSTKNTLDIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFMLGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVATIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVASGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGVYSNILVAMAILIILCCLLTIIVFKRSEKQFE ->ARGMiner~~~macB~~~WP_014831268.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_014831268.1~~~macrolide~~~unknown MTALLELTDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLARAQALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRASRAAAQKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~WP_004102709.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_004102709.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFIEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQVRQGFWLAGFVSILVMVVLWNAGYIISSMHNIDPAMAVKAVGYLRALLWGAPGYLLFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGIGCGVATASVYWVMFFCMLSWVRRARSMRDIHNAERFSKPDFAVIQRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTLEAQTSARTGVGVGVCLAVITAVFTILMREQIALLYNDNPEVVALASQLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDIVVPRMGPAGFWCGFIIGLTSAAVMMMLRMRFLQRQPSSVILQRAAR ->ARGMiner~~~mtrR~~~WP_050154592.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~WP_050154592.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQTAGVTHGALYWHFKNKEDLFDALFQRICDDIENCIAQDAADAEGGSWTVFRHTLLHFFERLQSNDIHYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITAVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWFSSGESFDLGKTAPRIIGIMMDNLENHPCLRRK ->ARGMiner~~~FosB~~~WP_042983327.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_042983327.1~~~fosfomycin~~~unknown MIKGINHLCFSVSNLEKSIQFYEKVLEGKLLVKGRKTAYFHICGVWIALNEETDIPRNEIHQSYTHLAFTVETEDFTHLLTRLEENKVHLLQGRERDIRDCQSIYFADPDGHKFEFHSGTLQARLNYYKEAKPHMTFY ->ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP004022.1.gene3215.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP004022.1.gene3215.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MHKILLVDDDRELTSLLKELLEMEGFNVVLATDGEQALKLLDASIDLLLLDIMMPRKNGIETLKELRQNFQTPVIMLTARGSDLDRVLGLELGADDYLPKPFNDRELVARIRAILRRSNWSEQKQTDGNTSPILQVDKLQLNPGRQEASFDNEPLELTGTEFTLLYLLAQHLGQVVSREHLSQEVLGKRLTPFDRAIDMHISNLRRKLPERTDGQPWFKTLRGRGYLMVSIT ->ARGMiner~~~MexE~~~WP_043099095.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_043099095.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEARAAVAATQAQLDAARLNLSFTRVTAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSENGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAATKDNATRNEPRG ->ARGMiner~~~mdtO~~~WP_021573013.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021573013.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATALEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~aadK~~~WP_015384183.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_015384183.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGARTNRNIPPDNFQDYDISYFVTDVDSFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVQVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYQYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~novA~~~WP_048475168.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_048475168.1~~~aminocoumarin~~~unknown MRPEEPKWTPSKEALDPTRPAPAEQPRELRRIVALFRPYRGRLAVVGLLVCASSLVSVASPFLLKEILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIASIVAMLALDWRLTLVSLLLLPVFVWISRRVGRERKKITLQRQKQMAAMAATVTESLSVSGILLGRTMGRADSLTRSFSDESEKLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGLALQSGAPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFGRIFEYLDLPVDITERADAVRLERARGEVRLEDVHFAYDAKHGPTLTGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVALDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEIAAAARAAQIHEHIESLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQRAIDSLSQGRTTITIAHRLSTVRDADQIVVLDKGRIAERGTHEELLEADGRYAALVRRDREAAHTPESVVPATPVKVKV ->ARGMiner~~~tolC~~~WP_057518322.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_057518322.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSVAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~mdtG~~~WP_054410905.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_054410905.1~~~fosfomycin~~~unknown MSPSDNDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGITGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADNYGLRPVFFITASVLILCFFVTLFCIKEKFKPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGSVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTASVVLFNAIYSWNSLRRRRLPQVSN ->ARGMiner~~~tolC~~~WP_023226121.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023226121.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSNTHGPGSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARTNSNNGNPFRH ->ARGMiner~~~emrB~~~WP_001187305.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001187305.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mexH~~~WP_043515826.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_043515826.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~FosB~~~CUB43391.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~CUB43391.1~~~fosfomycin~~~unknown MKVKGINHLLFSVSHLYTSIDFYQKVFDAKLLVKGKTTAYFDLNGIWLALNEEPDIPRNDIKESYTHIAFTIEDQEFEEMSAKLKRLHVNILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMDFYD ->ARGMiner~~~tolC~~~WP_001984569.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001984569.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKIKLMLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLKLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDRLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~norA~~~WP_054194603.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_054194603.1~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAIGQNFLILMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYISAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKVSTNGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~mdtH~~~WP_023337086.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023337086.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVSSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMAFSRLGLAFGGALGYTGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~mdtH~~~WP_025237187.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_025237187.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVRTPVREGMACVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPVGMVSSLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAQQPELPWMMLGIIGIITFLALGWQFSPKRAARRLLERDA ->ARGMiner~~~TEM-1~~~ANG10332.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG10332.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIVAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~emrA~~~WP_050193412.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_050193412.1~~~fluoroquinolone~~~unknown MSANAEIQPPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFERAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYAGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~oprA~~~KGV72991.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline unknown +>ARGMiner~~~oprA~~~KGV72991.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline~~~unknown MKAMMKPRARRRGARAARRPNGPRAWPLAVAAALVAGCTLAPRYERPAAPVPAHYWSTATGAPREAGPAAAGGPRAMPDARRGDAGRDARDARLGDWRAYFTDPALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFSGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGADAERLRLAQRRYDSGVASYLELLDAQRSTLESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDGCA ->ARGMiner~~~emrB~~~WP_005031127.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_005031127.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPDAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~tolC~~~WP_032690679.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032690679.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLMQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLSAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNAVEALRQVTGNYYPELASLNVDGFKTNKPQTVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLTASTGVSNTSYSGSKTHNSTQYNDNDAGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESSHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNTLGKPVSTSPDSVAPETPQQDANADGYSSNAAPAATPASTRTTKTSGANPFRQ ->ARGMiner~~~emrA~~~WP_064355128.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_064355128.1~~~fluoroquinolone~~~unknown MLTLLFIIVAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAQTQLAASVRQTRQQMINSKQLQASIEVKKTALSQAQTDLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTKIVSPISGYVSRRSVQPGAQITTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRGGEMLASQVRSAPVYESNAREIGLEPVNKLINDIIQANAG ->ARGMiner~~~vanSA~~~ACO27525.1~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~ACO27525.1~~~glycopeptide~~~unknown MAAVVFVLFLRLFIQGTLGEWIVRFLENSYHLERWDAMIIYQYTIRNNIEIFIYVAVAISILILCRVMLLKFVKYFEEINTGIDILIQNVDKQIELSAEMEFMEQKLNTLKRTLEKREHDAKLAEQRKNEVVMYLAHDIKTPLTSVIGYLILLDEAPDMPREQKAKYVRITLEKAYRLEQLIDEFFEITRYNLQTITLTKKHIDLYYMLVQMTDEFYPQLAAKGKQVVLHASEDLTVFGDPDKLARVFNNILKNATAYSKDDSVIDIKADLSEDVVSIVFENAGYIPKDKLATIFEMFYRLDDARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNSTTFTVELPAFQDLVDKEGS ->ARGMiner~~~mdtP~~~WP_032174475.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032174475.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARCPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~tolC~~~WP_032215554.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032215554.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASKQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mecA~~~WP_031882888.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031882888.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKLYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~novA~~~WP_053683383.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_053683383.1~~~aminocoumarin~~~unknown MRPKEPEWSPSKEALDPNRPAPADQPRELRRIVSLFRPYRGRLAVVGALVGASSLVGVASPFLLREILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIASVVAMLALDWRLTLVSLLLLPVFVWISRRVGRERKRITTQRQKQMASMAATVTESLSVSGILLGRTMGRADSLTRSFSEESEKLVGLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQTGSPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERPDPVRLERAKGEVRLEDVHFAYEAKNGPTLSAIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVVLDGVDVRDLDFDSLARSIGVVSQETYLFHASVAENLRFAKPDATDEEIVEAARAAQIHEHIDSLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQRAIDNLSAGRTTITIAHRLSTVRDADQIVVLDAGRIAERGTHEELLKADGRYAALVRRDRDAAVLPEGAVNEKAVNDTVKGETVKGETVKAAKGETFGDAVPTPVNV ->ARGMiner~~~acrE~~~WP_009652952.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_009652952.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTPHVRVTLLSSLIIPAILLSGCDNSGDRQPHAQIPQVSVYVVHSAPLSVTTELPGRTSAYRVAEVRPQVNGIILQRNFVEGSDVSAGQSLYQIDPATYQAAYNSTKGDEAKAEAAAAIAHLTVKRYAPLLGTKYISQQEYDQAVATARQADADVIAAKAAVESARINLAYTKVTSPISGRIGKSSVTEGALVTNGQTDAMATVQQLDPIYVDVTESSNDFMRLKQESLQHGSDTKSVQLIMENGKPYALQGTLQFSDVTVDESTGSITLRAIFPNPQHALLPGMFVRARIDEGVSPNAILVPQQGVTRTPRGDASVMLVNDKNQVETRAVTASQAIGDKWLITSGLKAGEKVIVSGLQKVRPGVTVKAEEDTATSVAQ ->ARGMiner~~~mdtP~~~WP_000610583.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610583.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTSIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~tolC~~~EXI41265.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EXI41265.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMSAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGGEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~mdtB~~~WP_023219755.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_023219755.1~~~aminocoumarin~~~unknown MQVLPPGSTGGPSRLFILRPVATTLLMAAILLAGIIGYRFLPVAALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVVTLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPIYSKVNPADPPIMTLAVTSNAMPMTQVEDMVETRVAQKISQVAGVGLVTLAGGQRPAVRVKLNAQAVAALGLTSETVRTAITGANVNSAKGSLDGPERAVTLSANDQMQSADEYRRLIIAYQNGAPIRLGNVATVEQGAENSWLGAWANQAPAIVMNVQRQPGANIIATADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVRDTQFELMLAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAVTLAVAILISAVVSLTLTPMMCARMLSQQSLRKQNRFSRACERMFDRVIASYGRGLAKVLNHPWLTLSVAFATLLLSVMLWIVIPKGFFPVQDNGIIQGTLQAPQSSSYASMAQRQRQVAERILQDPAVQSLTTFVGVDGANPTLNSARLQINLKPLDARDDRVQQVISRLQTAVATIPGVALYLQPTQDLTIDTQVSRTQYQFTLQATTLDALSHWVPKLQNALQSLPQLSEVSSDWQDRGLAAWVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTASTPGLAALETIRLTSRDGGTVPLSAIARIEQRFAPLSINHLDQFPVTTFSFNVPEGYSLGDAVQAILDTEKTLALPADITTQFQGSTLAFQAALGSTVWLIVAAVVAMYIVLGVLYESFIHPITILSTLPTAGVGALLALIIAGSELDIIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIFQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIAMVGGLLVSQVLTLFTTPVIYLLFDRLSLYVKSRFPRHKEEA ->ARGMiner~~~floR~~~WP_032634100.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_032634100.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPSMIQLTLSLYMVMLGVGQVAFGPLSDRIGRRPVLLLGAILFIVASFGAAWSSTAAAFVAFRVVQAIGASAMLVAMFATVRDVFAKKPEGVVIYGLLSSMLAFVPALGPIAGALIGEFFGWRAIFVTLAVLALIATAHAGFRWHETRPLNEAKTRRSVLPIFASPAFWVYTLGFSAGMGTFFVFFSTAPRVLISQAGYSEIGFSFAFATVALAMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGMGELFGSPSFLSFILPMWVMAVGIVFTVSVTANGALAQFDDIAGSAVAFYFCVQSLIVSIVGTLAVTLLNGDTAWPVICYATVMAVLVSMGLALLRSREAHTKRSPVA ->ARGMiner~~~mdtH~~~WP_021571167.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_021571167.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGSTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~tet(30)~~~AIA15198.1~~~tetracycline unknown +>ARGMiner~~~tet(30)~~~AIA15198.1~~~tetracycline~~~unknown MNKALIVILATVAIDAIGAGLIFPILPELLTQLTEGGDIGFLYGAMLAVYAVMQFVFSPVLGALSDRFGRRPVLLLSLAGTLIDYLVMALSPLGWILVVGRAMAGITSANMAVASAYITDITPPEQRAARFGLVGAVMSMGFIVGPVIGGVMGAWWLRSPFLIAALFNGLNLAVALFVLPESRKASDEPFDFKQLNPLAPLMWLWNFKPLLPMVIVSIVFGLIAAVPGTIWVLYGAERFGWDSVHMGISLSVFGVSGALSQAFLVGPLTKRFGDLGTLMIGVAFDTLAYTLMAFAYQSWMGYAVAPLFALGGVAMPALQSLLTSRVNDEQQGQLQGVLASLMSLAGIVGPVLTTAIFFSTKDIWIGTIWLVGAALYLLATPLFATVRQCKPAMA ->ARGMiner~~~mgrA~~~WP_053029987.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_053029987.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLSILWDESPVNVKKVVTELALDTGTVSPLLKRMEHVDLIKRERSEVDQREVFIHLTEKSEKIKPELSDASKKVATASSLSKDEVHELNRLLGKVIDAFTESK ->ARGMiner~~~msbA~~~WP_000597249.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597249.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPVEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~mdtH~~~WP_061092649.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_061092649.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGSPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRTARRLLERDA ->ARGMiner~~~OpmH~~~WP_058481433.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~WP_058481433.1~~~triclosan~~~unknown MRKSLLSCIIMLGFSACSYATDLMDIYQHALENDTTFKLAYDTYMSSTEAVPQARAALFPQVGLNAQTGRNYQDSSAGPFSVNLYYGNTLWQVSASQAIFNYQAWAKIAQAKASVKSAQATFNDGAQNLILRTAKAYFDVLFAKDTLDFAEAKKRANKRQYDQATQRFQVGLDAITSVYEAKAAYDQSIATVIAARNNQVNQNENLRKLTNHVYDTLAPLRDSKIPLVKPEPDDVNQWIDTGINQNYKLLAAKYALEVAKENVKALSAGNWPVFSIQSNATQIHNNSTGATFFVPSRQTQANVALAMNFPVFQGGLVQSQTRQAQYDFQSSSEQMESTYRDVVVNSRIAFNTINDGISKVKADRQTVISQKNSLDSTEAQFEVGTRTMVDVVNAQQKLFEAQEQLAKDQYDLINAMLTLKYLSGTLNVNDIQLVNSWLATTRVNGYSTVGSVASK ->ARGMiner~~~sdiA~~~WP_023248834.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023248834.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMATAEDVYTELQYQTQRLEFDYYALCVRHPIPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAARRFGLRRSVTQCVMLPNRALGFLSVSRGSLRCSSFTYDEVELRLQLLARESLSALTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~amrB~~~YP_992987~~~aminoglycoside unknown +>ARGMiner~~~amrB~~~YP_992987~~~aminoglycoside~~~unknown MARFFIDRPVFAWVISLFIMLGGIFAIRALPVAQYPDIAPPVVSLYATYPGASAQVVEESVTAVIEREMNGVPGLLYTSATSSAGQASLSLTFKQGVSADLAAVDVQNRLKIVEARLPEPVRRDGISIEKAADNAQIIVSLTSEDGRLSGVELGEYASANVLQALRRVEGVGKVQFWGAEYAMRIWPDPVKMAALGLTASDIASAVRAHNARVTIGDVGRSAVPDSAPIAATVLADAPLTTPDAFGAIALRARADGSTLYLRDVARIEFGGNDYNYPSFVNGKTATGMGIKLAPGSNAVATEKRVRATMEELAKFFPPGVKYQIPYETASFVRVSMSKVVTTLVEAGVLVFAVMFLFMQNFRATLIPTLVVPVALLGTFGAMLAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEEKLPPYEATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFAFALAVSIGFSAFLALSLTPALCATLLKPVADDHHEKDGFFGWFNRFVARSTHRYTRRVGRVLERPLRWLVVYGALTAAAALLITKLPAAFLPDEDQGNFMVMVIRPQGTPLAETMQSVRRVEEYVRTHSPSAYTFALGGYNLYGEGPNGGMIFVTMKDWKERKRARDQVQAIIAEINAHFAGTPNTMVFAINMPALPDLGLTGGFDFRLQDRGGLGYGAFVAAREKLLAEGRKDPVLTDLMFAGTQDAPQLKLDIDRAKASALGVSMEEINATLAVMFGSDYIGDFMHGSQVRRVIVQADGRHRLDAADVTKLRVRNAKGEMVPLAAFATLHWTMGPPQLTRYNGFPSFTINGAASAGHSSGEAMAAIERIASTLPAGTGYAWSGQSYEERLSGAQAPMLFALSVLVVFLALAALYESWSIPFAVMLVVPLGVIGAVAGVTLRGMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLADAALEAARLRPIVMTSLAFGVGVLPLAFATGAASGAQIAIGTGVLGGVISATLFAIFLVPLFFVCVGRVFDVVPRRRGGAQAALEAK ->ARGMiner~~~mdtN~~~WP_053889253.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_053889253.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADIIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mdtH~~~WP_047653662.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047653662.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMVGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRRMLEPGA ->ARGMiner~~~emrA~~~WP_029884665.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_029884665.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYLQKGDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~tolC~~~WP_049089057.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049089057.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSFTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNSLDNAVEELRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSGVSNNRYSGSKSLAQDADVGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~nalD~~~WP_058010482.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_058010482.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRPAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~acrE~~~WP_004854721.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_004854721.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTPHVRVTLLSSLIIPAILLSGCDNSGDRQPHAQIPQVSVYVVHSAPLSVTTELPGRTSAYRVAEVRPQVNGIILRRNFVEGSDVSAGQSLYQIDPATYQAAYNSTKGDEAKAEAAAAIAHLTVKRYAPLLGTKYISQQEYDQAVATARQADADVIAAKAAVESARINLAYTKVTSPISGRIGKSSVTEGALVTNGQTDAMATVQQLDPIYVDVTESSNDFMRLKQESLQHGSDTKSVQLIMENGKPYTLQGTLQFSDVTVDESTGSITLRAIFPNPQHALLPGMFVRARIDEGVSPNAILVPQQGVTRTPRGDASVMLVNDKNQVETRAVTASQAIGDKWLITSGLKAGEKVIVSGLQKVRPGVTVKAEEDTATSVAQ ->ARGMiner~~~PDC-7~~~WP_065363190.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_065363190.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHTTLTGLSVISACALLLGASSAFAETTADSALKTTVDATIRPLMHQQGIPGMAVAIIANGKRHYFNYGVASKDNQQPVDNDTLFEVGSVSKTYTATLAGYAQASGKLALEDHASQYLPALRGSAFDGISLLQLGTYTAGGLPLQFPDEVQGEDKTLDYYNTWKPTFSPGTQRQYSNPSIGLLGYLAARSLGQPFDRLMEQTLFPKFGLKHSYIHLPKDQLGHYAQGYDKQNRPIRLSPGPLDAEAYGVKTSAPDLLQFIAGNLQPGQFDRPLQQAMVATQSGYYQVGDMTQGLGWERYAYPVPLARLLAGNSSAMALEPHPVQWLTPAAAPKADALYNKTGSTSGFGAYVVFVPSQQIGIVLLANKNYPNEERIKAAHAMLTALETGK ->ARGMiner~~~mfpA~~~WP_055352101.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_055352101.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLTLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~macA~~~WP_002855021.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002855021.1~~~macrolide~~~unknown MKKKVILIVLIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSPSSSSSSSSNAIYYYAKFYVPNKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLAPKMKLRF ->ARGMiner~~~macA~~~WP_065708540.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_065708540.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQLASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQQGQSVDKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~FosB~~~WP_000943760.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000943760.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRELAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIKRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGALQDRLNYYRDEKPHMTFY ->ARGMiner~~~MexF~~~WP_058447148.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_058447148.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALLLVFLGLIAIVVLPVSQYPAIVPPTIQVTTNYPGADAKTLINTVALPIEQQVNGVENMLYMQSTSTNSGNYTLIVTFAIGTDLNFAQVLVQNRVQAAMAQLPESVQQQGVVVQQKSTAILQFVTLTSKNGEYDGLFLDSYATINMQDELSRLPGVGNVIIFGSGAYAMRIWLDPQKMFAFSLNPRDVLNAISNQNKEVSAGQIAAPPVVGQQPYQFTVNVPGQLADPEEFANIIIKSSGTDPNERADASSAAQIVRIRDVGRVELGSSSYSQLAKLNGKPTAAIGIFQLPGANALEVAEEVRKTVAKMAKKFPPGMEYSIPFDTTMFVKASVNEVYKTLFEAGILVLLVIVVFLQNFRATLVPATTVPVTIIGTFFAMFLLGYSINLLTLFALVLAIGIVVDDAIVIVEGVTQHIERGMPPKEAAIEAMRELFGPILGITLVLMAVFVPAGFMPGLTGAMYAQFALVIAATAFISAINAMTLKPTQCALWLKPIDPDKPKNIFFRTFDRFYNPIEASYIRFMDRLVHHTNKVCLIGVLLVAIAIGGISLIPTGFIPIEDQGYLILNVLLPDGATLGRTETVLDELSKKVSTVPGVANVISIDGISLLDNNANLANAGVVYIIFKDWSVRGKGEDLKSLYARFSKIAAQTLNAKVLVVVPPPIQGLGLSGGFQMQVEMQDGSFDYLKLQKVTDQMVKQGNALPELQKVMTSFRAAVPQVSAPINRSKAESLGVSVGSAFDTLQTYLGSSYVNLFTKFGQVFQVYVQADASSRTSIEDVRNYYVKNQYGGMVPLGTLTDINPTIGPALISLYNLYPSSSINGIAAAGYSSGQAIQTLEQLAHKILPGGLSFEWTSTAYQEKVAGNVSYLIFIMSLILVYMILAGQYENWLVPASIILSVPLTLIGTVIALSSLGLDNNMYTQIGLLLLIALATKNAILIVEVAHEQRQIHRKPIMEAAVLGAKTRFRPILMTSFAFIMGVLPLVFATGAGANARRSIGVAVCSGMLASTCLAVVFVPVFYVLLQTWQEKRQMKKKGVKATAE ->ARGMiner~~~macB~~~WP_000188164.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188164.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVAMLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVINTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~arnA~~~WP_000860274.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860274.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIYSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtH~~~WP_062937656.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_062937656.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADCFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~macA~~~WP_047958145.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047958145.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISENLPGAAK ->ARGMiner~~~TEM-1~~~ANG16191.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG16191.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATSLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~vanWG~~~CBL33251.1~~~glycopeptide unknown +>ARGMiner~~~vanWG~~~CBL33251.1~~~glycopeptide~~~unknown MLIDGNKYAKNKSEALLPNTVFETSSLMMNENSGFDMKYQINKVHNLKLAARTINKVIIEPNETFSFWQLVRWADHHEKYKDGLNLVKQVFIELQLKGSNSV ->ARGMiner~~~tolC~~~WP_063390832.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063390832.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNQTSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVAYQTDQQTLILNTANAYFKVLNAIDMLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGVSDTSYNGSNTHGPGSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYEAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHGAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~cmeB~~~WP_014516944.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_014516944.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGVISLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALVLAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGESFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLNKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~norA~~~WP_017637345.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_017637345.1~~~fluoroquinolone;acridinedye~~~unknown MRKQLFTLYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGILVAVFALAQMIISPFGGGLADKLGKKLIICIGLVLFSISEFMFAMGHSFSILIISRVLGGLSAGMVMPGVTGLIADISPSQDKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYFAGGLGIIAFIMSLIVIHNPKKVTTAGFPQYDPELLTKINWKVFLTPVILTLVLAFGLSAFETLFSLYTSDKIGYTPKDISIAITGGGIFGALFQVFFFDKFMKYTSELNFIAWSLLYSAIVLVMLIVAQGYWTIMLISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFMGPLVAGALFDVNIEFPLYMAIAVSLSGIVIIFIEKVVRKNITQK ->ARGMiner~~~macA~~~WP_001459623.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001459623.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTLPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~MexF~~~WP_044407471.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_044407471.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIKRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAIVGVEGMLYMSSQSTNDGKLTLTVTFALGTDLDNAQVQVQNRVTRTMPTLPTEVQRLGVTVDKASPDLTMVVHLTSPDQRYDMLYLSNYAALNVKDELARLDGVGDVQLFGMGNYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGALGAPPSDAGNSFQLSINTQGRLVTEEEFENIIIRAGDDGEITRLRDIARVELGSNQYALRSLLNNQPAVAIPVFQRPGSNAIAISDAVRQRMAELKQGFPQGMDYEIVYDPTIFVRGSIEAVVHTLLEAIVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGKSPVEATRQAMKEVTGPIVATALVLCAVFVPTAFISGLTGQFYQQFALTIAISTVISAFNSLTLSPALAAVLLKDHHAPKDRFSRLLDRLFGGWLFGPFNRFFDRAGKGYVGTVRRVLRGSSIAMLVYGGLLLGGYLGFSSTPTGFVPQQDKQYLVAFAQLPDAATLDRTEAVIKRMSEIAAKHPGVENTVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSMSAGAIAAALNQEFAGIQDAYIAIFPPPPVQGLGTIGGFRLQVEDRGGLGYEELYKQTQNIIAKARQLQELNPMSVFTSYQVNVPQVDAAIDREKAKTHGVAISDIFDTMQVYLGSLYANDFNRFGRTYQVNVQADQPFRLEPEQIGQLKVRNNRGEMIPLSTFVKVDNSSGPDRVMHYNGFITAEINGAAAPGYSSGQAEDAIAKLLKEELPNGMTYEWTDLTYQQILAGNTAIFIFPLCVLLAFLVLAAQYESWSLPLAVILIVPTVLFSAIVGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKEKQEEGLDRLAAVLEACRLRLRPILMTSIAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRGFVEKGEARKAAKAQKLKELHA ->ARGMiner~~~TEM-1~~~ANG17982.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG17982.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAYCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mepA~~~CUM21384.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~CUM21384.1~~~glycylcycline;tetracycline~~~unknown MKHSDNYYLTKASIPKAIAHLSIPMMLGMSVGVIYNIINAFFIGLLHDTSMLTAVTLGLPMFTVLMAIGNMFGVGGGTYISRLLGKEEGIKAKQVSAFVLYGSLVLGIICAIILGFLINPVTHFLGADATSFLHTKNYTLALLICSPFIIANFALEQVVRSEGASRVSMNGMIIATVVNLVFDPLLILYFDFNVVGAAVSVGLASLFSLIYYAWYLEKKSEYLSIRFKWFKATKEIVQNVFKIGVSELLLSLFLIVTTLVLNHYSMIYGEGVVAGFGVALRVVQLPEFICMGLYMGIIPLLAYNYGSGNIARFEKAIRATAIISGLLCSYFRVWYSSSVSK ->ARGMiner~~~cmeB~~~WP_002934926.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002934926.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITTTDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEISSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISITISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLVGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~norA~~~WP_042744224.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_042744224.1~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAIGQNFLILMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKVSTNGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYIAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~mdtH~~~WP_032658038.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032658038.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEAALSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKAFNQPELPWMMLGAVGFMTLLALWWQFSPKRSASGMLEPGA ->ARGMiner~~~CTX-M-15~~~AFQ20798.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-15~~~AFQ20798.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMGSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~sdiA~~~EHC38188.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~EHC38188.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFEYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~nalD~~~WP_044061366.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_044061366.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILSLFNDWLRDPRLFDPHTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~macA~~~WP_023240897.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023240897.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIYLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~macB~~~WP_001457838.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001457838.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALAMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFFPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB3~~~WP_031266123.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_031266123.1~~~fosfomycin~~~unknown MIQSINHVTYSVSDIKTSIAFYKDILKAKILVESGKTAYFTLGGLWLALNEEKNIPRNEIQYSYTHMAFTIDESELGDWYQWLKDNNVNILEGRNRDVRDKQSIYFTDPDGHKLELHTGTLQDRLEYYKEEKPHMKFYI ->ARGMiner~~~macB~~~WP_020239805.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_020239805.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEHVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_001711656.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001711656.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVPHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~lsaB~~~WP_000061781.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061781.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFLNLLLGNYEYSGKILASVEFNYFPYPVADKNKFTHEILEEICPQAEGWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEQATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKVIEEKSKLLKNVEKTESLKLEALKFKSNELVILADVSVKYDDQVVNEPISFIVEQDDRIVLDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDYIEEQKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~adeG~~~WP_040138758.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_040138758.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTTRSRIATAAIATLAVIGLGTFGAMRVNANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARNGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGTLVPGLYARVKVGGSAPHPALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQPGMQHGNRRVIVSGLAAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDEPSAPLANEAKPAASAKAES ->ARGMiner~~~emrB~~~WP_032253300.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032253300.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQQEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~sdiA~~~WP_001154289.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154289.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMETAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFNEAQSLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~mexH~~~WP_031640016.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_031640016.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADALSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~norA~~~WP_002464913.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_002464913.1~~~fluoroquinolone;acridinedye~~~unknown MNKQLFTLYFNIFLIFLGIGLVVPVLPVYLEDLGLKGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICLGLVCFSASEFMFAVGHSFSILILSRIIGGMSAGMVMPGVTGLIADISPADKKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRLPFYFAGALGVIAFIMSVILIKNPKKATTTGFQRIEPQLLTKINWKVFITPVILTLVLAFGLSAFETLFSLYTSYKADYSPKDISIAITGGGIFGALFQIYFFDKFMKYLSELNFIAWSLLYSAIVLGLLVIAHSYWSIMIISFIVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFVGPLIAGALFDVHIEAPLYMAIGVSLAGIVIVLIEKRHRSSLKKQQNN ->ARGMiner~~~mecR1~~~WP_031786005.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_031786005.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVKTMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~vanC~~~EEV32510.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~EEV32510.1~~~glycopeptide~~~unknown MMKKIAVLFGGNSPEYSVSLTSAASVIQAIDPLKYEVMTIGIAPTMDWYWYQGNLANVRNDTWLEDHKNCHQLTFSSQGFILGEKRIVPDVLFPVLHGKYGEDGCIQGLLELMNLPYVGCHVAASALCMNKWLLHQLADTMGIASAPTLLLSRYENDPATIDRFIQDHGFPIFIKPNEAGSSKGITKVTDKTALQSALTTAFAYGSTVLIQKAIAGIEIGCGILGNEQLTIGACDAISLVDGFFDFEEKYQLISATITVPAPLPLALESQIKEQAQLLYRNLGLTGLARIDFFVTNQGAIYLNEINTMPGFTGHSRYPAMMAEVGLSYEILVEQLIALAEEDKR ->ARGMiner~~~arnA~~~WP_023240315.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_023240315.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAGLGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDIAERAS ->ARGMiner~~~macA~~~EU408349.1.gene24.p01~~~macrolide unknown +>ARGMiner~~~macA~~~EU408349.1.gene24.p01~~~macrolide~~~unknown MGNLPRPTLSPSLSGIRPTMNRETTTRVDSSTPAARLGMRVPSTSRAALVGVAALVVILGGWYGIKRWRAHVASEGQYIFAAIQKGDIEDLVTATGSLQPRDYVDVGAQVSGQLDKILVEVGSDVKEGDLLAEIDADVAAARVDASRAQLRSQQAQLVQQQANLTKAERDLTRQQNLMKEDATTAEQVQNAETTLDTTKAQINALKAQMEQLRASMRVDESNLNYTKILAPMSGTVVSISAKQGQTLNTNQQAPTILRIADLSTMTVQTQVSEADVSKLRSGMQAYFTTLGSAGKRWYGQLKKIEPTPTVTNNVVLYNALFEVPNDNKQLLPQMTAQVFFVAAAAHDVLVVPMSAVSLQRTPPGGIPNAAAAQAAGARGAGAQGAGAQGAQGASAQGAGAQSGQGGQGAAALTPEQIARREARRQQRMQSNGGSATGGAIEGGPPRGGFGASMAARGPRHATVRVQAADGKIEERQITIGVTNRVHAEVLSGLKEGERVVAGTKEPEKAPATAGGQQGAGGQRNNIGGFPGGGLGGGFGR ->ARGMiner~~~mdtA~~~CTS89273.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~CTS89273.1~~~aminocoumarin~~~unknown MCPPFRRFPIVFHNSSIFLPYWLATLVSFRETFQEEKLLTMKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRAGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~mdtH~~~WP_047964292.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047964292.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIRFVEQLGWAAVIVGLALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLLRALGFALMAIASEPWILIFSCVLSGLGGTLFDPPRAALIIKLTRPHERGRFYSLLLMQDSAGAVIGALIGSWLLQYNFNIVCWIGAAVFVFAALFNAWLLPAYRISTTRTPIKEGMGRVIKDRRFFYYVLTLTGYFILSVQVMLMFPIIIHEISGTATAVKWMYAIEAVISLTLLYPIARWSEKHFRQEQRLMAGLFLMSICMFPIGWVSQLHLIFGLISLFYLGMVTAEPARETLSASLADPRARGSYMGFSRLGLALGGAFGYTGGGWLYDTGKNLNMPQLPWLLLGLAGLITIYALNRQFNQKKIEPVMINKH ->ARGMiner~~~mdtM~~~WP_001825915.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001825915.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRVGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~mefA~~~WP_032461793.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_032461793.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQIAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~tolC~~~WP_023195115.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023195115.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDITYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~BLA1~~~WP_041185218.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_041185218.1~~~penam~~~unknown MIVLKNKKMLKIGMCVGILGLSITSLVTFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGLYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIARNLKDFTVGNALPHQKRNILTEWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRSPIIIAILSSKDEKEATYDNQLIKEAAEVVIDAIK ->ARGMiner~~~macA~~~WP_063931428.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063931428.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALSKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~emrA~~~WP_024240123.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_024240123.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALTSSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~bacA~~~YP_001644180~~~peptide unknown +>ARGMiner~~~bacA~~~YP_001644180~~~peptide~~~unknown MADWLIGLIMGAVEGLTEFLPVSSTGHMILTGHLIGFEDDRAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGILGVLFHSAIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASALDLIKSWDILSVADIPLFATGFITAFVVAMLAIVSFLKLLGRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~mexH~~~WP_058135529.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058135529.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPILIASAALLCAAVVGIAVYATGSAKKDTGGFAGYPPVKVALATVERRVVPRLFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVERGQLLVQLNDAVEQADLIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIEQKAIRAPFSGRLGIRRVHLGQYLGIAEPVASLVDARTLKSNFSLDESTSPELKVGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQAVLENPEGLLAAGMFASVRVSRKADAPSLSVPETAVTYTAYGDTVFVARQEGDQPLSARRVSVRVGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAALPVPVAGR ->ARGMiner~~~tolC~~~NC_010400.5987140.p01~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~NC_010400.5987140.p01~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKIKLMLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLKLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLTQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~tolC~~~WP_017042671.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_017042671.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFISAALGSLSSSVWADTLTEIYNQAKENDPQLLSSAAQRDAAFEAVTSSRGDLLPQINLTAGYNINRSDIDARESDKLTAGISFSQQLYQRSSWVSLDTAEKNARKADSAYAATQQGLILRVAQAYFEVLRAKDNLAFVRAEKAAVARQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAKNSLTNSYETLREITGQEHSDLSVLDTNRFSASKTTQPIDALLEEAQQKNLSLLTARIAQDVAKDNISLASSGHLPSLTLDGGYKYGDESNDNSNSQGDYNDFNVGINLNVPLYTGGKTTSKTKQAEFAYVAASQDLEKTYRSVVKDVRAFNNNINASIGALRAYEQSVISAKSALEATEAGFDVGTRTIVDVLDSTRRLYDANKNLSNARYDYVLSVLQLRQAVGTLSEQDILDINAGLKADS ->ARGMiner~~~macB~~~WP_044521907.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044521907.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLARLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPSASRQGGGLRARQQEEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSDTAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtM~~~WP_023139226.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_023139226.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIMANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~adeG~~~WP_032050923.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032050923.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTTAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~lsaB~~~WP_054611487.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_054611487.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQDLTFSYPGSFDNIFEGVNFQLDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKIIASVDFTYFPYPVADKNKYTYEIFEEICPQAEDWEYLREISYLNIDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKIVADYLKKKKGFILISHDRTFLDGCVDHILSINRANIDVQSGNYSSWKLNFDRQQEHEEATNHRLQKDIERLKHSSKRSAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKHIESRQQKALDEKSKLLKNVEKTESLKLEPLAFQSKELMTLTDVSVLYDDQIINQPISFKVEQGDRIVLDGKNGSGKSSILKLILGNAIQHTGSIHKGSNLTISYVQQDTSHLQGMLSDFIEEHDIDETLFKSILRKMDFDRIQFEKDIAHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRLQIEELIQSFNPTMVFVEHDQAFQQTVATKIISMR ->ARGMiner~~~macB~~~WP_061695226.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061695226.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKNRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNAAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli mdfA~~~CEJ63695.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~CEJ63695.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGLDWVPTSMTAYLAGGMFLQWLLGPLSDRVGRRPVMLTGVLWFIVTCLATLLAQNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFVLFAALAAIAFFGLQRAMPETATRLGEKLSIKELGKDYKLVLKNVRFVAGALALGFVSLPLLAWIAQSPIIIISGEHLSSYEYGLLQVPIFGALIAGNLVLARLTSRRTVRSLIIMGGWPISVGLIIAAAATVFSSHAYLWMTAGLSLYAFGIGVANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIELSKHAYLLGGNGLFSLFNLASGVLWLILMVIFLKDKRVGDSREG ->ARGMiner~~~tolC~~~WP_025912506.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_025912506.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLNQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGTPPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~macB~~~WP_032225054.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032225054.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKENVAGGTEPVVKTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQRFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTITGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~arnA~~~WP_001655580.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001655580.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWGERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~emrA~~~WP_024249346.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_024249346.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQMSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIISPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDMKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~FosB~~~WP_043866977.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_043866977.1~~~fosfomycin~~~unknown MNIKGVNHLLFSVSDLEKSIEFYEKVFHAQLLVKGQKTAYFDLKGLWLALNLEADIPRNEIHKSYTHTAFTIDPKDFDAILQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFRTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~macB~~~WP_063955653.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063955653.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRRDHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_006877374.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_006877374.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLVISAALGSLSTNAFADTLADIYNQAKENDPTLLSAAAQRDAAFEAVTSSRATLLPQINLTAGYNINRSDLDPRESDKLTAGISFSQELYQRSSWVALDVSEKSARQADASYAAAQQALILRVATAYFDVLRAQDNLEFVQAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDAVLADEVLAQNSLVNSYEGLREITGQEHSNLDVLDTKRFSASKTSTAIDALVNEAQEKNLSLLSARIAQDVAKDNISLASSGHLPSLTLDGGYNYGDESNDNASYVGDYNDFNVGVNLVVPLYTGGNITSQTKQAEYNYVAASQDLEASYRSVVKDVRAFNNNISASIGALRAYEQTVVSAQSALEATEAGFDVGTRTIVDVLDSTRRLYDANKNLSNARYDYILSVLQLRQAVGTLSEQDILDVNAGLKTASK ->ARGMiner~~~emrB~~~WP_001547646.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001547646.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPQFGAGGGGGGAH ->ARGMiner~~~viomycin phosphotransferase~~~WP_030734469.1~~~peptide unknown +>ARGMiner~~~viomycin phosphotransferase~~~WP_030734469.1~~~peptide~~~unknown MRITETHRDLLSRLLPGDTVGGLAVHEGQFHHVVIGSHRVVCFARTRAAADRLPGRADVLRALAGIDLGFRTPQPLSEGGAQGTDEPPYLVLSRIPGAPLEDDVLTSPEVAEAVARQYATLLSGLAAAGDEEKVRAALPEAPANEWQEFATGVRTELFPLMSDGGREHAERELAALDALPHLTSAVVHGDLGGENVLWETVDGVPRMSGVVDWDEVGIGDPAEDLAAIGASYGEELLGRVLALGGWADNGTAERISAIRGTFALQQALYAQRDGDEEELADGLSGYR ->ARGMiner~~~FosB~~~WP_065483312.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_065483312.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFKICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~mdtM~~~WP_023308649.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_023308649.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTQAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~sdiA~~~WP_042307713.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_042307713.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDHDFFTWRRSMLLRFQEMATAEDVYNELQQQTQHLEFDFYALCVRHPVPFTRPKTSLHTTYPKAWVAHYQSENYFAIDPVLKPENFSQGHLPWDDTLFRDAQPLWDAARNHGLRKGMTQCLMLPNRALGFLSVSRASIRNSRFANDEVELRMQLLVRESLSVLTRLEDDMVMTPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~tolC~~~WP_044179865.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_044179865.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRRSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDNDGVNSNATSASLQLTQSLFDMSKWRALTLQEKSAGIQDVTYQADQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVESLRQVTGNYYPELASLNVERFNTDKPKPVNALLKEAESRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTSVSNTKYNGSATSGAAGQAYQDRNIGQNSVGLSFNLPIYSGGSVTSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDASEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINQLNIKSALGTLNEQDLVALNNSLGKAIPTTPESVAPENPEQDARVERMASGLTAQPASANVMQ ->ARGMiner~~~mdtN~~~WP_053271887.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_053271887.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYGSADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~amrB~~~WP_006410704.1~~~aminoglycoside unknown +>ARGMiner~~~amrB~~~WP_006410704.1~~~aminoglycoside~~~unknown MARFFIDRPVFAWVIALFIMLGGAFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTALIEREMNGAPGLLYTSATSSAGMASLYLTFRQGVNADLAAVEVQNRLKTVEARLPEPVRRDGIQVEKAADNIQLVVSLTSDDGRMTGVQLGEYASANVVQALRRVDGVGRVQFWGAEYAMRIWPDPVKLAGHGLTASDIAAAVRAHNARVTVGDIGRSAVPDSAPIAATVFADAPLKTPADFGAIALRSQADGAALYLRDVARIEFGGSDYNYPSYVNGKVAVGMGIKLAPGSNAVATENRIRAAMDELSAYFPPGVKYQIPYETSSFVRVSMNKVVTTLIEAGVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGAMYAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEERLAPYDATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFALSLAVSIAFSAFLALSLTPALCATLLKPVDDGHHDKRGFFGWFNRFVARSTQRYATRVGAMLNKPLRWLVVYGVLTAVAALMLTRLPSAFLPDEDQGNFMVMVIRPQGTPLAETMQSVREVESYLRREEPAAYTFALGGFNLYGEGPNGGMIFVTLKNWNARQAARDQVQAIVARVNERFAGTPNTTVFAMNSPALPDLGSTGGFDFRMQNRGGLDYAAFSAAREQLLAAGAKDAALTDLMFAGTQDAPQLKLDIDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVIVQADGQHRLDPDDVKKLRVRNARGEMVPLAAFATLHWTLGPPQLTRYNGYPSFTINGSAALGHSSGEAMAAIERIAATLPAGIGHAWSGQSFEERLSGAQAPLLFALSVLVVFLALAALYESWSIPLAVMLVVPLGVIGAVLGVTLRAMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLLAQRMSLAEAALEAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQTAIGTGVLGGVIAATVLAVFLVPLFFVVVGRLFGFGTRRRGSAPAVNVEGSR ->ARGMiner~~~FosB3~~~WP_056489772.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_056489772.1~~~fosfomycin~~~unknown MPQLQGLNHLLFSVSDLEKSFCFYRDVLHANPLVRGRKLAYFELNGYWLALNEEPDIPRNEIAHSYTHMAFTITEESFDEWYAHLEKHGVTILHGRDRSERDKRSIYFTDPDGHKFELHTGTLQDRLAYYRDDKHHMTFFE ->ARGMiner~~~adeA~~~WP_028854053.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~WP_028854053.1~~~glycylcycline;tetracycline~~~unknown MKIERLRRHGGVHVLLAAMLAGCGPSEPQSAPPAAEVATTTVAPAHLELTEDLPGRVAAVRVAEIRPQVSGIVQRRLFEQGTEVRAGQPLFQINPAPFRADTDTAAAALRRAEAALARARVQTTRLQPLVEADAVSRQAYDDAVSQRDQTAADVEQARATLARRQLDLKFATVEAPIPGRIDQALVTEGALVSSGDSNPMARIQQIDQVYVDVRRPASSLEALRQTLATQKTGAGNGLPVAVLRSNGEPYEAKGHILFSGINVDAGTGDVLLRVLVNNPQRQLLPGMFVRARVPHARYTDALTVPQQAVVRVGGQPQVWTLDANSHARIKPVELGELADRRYRIRAGLSAGQKIVVEGMERLSDGAAVAAHDWKSPEPVPAGPAR ->ARGMiner~~~sdiA~~~WP_032686892.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032686892.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDIDFFSWRREMLQQFQSITTGGEVYNLLQQQTEGLEYDYFALCVRHPVPFTRPRITLQSTYPQAWMTHYQAENYFAIDPVLRPENFLRGHLPWDDRLFRDTPELWDGARDHGLNKGVTQCLTLPNHAQGFLSVSGRSRSAGPFHEDEQEMRLRTLTELSLLTLLRLEDAMVMPPEMKFSRRELEILKWTAEGKTSAEVAIILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~FosB~~~CUB49502.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~CUB49502.1~~~fosfomycin~~~unknown MEIKGINHLLFSVSHLDTSIDFYQKVSDAKLLVKGRTTAYFDMNGIWLALNEEPDIPRNDIKLSYTHIAFTIEDHEFEEVSAKLKRLHVNILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMHFYDETAF ->ARGMiner~~~norA~~~YP_185633~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~YP_185633~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~mecA~~~WP_053862229.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_053862229.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNSEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~mdtM~~~WP_001724394.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001724394.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFPRHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGATTISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGIIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mdtH~~~ESD71277.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~ESD71277.1~~~fluoroquinolone~~~unknown MLIFYFPGGEMSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKSFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~emrA~~~WP_048980086.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_048980086.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKGDPLVTLDRTDAQQAFEKAKTQLAASVRHTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~tolC~~~WP_023306968.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023306968.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPEQDAAVDNFNANGSAPAAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~tolC~~~WP_061341608.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_061341608.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEGLRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~emrA~~~WP_049270942.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_049270942.1~~~fluoroquinolone~~~unknown MSVNAETQTPQQPVKKNGKRKSMLLLLTLLFIIIAVAYGIYWFLVLRHVEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKQGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQASIEVQRTALAQAQSDFNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILGSKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPATNLWVDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLAQHPLRIGLSTLVTVDTSNRDGQILASQVRTTPVSESNAREINLAPVNKMIEEIVQANAG ->ARGMiner~~~vanYB~~~WP_010815297.1~~~glycopeptide unknown +>ARGMiner~~~vanYB~~~WP_010815297.1~~~glycopeptide~~~unknown MEKSNYHSNVNHHKRHMKQSGEKRAFLWAFIISFTVCTLFLGWRLVSVLEATQLPPIPATHTGSGTGVVENPEENTLATAKEQGDEQEWSLILVNRQNPIPAQYDVELEQLSNGERIDIRISPYLQDLFDAARADGVYPIVASGYRTTEKQQEIMDEKVAEYKAKGYTSAQAKAEAETWVAVPGTSEHQLGLAVDINADGIHSTGNEVYRWLDENSYRFGFIRRYPPDKTEITGVSNEPWHYRYVGIEAATEIYHQGLCLEEYLNTEK ->ARGMiner~~~FosB3~~~WP_061651133.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_061651133.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPHNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~MexA~~~WP_034007400.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_034007400.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQRAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~mdtH~~~WP_058656073.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_058656073.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLIMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~efmA~~~WP_019293694.1~~~macrolide;fluoroquinolone unknown +>ARGMiner~~~efmA~~~WP_019293694.1~~~macrolide;fluoroquinolone~~~unknown MNTSVPPNWRKNFYLFLIGQLLTGVTSMIVQYAIIWYLTLETGEESVLAIATLVGMLPMALLSPFVGPFIDRINKKFLLISYDAVVAVIALGLFIYGINNDVYPLWMVFVTIGIRAVAQTAQMPTVQSIMPTMVPEDEITRVNGQFGIIQSLIFIVSPGIGAFMVATMPIHWVILLDVIGFILGAGMLLLVRIPEVASQGEKISVMKDALEGFNILRENKPMWKMTLIGALFMLLFMPAMSLYPLVTTKYFGGTIVHAGWVEVLFAAAMLIGSFAVGIFGKTKDRMPWIIAAYLIVGLSIGGSGFLPGNMNGFWVFLVLNVFAGIVGQIYTTMNMAITQQSFEAQYLGRVMGIVSALMSIAGPVGLIFAAPVAESIGVQNMLVIAGFGGILAAALLYCTPSVRNYDKHLQRKLENEGQ ->ARGMiner~~~Escherichia coli ampC~~~WP_001314358.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001314358.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKILQQGIQLAQSRYWQTGDMYQDLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~acrE~~~WP_004125856.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_004125856.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTPHVRVTLLSSLIISAILLSGCDNSGDEQPHAQTPQVSVYVVHSAPLSVTTELPGRTSAYRVAEVRPQVNGIILLRNFVEGSDVSAGQSLYQIDPATYQAAYNSTKGDEAKAEAAAAIAHLTVKRYAPLLGTKYISQQEYDQAVATARQADADVIAAKAAVESARINLAYTKVTSPISGRIGKSSVTEGALVTNGQADAMATVQQLDPIYVDVTESSNDFMRLKQESLQHGNDTKNVQLIMENGEPYTLQGTLQFSDVTVDESTGSITLRAIFPNPQHALLPGMFVRARIDEGVSPNAILVPQQGVTRTPRGDASVMIVNDKNQVETRAVTASQAIGDKWLITSGLKAGEKVIVSGLQKVRPGVTVKAEEDTATSVAQ ->ARGMiner~~~macB~~~WP_063079000.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063079000.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFASGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~acrB~~~WP_052909762.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_052909762.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQAQGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~MexB~~~WP_047228032.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_047228032.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKSVRNFLMVIGVVSRDGSMTREDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNYSLTPVDVTNAISAQNVQIASGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNPDGSQVRVGDVADVALGGESYSINAQFNGAPASGLAVRLATGANALDTAKALRQTVEDLKPFFPQGLEVVFPYDTTPVVSESIKGVVETLIEAVALVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFGGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKPIPKGEHGTPKRGFFGWFNRTFDRGVRSYERGVGNMLKHKAPYLLAYVIIVVGMVWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVVDNMREFLLRPSKDGGEGDGVASVFTVTGFNFAGRGQSSGLAFIMLKPWEERDAENTVFKIAGRAQQHFFTFRDAMVFAFAPPAVMELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMASQSKILSQVRPNGLNDEPQYQLEIDDEKASALGITLSDINNTLSVALGSSYVNDFIDRGRVKRVYVQGQPNARMSPEDLQKWYVRNSAGTMVPFSAFAKGEWVYGSPKLARYNGVEAMEILGSPAPGYSTGEAMAEVEAIAQKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRTLVEAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSIGRRKNIDQDDTPETSKEAGQ ->ARGMiner~~~macB~~~WP_064152164.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064152164.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEGILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRDPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSNSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-207~~~ANG19421.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG19421.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVAIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~arnA~~~WP_000860284.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860284.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQTGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLIDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtG~~~WP_032710193.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032710193.1~~~fosfomycin~~~unknown MSSADTPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAVASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLILRALLGLLGGFIPNANALIAIQIPRQKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTATVLFICFLFTLFLIRETFVPVNKKEMLNARDVFGSLKNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALLSAPRLGKLGDRIGPEKILIVALVISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTACVVLFNAFYSTISLRRPRHDASADDGGSGKRSVN ->ARGMiner~~~acrE~~~WP_024219709.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_024219709.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESSGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~tolC~~~WP_000735301.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735301.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGANSAQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPPTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~sdiA~~~WP_032256217.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032256217.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDKDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~arnA~~~WP_001603016.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001603016.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_039267829.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_039267829.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIVRALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_048215532.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048215532.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQSIFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLDLTASTGVSDTSYSGSKTNSSQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDSIAPENAQQDAAADGYTSNSATPAAQPTAVRSTSSTGNNPFRN ->ARGMiner~~~MexC~~~WP_034040500.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexC~~~WP_034040500.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MADLRAIGRVGALAMAIALAGCGPAEERQEAAEMVLPVEVLTVQAEPLALSSELPGRIEPVRVAEVRARVAGIVVRKRFEEGADVKAGDLLFQIDPAPLKAAVSRAEGELARNRAVLFEAQARVRRYEPLVKIQAVSQQDFDTATADLRSAEAATRSAQADLETARLNLGYASVTAPISGRIGRALVTEGALVGQGEATLMARIQQLDPIYADFTQTAAEALRLRDALKKGTLAAGDSQALTLRVEGTPYERQGALQFADVAVDRGTGQIALRGKFANPDGVLLPGMYVRVRTPQGIDNQAILVPQRAVHRSSDGSAQVMVVGADERAESRSVGTGVMQGSRWQITEGLEPGDRVIVGGLAAVQPGVKIVPKPDGAQAQAQSPAPQQ ->ARGMiner~~~cmeA~~~WP_002861131.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002861131.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQNNTILALSVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLSLSFTYPAKLVSDYDVIIKPQVSGVIVNKLFKAGDKVKKGQTLFIVEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKNAISQKEYDSSLATFNNAKASLTTARAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYVSVSTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAIKQDQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~emrB~~~WP_004917586.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_004917586.1~~~fluoroquinolone~~~unknown MTIALSLATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLARRIGEVRLFLWSTGLFALTSWLCGISGSLEMLILFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSMTIVIAPICGPILGGYISDNYHWGWIFFINVPFSIAIIFAIMQTLKGRETKISIQPIDTIGLVLLVIGIGALQIMLDQGKELDWFNSTEIIVLTVIAVVAISFLIVWELTDDHPVIDLSLFKERNFTIGCLSLSLAYMLYFGTIVLLPQLLQEVYGYTATWAGLASAPVGLLPLLITPIIGRFGNRIDMRYIVTFSFIVYAVCYYWRAYTFEPDMGFAAAAWPQFVQGLAIACFFMPLTTITLSGLPPEKMASASSLSNFTRTLAGAIGTSITTTMWTQREAMHHENLTEFINPYNPNSQHMYSELAQIGMNEQQSAAYLAKTITDQGLILSANEIFWLSAGIFILLMVIVWFAKPPFGAGSKGGGAH ->ARGMiner~~~adeG~~~WP_016804383.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_016804383.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMFLHENADAKAAPTSAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSIVRKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDVKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPITPHFVPMPNAQITANATSSQPQPTDKTSTPAKG ->ARGMiner~~~tolC~~~WP_000735339.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735339.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSNRDSRDSDTLSAGVGFSQELYQRSSWVNLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLTARISQDVARDNISLASSGHLPSLTLNGDYNYADNRNSHASNPSDYNDFKIGVNLKVPLYTGGKTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~mdtA~~~ELG26004.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~ELG26004.1~~~aminocoumarin~~~unknown MCPSFRRFPTVFHNSSIFLPYWLATLVSFRETFQEEKLLTMKGSYKSRWVIVIVLVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRAGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPIDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLLFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~emrB~~~WP_001539415.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001539415.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRIGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macB~~~WP_024247236.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024247236.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQIVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQLWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~arnA~~~WP_001721607.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001721607.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLGQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~adeB~~~WP_000987616.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987616.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGLLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTAILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~smeE~~~WP_057508902.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_057508902.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGALAVTTLPVSMYPEVAPPAVEISATYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSANGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLQVLGFVSNDNSMDANDISDFVGSNVVDPLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYKLSVDEVTTAITAQNAQVAIGQLGGAPSVKGQQLNATINAQDRLQTPEQFRNILVRGGTDGSELRLGDVARVELGAESYDFVTRYNGKPSTGIAITLATGANALDTANGVRAALEDMKATFPAGLESVVPYDTTPFVQVSIKGVIKTLIEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGVLSVLGFSVNMLTMFAMVLAIGLLVDDAIVVVENVERIMAEEGLSPLEATRKSMTQITGALVGIGLVLSAVFVPMAFMGGATGVIYRQFSATIVSAMGLSVLVAIVLTPALCATMLKPLKKGEHHVAHKGWSGRFFGGFNRGFDASSEKYQRGVKGIISRPWRFMGVFAALSVVMVLLFMRLPSSFLPNEDQGIMMALVQTPVGSTQERTLEAMAKLENHFLENEGEAIESIFAIQGFSFAGMGQNAGMAFVKLKDWKDRDAEQSVEAVTGRAMGALSGIKDAFIFAFPPPAMPELGIGSGYTFFLKDNSGQGHEALLNARNQLLGAAGQSKLLANVRPNGQEDTPQLRIDVDVEKANALGLNMTSINNTLATAWGSSYIDDFIDRGRVKRVYVQSDADFRMNPDDFNVWSVKNSAGEMVPFSAFASKRWDFGSPRLERYNGVSAMEIQGEPATGVASGDAMNEIERIAKDLPPGYEIEWTALSYQERQAGSQTPLLYSLSLLIVFLCLAALYESWSVPTSVLLVAPLGILGAVLANTLMGLERDIYFQVAMLTTVGLTSKNAILIVEFAKENLEKGAGLIEATMHAVRDRLRPIIMTSLAFGLGVLPLAIASGAGSGAQRAIGTGVLGGMLAGTLLGVFFIPLFFVVVQKLFNRKLRDAAKSSDTP ->ARGMiner~~~tet(C)~~~WP_053259068.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_053259068.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGTGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~vanN~~~AJY53584.1~~~glycopeptide unknown +>ARGMiner~~~vanN~~~AJY53584.1~~~glycopeptide~~~unknown MKKIALIFGGTSSEYEVSLKSATSVLSVLENLDIEIYKIGIASNGKWYLTFSDNETISNDLWLQEKKINEITPSFDGRGFYNQSEKAYFKPDILFPMLHGGTGENGTLQGVFECMQIPYVGCRAASSAICMNKYLLHQFAKSVGVMSTPTQLISSTDDQQVIKNFTELYGFPIFIKPNEAGSSKGISKVHTEAKLAQALTEAFQFSQTVILQKAVSGIEIGCAILGNNQLLIGECDEVSLATDFFDYTEKYQMTTAKLIVPAKIPVVTSREIKRQAQLLYQLLGCRGLARIDFFLTETGEILLNEINTMPGFTNHSRFPAMMAATGITYQELISTLITLAEER ->ARGMiner~~~qacA~~~AAC38780.1~~~fluoroquinolone unknown +>ARGMiner~~~qacA~~~AAC38780.1~~~fluoroquinolone~~~unknown MISFFTKTTDMMTSKKRWTALVVLAISLFVVTMDMTILIMALPELVRELEPSGTQQLWIVDIYSLVLAGFIIPLSAFADKWGRKKALLTGFALFGLVSLAIFFAESAEFVIAIRFLLGIAGALIMPTTLSMIRVIFENPKERATALAVWSIASSIGAVFGPIIGGAILEQFSWHSAFLINVPFVIIAVVAGLFLLPESKLSKEKSHSWDIPSTILSIAGMIGLVWSIKEFSKEGLADIIPWVVIVLAITMIVIFVKRNLSSSDPMLDVRLFKKRSFSAGTIAAFMTMFAMTSVLLLASQWLQVVEELSPFKAGLYLLPMAIGAMVFAPIAPGLAARFGPKIVLPSGIGTAAIGMFIMYFFGHPLSYSTMALALILVGAGTASLAVASALIMLETPTSKAGNAAAVEESMYDLGNVFGVAVLGSLSSMLYRVFLDISSFSSKGIVGDLAHVAEESVVGAVEVAKATGIKQLANEAVTSFNDAFVATALVGGIIMIIISIVVYLLIPKSLDITKQK ->ARGMiner~~~macA~~~WP_063925205.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063925205.1~~~macrolide~~~unknown MNLKGKRRTWFLLLAVIVIGSGFWLWQILNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGALKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESTGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAK ->ARGMiner~~~cmeB~~~WP_002877501.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002877501.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGVISLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALVLAIGIVVDDAIIVVENIDRILHENEQINVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLNKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~sdiA~~~CP000647.1.gene2414.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~CP000647.1.gene2414.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLHQFQSMATGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWNDSLFRDAPALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPGGYPEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~tolC~~~WP_060580263.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_060580263.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGINSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDNNMGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPQQDAAVDNFTANSYTPVAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~mepA~~~WP_033861814.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_033861814.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMSAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQETGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~EXO beta-lactamase~~~WP_059213806.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_059213806.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAATLVPGTAHASSGGRGHGHGSGSVSDAERRLAGLERASGARLGVYAYDTGSGRTVAYRADELFPMCSVFKTLSSAAVLRDLDQNGEFLSRRIFYTQDDVEQADGAPETGKPENLANGMTVEELCEVSITASDNCAANLMLRELGGPAAVTRFVRSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLVLGDALNPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRAPIVLTVLTAKTEQDAARDDGLVADAARVLAETLG ->ARGMiner~~~sdiA~~~WP_001662382.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001662382.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQEKHAEEIQCAK ->ARGMiner~~~msrA~~~WP_001556865.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_001556865.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETVGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALISQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSLHDIT ->ARGMiner~~~lsaA~~~WP_010712758.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010712758.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSRLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFANRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~macA~~~WP_032248590.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032248590.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPDQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTKAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~MexF~~~WP_009044138.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_009044138.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFISRPIFAAVLSLLILIAGSISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKITLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGTLGAPPAPSATSFQLSVNTQGRLVTEEEFENIIIRSGDNGEITRLKDIARVELGSNQYALRSLLNNQPAVAIPIFQRPGSNAIEISNEVRAKMAELKQSFPQGMDFSIVYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLNPVEATKRAMREVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKDHHAPKDGFSKFLDKLLGGWLFKPFNRFFDRASHGYVGTVRRVIRGSGIALFLYAGLMVLTWFGFAHTPMGFVPAQDKQYLVAFAQLPDAASLDRTEDVIKRMSDIALKQPGVESAVAFPGLSINGFTNSPNNGIVFVTLKPFDERKDPSMSAGAIAGALNGKYADIQEAYMAIFPPPPVQGLGTIGGFRLQVEDRSGMGYEELYKEVQNVIAKSRSVPELAGLFTSYQVNVPQVDAAIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEAEQIGQLKVRNNKGEMIPLATFIKVSDTAGPDRVMHYNGFVTAEINGAAAPGYSSGQAEAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVILSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVFSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERSEARKATRALKLEAQQ ->ARGMiner~~~mdtH~~~WP_043015905.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_043015905.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYVGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSLKRPTRSMLEPDA ->ARGMiner~~~tolC~~~WP_053310753.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_053310753.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFISAALGSLSTSVWADTLADIYNQAKENDPQLLSAAARRDAAFEAITSSRSSLLPQINLTAGYNINRSNIVNRESDKLTAGINFSQELYNRSSWITLDTAEKSARQADSTYAATQQSLILRVAQSYFEVLRAQDNLEFVRAEKAAVARQLEQTKQRFEVGLSAITDVHDAQAQYDAVLADEVLAENSLVNSYEALREITGQEHTNLNVLDTDRFSASKTATSMNTLLEEAQQKNLNLLTARIAQDVAKDNISLASSGHLPSLTLDAGYNYGDESNDHNTSSNLDAYNDFNIGVNLVVPLYTGGNISSQTKQAEFAYVAASQDLEKTYRSVVKDVRAYNNNISASIGALRAYEQSVISAKSALEATEAGFDVGTRTIVDVLDSTRRLYDANKSLSNARYDYLLSVLQLRQAVGTLSEQDILDINAGLKAAG ->ARGMiner~~~mecR1~~~EVW85930.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~EVW85930.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MNDLKYVKDKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~nalC~~~WP_039699719.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_039699719.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNERGRQRRRALLAAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRLAQG ->ARGMiner~~~FOX-4~~~WP_034524039.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-4~~~WP_034524039.1~~~cephalosporin;cephamycin~~~unknown MELIYACARIDCASHGVGVTYIHHETHQMEKATTRSLLVLSTLLLSPLSHAKEAEPLTAVVDGAIQPLLKEHRVPGMAVAVLKEGKAHYFNYGVADRESGARVSEQTLFEIGSVSKTLTATLGAYAAVKGGFQLEDKASQHAPWLKGSAFDGVSMAELATYSAGGLPLQFPDGVDSTDKMHAYYRQWTPAYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPVRVSPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAAVTSAIALTHTGFHSVGGMTQGLGWESYAYPVTEQVLLAGNSPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPNEARIKAAHAILEALAAE ->ARGMiner~~~SHV-94~~~CDI21401.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-94~~~CDI21401.1~~~carbapenem;cephalosporin;penam~~~unknown MVMRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQHLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~novA~~~WP_032778631.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_032778631.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPDARTDPGRPPAEVRRILRLFRPYRGRLAVVGLLVGASSLVGVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGNERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQGFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFENVDFSYDEKNGPTLTSIDVTVPAGDSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGIDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPEATDEEIEAAARAAQIHDHIASLPDGYDTMVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRVAERGTHEELLDRDGRYAALIRRDSHPVPVPAP ->ARGMiner~~~novA~~~WP_017949361.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_017949361.1~~~aminocoumarin~~~unknown MLIELYVPDPRREPMRHDDGPSWTPPERPLDPARPAEPAQVRRILRLFRPYRTRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMIAAAVTTSVFGVLQTLISTTVGQRVMHDLRTGVYARLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNATAVVATIVAMLALDWRLTLVSLVLLPVFVWISRRVGRERKAITTQRQKQMAAMAATVTESLSVSGILLGRTMGRADSLTRSFADESERLVGLEVRSSMAGRWRMAVIGIVMAAMPAFLYWAAGLTLASGGSAISIGTLVAFVSLQQGLFRPAVSLLSTGVQIQTSLALFQRIFEYLDLRVDITEPEDPVRLDEVAGEVRFEKVGFSYDAGGGTTLDDIDITVPAGGSLAVVGPTGSGKSTLGYLVPRLYDVTEGRVTLDGVDVRDLDFDTLSRSVGVVSQETYLFHASVADNLRFAKPDATDDEIEAAARTAQIHDHIAALPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQRAVDALSAGRTTITIAHRLSTVRDADQIVVLEGGRIAEHGTHEELIDRNGRYAALVRRDGRPAPVVS ->ARGMiner~~~arnA~~~WP_000648766.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000648766.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGVLPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~Escherichia coli emrE~~~CP001138.1.gene1489.p01~~~macrolide unknown +>ARGMiner~~~Escherichia coli emrE~~~CP001138.1.gene1489.p01~~~macrolide~~~unknown MTKEAVIFLFIAIVVEVIATISLKLSDSFTRLVPSIVTIIGYCIAFWCLTIPMRTIPAGIIYAIWSGVGIVLIGLIGWLFLGQKLDVPAIIGMLLIICGVIVINLFSKSVSH ->ARGMiner~~~mdtF~~~WP_032293355.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032293355.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILRAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~Klebsiella pneumoniae acrA~~~CDA04526.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Klebsiella pneumoniae acrA~~~CDA04526.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPEVGIVTLKSAPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFVEGSDIQAGVSLYQIDPATYQASYDSAKGDLAKAQAAANMDQLTVKRYQKLLGTKYISQQDYDTAVATAQQSNAAVVAAKAAVETARINLAYTKVTSPISGRIGKSAVTEGALVQNGQTTALATVQQLDPIYVDVTQSSNDFLRLKQELADGRLKQENGKAKVELVTNDGLKYPQAGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGVNPDALLVPQQGVTRTPRGDASVMVVGEGDKVEVRQVTASQAIGDKWLVTGGLKTGDRVIVTGLQKIKPGVQVKAQEVASDDKQQAAGNAPSEQTKS ->ARGMiner~~~macA~~~WP_056482838.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_056482838.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIVIIALLAWKFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTRSTAQTNIGYTRILAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGEDTKRYATLRQIEPAPDSISSESTNTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKASSTPEAAKKSQGNGARLERLNLTAEQKQLVEQGKLTLSVVRILQADGTAKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~Serratia marcescens Omp1~~~WP_000977902.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_000977902.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVIVPALLIAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEAQPLGNGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNTSGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~tolC~~~WP_032226301.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032226301.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGANSAQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKLALGTLNEQDLLALNNALSKPVSTNPENVAPPTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mecI~~~WP_031921728.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_031921728.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEREVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKK ->ARGMiner~~~nalD~~~WP_033943848.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_033943848.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGTVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~FosB~~~WP_000943773.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000943773.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHISRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILKGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~mdtH~~~WP_050189865.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_050189865.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTARTPVREGMRRVMSNKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASPADARARGSYMGFSRLGLAIGGAISYIGGGWLFDMGKALTQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~TEM-1~~~ANG22502.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG22502.1~~~monobactam;cephalosporin;penam;penem~~~unknown MGIQRSRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MexF~~~WP_057454502.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_057454502.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTPTDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNAMSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAAKAQRLQNLPAEMH ->ARGMiner~~~macA~~~WP_050191669.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050191669.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIDTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mdtG~~~WP_054473678.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_054473678.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFYIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLLNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~emrB~~~WP_021293434.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_021293434.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPMILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mefA~~~WP_050254448.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_050254448.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFFPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~dfrA16~~~CBZ41780.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA16~~~CBZ41780.1~~~diaminopyrimidine~~~unknown MAAKSKNGIIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSNFSTNDEGVMVFFSIQDALINLEEITDHVIVSGGGEIYKSLISKVDTLHISTVDIERDGDIVFPEIPDTFKLVFEQDFESNINYCYQIWQKS ->ARGMiner~~~sul1~~~EMH94380.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~EMH94380.1~~~sulfonamide;sulfone~~~unknown MFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~hmrM~~~CP004022.1.gene1427.p01~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~CP004022.1.gene1427.p01~~~fluoroquinolone;acridinedye~~~unknown MQKYIKEARSLLALGIPVIIAQFSQTAMGVVDTVMAGAVNATEMSAVAVGTSIWLPTILLGQGILMALTPIVAQLNGSGQRKHIANRTQQGFWLATFLSIMVIAILYNSRFIIEAQHDIEPELAEKAIGFIHAIMWGAPGCLYYQVLRSQCEGLSKTKPGMIIGFIGLLINIPVNYAFIYGKFGAPQLGGIGCGVATASVFWAMFLMMRYYVRRAPTQRDVMPKKRLVLPEFHTIKRITLLGLPVGLALFFEVTLFAVVALLVSPLGVTAVASHQIALNFSSLMFMFPLSLGIAATIRVGYNLGQRSTEQARTSAITALAVGLMLASCTAIFSIIFREKIALMYNDNIEVVTLASHLMLFAALYQLSDSVQVIGSGVLRGYKDTRSIFFITFIAYWVIGLPSGYLLGRTDYIVEAMGPAGFWIGFILGLTASAIMMGTRIWWIQRQSDEVVLLRSER ->ARGMiner~~~norA~~~WP_049415454.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049415454.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFTLYFNIFLIFVGIGLVIPVLPVYLKDLGLKGSDLGILVAIFSLSQMIISPFGGSLADKLGKKLIICLGLVFFTISEFLFAMSHSFILLIVSRILGGFSAGMVMPGVTGMIADISKAKDKAKNFGYMSAIINSGFILGPGIGGFLAEVSHRLPFYFAGALGVMAFVISVILIRQSQNTVESHHIHFETKELSKIQWGVFITPIILTFVLAFGLSSFETLFSLYTSAKANYAPGDISIAIVGGGVAGAVFQIFFFDKFMRYTTELTFITWALLYSVIVIFSLIIAHSYWSIMLISFIVFIGFDLIRPALTNYYSNIAGNRQGFAGGLNSTFTSMGNFVGPLVAGSLFDVNIEFPLYMSIIVMLFGIVIIFIEKNLKLNRSGCD ->ARGMiner~~~MexD~~~WP_048328542.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_048328542.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSLFFIKRPNFAWVVALFISLAGLLAIPLLPVAQYPSVAPPQITVTATYPGASAQVLVDSVTSVIEEELNGAKGLLYFESTSNSNGMAEVVVTFQPGTNPELAQVDVQNRLKKAEARMPQAVLTQGLEVEQTSAGFLLIYALNYKEGSARTDTTALGDYAARNINNELRRVQGVGKLQFFSSEAAMRVWIDPQKLVGYGLSIDDVGNAIRGQNVQVPAGSFGASPGSTEQELTATLAVKGTLDDPAEFGRIVLRANPDGSTVKLADVARLEVGSESYNFTARLDGKPTVAGAIQLSPGANALQTAALVKERLDELSVNFPDDVEYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPAIVVPVCLLGTLTAMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGLSPAAATVKAMGQVSGAIIGITLVLSAVFLPLAFMAGSVGVIYQQFSLSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFNRLTERYTLLNTALVKRAGRYMLIYAGIVALLGYSYLRLPESFVPVEDQGYMIVDIQLPPGATRARADVTGQELEQFLKSREAVASSFLVMGFSFSGMGENAALAFPTLKDWSVRDKSQSAEAETAAINERFAGISDGAIMAVTPPPIDGLGNSGGFSLRLQDRAGLGREALLAARDQLLGQANGNPKILYAMMEGLAEAPQLRLEIDREKARTLGVSFETVSSALSTAFGSAVINDFANAGRQQRVVVQAEQGDRMTPEAVLKLYVPNSAGELVPLSAFVSTRWEEGPVQLARYNGYPSIRISGDAAPGVSTGEAMAEMQRLVSELPAGIGYEWTGLSYQEKVASGQATQLFALAILVVFLLLVALYESWAIPLSVMLIVPIGALGAVLAVTVTGLPNDVYFKVGLITIIGLAAKNAILIVEFAKELWEQGHSLRDAAIQAARLRFRPIIMTSMAFILGVVPLVIASGAGAASQRAIGTGVIGGMLSATLLGVIFVPICFVWLLSLLRRQPKPAHQPTEAAQ ->ARGMiner~~~arnA~~~WP_001720337.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001720337.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMGKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtG~~~WP_048235661.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_048235661.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFILTLFFIRERFQPVSKKEMLHIREVVASLKNPKLVLSLFITTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPKLGKLGDRIGPEKILIVALIVSVLLLIPMSFVHTPLQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNALYSWNSLRRRRSTEVVG ->ARGMiner~~~tolC~~~WP_016233556.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_016233556.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILKTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGATAGQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macB~~~WP_053879097.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053879097.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVIERTREIGIRMTVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tet(C)~~~WP_015058876.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_015058876.1~~~tetracycline~~~unknown MSTNLSVIKNPRVQSDQRRLVRRPDVKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAWQRADR ->ARGMiner~~~cmeB~~~WP_002861130.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002861130.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGVIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSATLAAISMYSNDGSMSAVDVYNYIALNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDIADVEIGSQQYSSQGRLNGNDAVPIIINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVIKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFIPVSFISGFVGEIQRQFALTLAISVAISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSTGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMIGIINLPSASALHRTISEVDHISQEVLKTNGIKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~FosB3~~~WP_064137038.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_064137038.1~~~fosfomycin~~~unknown MLKSINHICFSVRSLNDSIHFYRDILLGKLLLTGKKTAYFKLAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~msbA~~~WP_031893738.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031893738.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGIKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~adeG~~~WP_004707806.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_004707806.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMFLHENADAKAAPTSAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVRKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGTQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPITPHLVPMPNAQITANATSSQPQPTDKTSTPAKG ->ARGMiner~~~hmrM~~~WP_021499212.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_021499212.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSMREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~macA~~~WP_052989910.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_052989910.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLNTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~hmrM~~~WP_001512792.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001512792.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAGYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVKPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~vanC~~~WP_041119007.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~WP_041119007.1~~~glycopeptide~~~unknown MKKIAVLFGGNSPEYSVSLTSAASVIQAIDPLKYEVMTIGIAPTMDWYWYQGNLANFRNDTWLEDHKNCHQLTFSSQGFILGEKRIVPDVLFPVLHGKYGEDGCIQGLLELMNLPYVGCHVAASALCMNKWLLHQLADTMGIASAPTLLLSRYENDPATIDRFIQDHGFPIFIKPNEAGSSKGITKVTDKTALQSALTTAFAYGSTVLIQKAIAGIEIGCGILGNEQLTIGACDAISLVDGFFDFEEKYQLISATITVPAPLPLALESQIKEQAQLLYRNLGLTGLARIDFFVTNQGAIYLNEINTMPGFTGHSRYPAMMAEVGLSYEILVEQLIALAEEDKR ->ARGMiner~~~arnA~~~WP_001590422.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001590422.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_065427607.1~~~penam unknown +>ARGMiner~~~PC1 beta-lactamase (blaZ)~~~WP_065427607.1~~~penam~~~unknown MAIALVLSACNSNSSHAKELNDLEKKYNAHIGVYALDTKSGKEVKFNSDKRFAYASTSKAINSAILLEQVPYNKLNKKIHINKDDIVAYSPILEKYVGKDITLKELIEASMAYSDNTANNKIIKEIGGIKKVKQRLKELGDKVTNPVRYEIELNYYSPKSKKDTSTPAAFGKTLNKLIANGKLSKENKKFLLDLMLNNKSGDTLIKDGVSKDCKVADKSGQAITYASRNDVAFVYPKGQSEPIVLVIFTNKDNKSDKPNDKLISETAKSVMKEF ->ARGMiner~~~mecI~~~ALB00634.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~ALB00634.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKNEGYDISASEWEIMNTIWNKKLISANEVIEIVQQHKEWSPKTIRTLINRLYKKKFIDRTNRNKIFEYFPIVEEKDMKYKTSKVFLDKVYEGGLNSLVLNFVENEELSEEEIEELKNILNKKKD ->ARGMiner~~~msbA~~~WP_000597233.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597233.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNANFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_001481465.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001481465.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRGKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mepA~~~WP_031764317.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031764317.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILILGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~FosB~~~WP_065521704.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_065521704.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSHLEKSIEFYENVFHAQLLVKGQKTAYLDLNGLWLALNLEVDIPRNEIHKSYTHMAFTIDAKDFDAIHQKLKNLNVNILNGRARDKQDQKSIYFTDPDGHKFEFHTGTLQDRLAYYKKDKPHMKFYI ->ARGMiner~~~sdiA~~~WP_032205306.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032205306.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQLLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRGLGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~APH(6)-Id~~~WP_049195390.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_049195390.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKELKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP001918.1.gene5135.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP001918.1.gene5135.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MEGFNVLVAHDGEQALSLLDDSIDLLLLDVMMPKKNGIDTLKELRQTHQTPVIMLTARGSELDRVLGLELGADDYLPKPFNDRELVARIRAILRRSHWSEQQQNTDNSSPTLEVDSLSLNPGRQEASFDGETLELTGTEFTLLYLLAQHLGQVVSREHLSQEVLGKRLTPFDRAIDMHISNLRRKLPERKDGHPWFKTLRGRGYLMVSAS ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061820836.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061820836.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSSVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~tolC~~~WP_001703720.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001703720.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSTPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macB~~~AJA96431.1~~~macrolide unknown +>ARGMiner~~~macB~~~AJA96431.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHDLLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIEIRDGEIVRNPPGSRQGGGLRARQQPEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVSNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~bcrA~~~WP_001134766.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_001134766.1~~~peptide~~~unknown MPPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLPRNPKDILRRIGSIVEVPGFYENLTARENLLINAKIIGVHKRNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITILISSHILAEVEQLVDRMGIIHEGRLLEEVSLDTLRKANRKYIEFQVNNDNKAAMLLENHFQIFDYEVHDEGNIRVYSHFGQQGHINRTLVRNDIEVLKIVMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~BcI~~~WP_061576411.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_061576411.1~~~cephalosporin;penam~~~unknown MKLWFSTLKLKKVAAVLLFSCVALAGCGSNHSNASHSAEKDEKTEMMKDDFAKLEEQFDAKLGIFALDTGTNRTVTYRPDERFAFASTIKALTVGVLLQQKSIEDLNQRITYTRDDLVNYNPITEKHVDTGMTLKELADASLRYSDNTAQNLILKQIGGPESLKKELRKIGDEVTNPERFEPELNEVNPGETQDTSTARALATSLQAFALEDKLPSEKRELLIDWMKRNTTGDALIRAGVPEGWEVADKTGAGSYGTRNDIAIIWPPKGDPVVLAVLSSRDKKDAKYDDKLIAEATKVVVKALNMESK ->ARGMiner~~~bacA~~~Q7N0B9~~~peptide unknown +>ARGMiner~~~bacA~~~Q7N0B9~~~peptide~~~unknown MTDLSTLFHAAILGVVEGLTEFLPVSSTGHMIIVGHMLGFTGDKAETFEVIIQLGSILAVVVVFWRRLFGLIGIHFGEVPHEGKTNGKLKLSHIILAMLPAVTLGLMFHDVIKSLFNPQSVMYALVIGGVLLITAEILKPKTPKAEGLDDITYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVNRYTASEFSFILAVPMMMGASGLDLYKSLHFLSASDIPMFAVGFVTAFVVALVAIKTFLALIKRISFIPFAIYRFIVAAAVYWVFM ->ARGMiner~~~macA~~~WP_014831267.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_014831267.1~~~macrolide~~~unknown MNLKGKRRKWFLLLAIVVVAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGDEVVTSETLPGAAK ->ARGMiner~~~iri~~~WP_003941026.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_003941026.1~~~rifamycin~~~unknown MSDVIIVGAGPTGLMLAGELRLQGVDVVVVDKDEEPTQFVRALGIHVRSIEIMEQRRLLDKFLAHGRKYPLGGFFAGISKPAPAHLDTAHGYVLGIPQPEIDRILAEHATEVGADIQRGKRVVAIRQDTDSVTAELSDGTTLHARYLVGCDGGRSTVRKLIDVGFPGEPSSADTLIGEMDVTMPADELAVVVAEIRETHKRFGVGPAGNGAFRVVVPAAEVADGRATPTTLDDIKQQLLAIAGTDFGVHSPRWLSRFGDATRLAEHYRRDRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPDGLLDTYELERRPVAADVLDNTRAQAELISTAAGPQAVRRLISELMEFEDVKRYLTEKITAISIRYDFGEGDDLLGRRLRNIALTRGNLYDLMRSGRGLLLDQGSQLSVDGWSDRADHIVDTSTELDAPAVLLRPDGHVAWVGDSQAELDTQLSKWFGRPTA ->ARGMiner~~~vanE~~~WP_010773051.1~~~glycopeptide unknown +>ARGMiner~~~vanE~~~WP_010773051.1~~~glycopeptide~~~unknown MKTVAIIFGGISSEYEVSLKSAVAIIENMETLDYSVMKIGITKKGQWYLFEGTTDQIKNDNWYLELNCQEMMVDFAKKRFVLKNSKEFIKPDILFPVLHGGYGENGAMQGVFELLDIPYVGCGIGSAAISMNKIMLHEFAEAIGVKSTPSMILESDKGLQKVDEFAKIHGFPLYVKPNEAGSSKGISKVVQKSNLYKAIDEASKYDSRILIQKEVKGVEIGCGILGNEQLVVGECDQISLLDGFFDYEEKYNLVTAEILLPAKLSIDKKEDIQMKAKKLYRLLGCKGLARIDFFLTDDGEILLNEINTMPGFTEHSRFPMMMNEIGMDYKEIIEKLLVLAVENHEKKLSTID ->ARGMiner~~~oprA~~~KGD21341.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline unknown +>ARGMiner~~~oprA~~~KGD21341.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline~~~unknown MPAHYWSTATGAPREAGPAAAGGPRAMPDARRGDAGRDARDARLDDWRAYFTDPALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFSGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGADAERLRLAQRRYDSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDGCA ->ARGMiner~~~hmrM~~~EPR33768.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~EPR33768.1~~~fluoroquinolone;acridinedye~~~unknown MNEARQLLALAIPVIIAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMVVLWNAGHIIRAMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFFSMISYVKRARSMRDIRNERSFSTPDWNIMTRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVNVAGHQIALNFSSLMFVLPMSLAAAVTIRVGFRLGQGSTLDAQTAARTGLGVGVCMAICTALFTITLREQIALLYNDNPEVVALASHLMLLAAVYQISDSIQVIGSGVLRGYKDTRSIFFITFIAYWVLGLPCGYILALTDLVVDRMGPAGFWMGFIIGLTSAAIMMMLRMRFLQRQSSSVILQRAAR ->ARGMiner~~~macB~~~WP_032993748.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032993748.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGETDVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLSDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTARAARQARARELLARLGLEARVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDAGGLPAQPQDAPAFGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAGEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSGEAEQQLTRLLMLRHGKKDFFTWNMDSVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIALALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~tolC~~~WP_000735326.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735326.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKDNDPQLLSVAAQRDAAFEAVTSSRSTLLPQINLTAGYNVNRSDQDPRESDLFSAGINFSQELYQRSSWVTLDTAEKKARQADSEYAATQQGLILRVSKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENNLTNSYETLREITGQEYSKLAVLDTKRFAASRTTESTDALIEQAQQKNLSLLSARISQDVARDNISLASSGHLPSLTLDGGYSYGNDSNDNAKNTTKEEYNDFQIGLNLTVPLYSGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDIMDVNAGLKVAKK ->ARGMiner~~~vanXD~~~WP_025641541.1~~~glycopeptide unknown +>ARGMiner~~~vanXD~~~WP_025641541.1~~~glycopeptide~~~unknown MKQNFIFLDEMLPGIRWDAKYATWDNFTGKPVDGYEVNRIVGTKELGAALRKAQKLARKQGYGLLLWDGYRPQRAVDCFLHWASLPENNLTKNRYYPNIKRNEMVTKGYVASQSSHSRGGAVDLTIFYIGTGTLVPMGGDFDFMDERSHHAASGLTEEESRNRDVLRHIMESSGFEAYCNEWWHYVLADEPYPNTYFNFCIA ->ARGMiner~~~FosA2~~~WP_063659327.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063659327.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVAEADFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDKA ->ARGMiner~~~ACT-29~~~WP_064673262.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_064673262.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MTKSLCCALLLSTSCSVLAAPMSEKQLAEVVERTVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAITTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMVNMKPDSLQDSSLRKGITLAQSRYWRVGAMYQGLGWEMLNRPVDAKTVVEGSDNKVALAPLPAREVNPPAPSVNASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~blaF~~~WP_003880494.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_003880494.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGGAAPAFAAPIDDQLAELERRDNVLIGLYAANLQSGRRITHRPDEMFAMCSTFKGYVAARVLQMAEHGEISLDNRVFVDADALVPNSPVTETRAGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAILAGDALSPPQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPDGQRLLLVMMTRSQAHDPKAENLRPLIGELTGLVLPSLL ->ARGMiner~~~mdtH~~~WP_050534250.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_050534250.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVMGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAAGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~adeG~~~WP_032015350.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032015350.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANATLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~mdtH~~~WP_063440383.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063440383.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKAFNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~vanXA~~~WP_031414937.1~~~glycopeptide unknown +>ARGMiner~~~vanXA~~~WP_031414937.1~~~glycopeptide~~~unknown MEKGFTFLDEILHGVRWDAKYATWDNFTGKPVDGYEVNRIVGTYELADALLKVKELAATQGYGLLLWDGYRPQRAVNCFLQWAEQPEDDLTKERYYPNIDRTEMVSKGYVALKSSHSRGSAIDLTLYRLDTGELVPMGSGFDFMDERSHHAAKGISGNEAQNRRRLRSIMENSGFEAYSFEWWHYVLRNEPYPNSYFDFSVK ->ARGMiner~~~norB~~~WP_061107064.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_061107064.1~~~fluoroquinolone~~~unknown MTSTAYKGTNKLIVGIVFGVITFWLFAQSMVNIVPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGSTLLIIGRIIQGLSAACIMPATLALMKTYFDGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGATFGWTSPITITMLVVFLVSAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSGITAVGIALMALTFIQGTLYTVLVFIGFALFGIGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLISVAITTPSAKKALELKAAKE ->ARGMiner~~~arnA~~~WP_001634830.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001634830.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADSPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~Serratia marcescens Omp1~~~WP_000977934.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_000977934.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKTVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEAQPLGNGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNTSGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~emrA~~~WP_032253839.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_032253839.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIISPMTGYVSRRAVQPGAQISPKTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~mdtH~~~WP_000092176.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092176.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADSRARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~AQU-1~~~WP_029299393.1~~~cephalosporin unknown +>ARGMiner~~~AQU-1~~~WP_029299393.1~~~cephalosporin~~~unknown MKQTRSLPLLALGTLLLAPLSLAAPVDPLNAVVDDAIRPVLKQHRIPGMAVAVLKGGQAHYFNYGLADMAAGKKVNEQTLFEIGSVSKTYTATLGAYAVVKGGIGLDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVESVEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGYLAASSLQQPFAQLMEQTLLPGLGMHHTYLDVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANISGVDDKALQQAISLTHQGRYSVGEMTQGLGWESYAYPVSEQTLLAGNSSAVIYNANPVKPVAASQETGVARLYNKTGSTNGFGAYVAFVPAKGIGIVMLANRNYPNEARVSAAHAILSQLAP ->ARGMiner~~~TEM-1~~~ANG11672.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG11672.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIIVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtN~~~WP_061182139.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_061182139.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADLVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mdtH~~~WP_047055471.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047055471.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGLVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTAAMLPIGLTSTLQQLFTLICIFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKVLNQPELPWLMLGIIGFATIIALWWQFSQKRSASGMLEPRT ->ARGMiner~~~emrB~~~WP_036932467.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_036932467.1~~~fluoroquinolone~~~unknown MIKEPLQGGKLAIMTIALALATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFALTSWLCGISQSLEMLIFFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSVTIVVAPILGPILGGYISDNYHWGWIFFINVPFGVLIIMCISNTLADRETKTEIKPIDTIGLVLLVVGVGALQIMLDQGKELDWFNSTEIIVLTIIAVVALSFLIVWELTDEHPVIDLSLFKSRNFTIGCLTLSLAYMIYFGTIVLLPLLLQEVFGYTATWAGLAAASVGLLPLIITPIIGKFGGKVDLRYIISFSFIMFAVCFYWRAYTFEPGMDFATVAWPQFWQGLGVACFFMPLTTMTLSGLPPEKMASASSLSNFLRTLAGAIGASLTTTIWTQRESLHHETFVEKINPLDPDAQLAFQQMRELGLSDEQTSAYLAKTITEQGLIISANEIFWLAAGIFILMLVVVWFAKPPFSPGK ->ARGMiner~~~bcrA~~~WP_000074551.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074551.1~~~peptide~~~unknown MSPIHTIIKTTNLTKVYGTQKSVDNLNINVQQGEVYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLFQNQKNILRRIGSIVEVPGFYENLTAKENLLINAKIIGIHKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITILISSHILVEVEQLVDRMGIIHEGKLLEEVSLDTLRKTNRKYIEFQVNNDNRATMLLENHLQIFDYEVHDEGNIRIYSHFGQQGHINRTFVLNDVDVLKMAMSEDRLEDYFTNLVGGGTIG ->ARGMiner~~~macA~~~WP_004842552.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004842552.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNDVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~OXA-10~~~gi:315022060:pdb:2X01:B~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-10~~~gi:315022060:pdb:2X01:B~~~cephalosporin;penam~~~unknown MGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPAATFXKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGII ->ARGMiner~~~macB~~~WP_047746885.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047746885.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVNALARE ->ARGMiner~~~FosB~~~WP_017697356.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_017697356.1~~~fosfomycin~~~unknown MEIKGINHLLFSVTHLDTSIDFYQKVFDAKLLVKGRTTAYFDMNGIWLALNEEPDIPRNDIKLSYTHIAFTIEDHEFEEVSAKLKRLHVNILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMHFYDETAF ->ARGMiner~~~arnA~~~WP_032949690.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032949690.1~~~peptide~~~unknown MKAVVFAYHDMGCQGVQALLDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSDEILSLAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQQRVAISPDDVALTLHHKLCQAARQLLEEALPAIKTGDYAEHPQQEAEATCFGRRTPEDSFLDWNKPAAELHNQVRAVSDPWPGAFSYVGTQKFTVWSSRVCKNDRAAQPGTVISVSPLLIACADGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTAKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAISRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPENEASIQELAEMLLTCFEKHPLRNHFPPFAGFRDVESSSYYGKGYQDVEHRKPNIRNAKRCLNWKPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~mecR1~~~HE993884.1.gene10.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~HE993884.1.gene10.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLQQFLVMSIISSILTLLLIIIVRAICIKYFKTRLNHKIWLLVLLSLFLPLLPINNIVKVKIPSIFSADIISHSSKSSNHNLSNESTNLTKDLALNIQHHEMTFILIILLVVWFIGLLFFSFKFIAAIKQIAFIKDLSIKSSILDNHLKKCINNLNIKSKSIVISHVDEIENPMVFWLGKYYIVLPTNIMYMMDEEQIDYIISHELIHIKNKDLWSNYIFTFFIIMLWFNPALYVSKKLFNIDCEISCDNQVLKRLSQSNHQRYGEAILKCWAIQKQSVNDFAAKYLLGTQSNLKSRFVNISKFKSSRNRKLKMIPYVVLSILILLQGVMVSAHSDKGEYTDDIKYTNLKELDSHFLGFNGSFVLFDNQKKEYFLYNEKESRKRYTPDSTYKLYLALIGFDRNVMSLNNTEQKWDGKENAFKEWNQNQNLNSAMRYSVNWYFENINNSIKNKELKSYISELQYGNENISGSKNYWNESSLKISAIEQVNLLMKLDNQQLKFDEKYINAVKDSITLNKSNQYRYSGKTGTGIINGKETNGWFIGTIEKNGKSYYFATHLDSKDNASGKKAKNISEKILEELGLMK ->ARGMiner~~~FosA2~~~WP_062729785.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_062729785.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVGEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~vanXO~~~CAE53345.1~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~CAE53345.1~~~glycopeptide~~~unknown MKDDFAFVDELVPGIRWDAKYATWDNFTGKPVDGYVANRIVGTKALCAALARAQEEAETLGFGLLLWDGYRPQRAVDCFMRWAEQPEDGRKKLRHYPNIGRPEMFEKGYVATKSGHSRGSTVDLTLYNLATGELASMGGDHDLMDPISHHGASGITPIEAKNREYLCSIMEGCGFARYDYEWWHYTLKNEPYPDTYFDFPIV ->ARGMiner~~~QnrB17~~~DQ351241.gene.p01~~~fluoroquinolone unknown +>ARGMiner~~~QnrB17~~~DQ351241.gene.p01~~~fluoroquinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNSSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWIGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~lmrB~~~NC_002951.3237948.p01~~~lincosamide unknown +>ARGMiner~~~lmrB~~~NC_002951.3237948.p01~~~lincosamide~~~unknown MTTTFIISYIILALIIVGVINLFLIRSRKKGKRQQKEQQFTTRQSNQSKFKASDLDKTTDQSTQRMTHEELRVDNQDDHSQVSLNGYTKGSEKDQEAFTNNKDEEAVAAKNPESEEYKVNEKIKKEHKNFIFGEGVSRGKILAALLFGMFIAILNQTLLNVALPKINTEFNISASTGQWLMTGFMLVNGILIPITAYLFNKYSYRKLFLVALVLFTIGSLICAISMNFPIMMVGRVLQAIGAGVLMPLGSIVIITIYPPEKRGAAMGTMGIAMILAPAIGPTLSGYIVQNYHWNVMFYGMFIIGIIAILIGFVWFKLYQYTTNPKADIPGIIFSTIGFGALLYGFSEAGNKGWGSVEIETMFAIGIIFIILFVIRELRMKSPMLNLEVLKFPTFTLTTIINMVVMLSLYGGMILLPIYLQNLRGFSALDSGLLLLPGSLIMGLLGPFAGKLLDTIGLKPLAIFGIAVMTYATWELTKLNMDTPYMTIMGIYVLRSFGMAFIMMPMVTAAINALPGRLASHGNAFLNTMRQLAGSIGTAILVTVMTTQTTQHLSAFGEELDKTNPVVQDHMRELASQYGGQEGAMKVLLQFVNKLATVEGINDAFIVATIFSIIALILCLFLQSNKKAKATAQKLDADNSINHE ->ARGMiner~~~OpmH~~~WP_028384763.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~WP_028384763.1~~~triclosan~~~unknown MKRSLLFCILTLGLSAHTHATDLMDIYQQALENDTVFKNAYDTYMSSTEAIPQARAALFPQVGINGQLGRNLQLVQAGGLSTNLAYGNTVWQVTASQAVFNYQAWAKVAQAKASVKAAQANFNDAAQNLILRTAKAYFDVLFAKDTLDFAEAKKRANKRQFDQATQRFQVGLDAITSVYEAKAAYDQSVATVISARNNQINQSENLRKLTNHVYESLAPLRDSKIPLIKPEPNNVDQWIDTGLRQNYKLYAAKYSLEVAKENVKSLTAGNWPVFSIQTNAAQTHNTVDSTSFFAPTKQTQANVALAMNFPVFQGGLVQSQTRQAQYGFQSTSEQLEQTYRDVVVNSRIAFNTITDGISKVKADRQTIISQQNSLESTEAQFEVGTRTMVDVVNAQQKLFEAQEQLAKDQYDLINAILTLKYLAGTLNVNDIELVNSWLATTRVNGIASVSSATTK ->ARGMiner~~~arnA~~~WP_024157240.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_024157240.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHANNPAENTFFGSVSRLAAGLGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~norB~~~WP_025280016.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_025280016.1~~~fluoroquinolone~~~unknown MATTYKGTNKLIVGIVFGVITFWLFAQSMVNIVPDVQADLGISPSLLSIAISLTALFSGIFIVVAGGLADKFGRMKLTYIGLILSIIGSLLLVITQGATLLIIGRIIQGLSAACIMPATLALMKTYFDGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIFSIAFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGSTFGWTSPTTIIMLVVFLVSAGLFFRVELRQANGFIDFSLFQNKAYTGATLSNFLLNAAAGTLVVANTYVQVGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSAITAVGIGLMALTFIPGVLYTVLVFIGFALFGVGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVITLAGNVDLAAMIGLFTNVAFCVVSLISVVVTTPKAKKAAPLKAAKE ->ARGMiner~~~mdtM~~~WP_001137007.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001137007.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVFRDFRNVFCNRLFLFGATTISLSYIPMMSWVAVSPVILIDAGSLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mdtG~~~WP_032424811.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032424811.1~~~fosfomycin~~~unknown MSSAETPINWKQNLTVTWLGCFLTGAAFSLVMPFLPLYVQQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~tet(C)~~~WP_021546930.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_021546930.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYATSITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~arnA~~~WP_001481719.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001481719.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGGNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~emrA~~~WP_049883167.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_049883167.1~~~fluoroquinolone~~~unknown MLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFERAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTVITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~novA~~~WP_030834011.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030834011.1~~~aminocoumarin~~~unknown MHPDTPSWTPSTQEPEQPAQVRRILRLFRPYRGRLALVGLLVGASALVSVASPFLLREILDTAIPDGRTGLLSLLALGMIAAALVNSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATVVAMLALDWRLTAVSLLLLPLFVWISRRVGAERKKITSQRQKQMAAMSAMVTESLSVSGILLGRTMGRSASLTQRFAQESERLVDLEVRSNMAGRWRMSTIGVVMAAMPALIYWAAGIALQAGGPAVSIGTLVAFVTLQQGLLRPTVSLLSTGVQVQTSLALFARIFEYLDLPIDIAEPAEPVRLEKVRGEVRFDGVDFDYDGKDPGTPKGPVKDTSKGTLRGIDLTVPAGGSLAVVGSTGSGKTTLSYLVPRLYDVTGGRVLIDGVDVRDLDFDTLSRAVGVVSQETCLFHASVAENLRFAKPDATDEEIVAAAGAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSAGRTTITIAHRLSTVRDADQIVVLDGGRIAERGTHEELLARDGRYAALVRRDARLSPVAPAV ->ARGMiner~~~Escherichia coli ampC~~~WP_052998687.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_052998687.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPPEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLTPLDINEKTLQQGIQLAQSRYWQTGDIYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAIKPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAACQILNALQ ->ARGMiner~~~mdtA~~~WP_000679022.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~WP_000679022.1~~~aminocoumarin~~~unknown MKGSYKSRWVIVIVVVIAAIAAFWFWQGRNESQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLLFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTSEEKATSREYAKKGARS ->ARGMiner~~~TEM-150~~~ANG15485.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-150~~~ANG15485.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPDTLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDEQNRQIAEIGASLIKHW ->ARGMiner~~~lsaB~~~WP_023522676.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_023522676.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYGGKILASVEFNYFPYSVADRNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSASWSHDVEASKNGTKNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELITLADVSVKYDDQIVNESISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVILGTGLIISYVQQDTSHLKGSLSDFIEEHKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~CTX-M-3~~~AIC64381.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64381.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRTMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~BcI~~~WP_016092575.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_016092575.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGVQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSIDKLNEVITYTKDDLVEYSPVTEKHVDTGMTLGQIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNKLIAEATEVIVKALK ->ARGMiner~~~macA~~~WP_001124214.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124214.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~adeJ~~~NC_011586.7045444.p01~~~macrolide;fluoroquinolone;lincosamide;carbapenem;cephalosporin;tetracycline;rifamycin;diaminopyrimidine;phenicol;penem unknown +>ARGMiner~~~adeJ~~~NC_011586.7045444.p01~~~macrolide;fluoroquinolone;lincosamide;carbapenem;cephalosporin;tetracycline;rifamycin;diaminopyrimidine;phenicol;penem~~~unknown MAQFFIHRPIFAWVIALVIMLAGILTLTKMPIAQYPTIAPPTVTIAATYPGASAETVENTVTQIIEQQMNGLDGLRYISSNSAGNGQASIQLNFEQGVDPDIAQVQVQNKLQSATALLPEDVQRQGVTVTKSGASFLQVIAFYSPDNNLSDSDIKDYVNSSIKEPLSRVAGVGEVQVFGGSYAMRIWLDPAKLTSYQLTPSDIATALQAQNSQVAVGQLGGAPAVQGQVLNATVNAQSLLQTPEQFKNIFLKNTASGAEVRLKDVARVELGSDNYQFDSKFNGKPAAGLAIKIATGANALDTAEAVEQRLSELRKNYPTGLADKLAYDTTPFIRLSIESVVHTLIEAVILVFIVMFLFLQNWRATIIPTLAVPVVVLGTFAVINIFGFSINTLTMFAMVLAIGLLVDDAIVVVENVERVMSEDHTDPVTATSRSMQQISGALVGITSVLTAVFVPMAFFGGTTGVIYRQFSITLVTAMVLSLIVALTFTPALCATILKQHDPNKEPSNNIFARFFRSFNNGFDRMSHSYQNGVSRMLKGKIFSGVLYAVVVALLVFLFQKLPSSFLPEEDQGVVMTLVQLPPNATLDRTGKVIDTMTNFFMNEKDTVESIFTVSGFSFTGVGQNAGIGFVKLKDWSKRTTPETQIGSLIQRGMALNMIIKDASYVMPLQLPAMPELGVTAGFNLQLKDSSGQGHEKLIAARNTILGLASQDKRLVGVRPNGQEDTPQYQINVDQAQAGAMGVSIAEINNTMRIAWGGSYINDFVDRGRVKKVYVQGDAGSRMMPEDLNKWYVRNNKGEMVPFSAFATGEWTYGSPRLERYNGVSSVNIQGTPAPGVSSGDAMKAMEEIIGKLPSMGLQGFDYEWTGLSLEERESGAQAPFLYALSLLIVFLCLAALYESWSIPFSVLLVVPLGVIGAIVLTYLGMIIKGDPNLSNNIYFQVAIIAVIGLSAKNAILIVEFAKELQEKGEDLLDATLHAAKMRLRPIIMTTLAFGFGVLPLALSTGAGAGSQHSVGFGVLGGVLSATFLGIFFIPVFYVWIRSIFKYKPKTINTQEHKS ->ARGMiner~~~lmrP~~~CWV83521.1~~~macrolide;lincosamide;streptogramin;tetracycline unknown +>ARGMiner~~~lmrP~~~CWV83521.1~~~macrolide;lincosamide;streptogramin;tetracycline~~~unknown MFRELHPNIRARILIQFLSKVIGSMIFPFMAIYFSREINSSVAGFLLMINVLAQFLAGMYGGHLADIIGRKKLMVTGELLKVFAFLGMVLCNSPMFHSPWITFVMLLIIGVAQGLINPAGETMLIDVSTPENRSFMYSVSYWANNLSIMIGIMVGGWFFVDYLFPLLVVLFIMSFVTAWLTISLISETLQQKEMPHKGSYGLMGMLKNYGQVLHDYRFLLYTIGGIAIMSIEFQRSNYISVRLAEDVKALLVHLGPLGNISLNGVQIVSVLTAVNTLFIVLFTVPIARFVTKRAQQPIMYVGFTLFALGFAVCAFANNLTVLLLATMVLSIGELLYVPTRQTILAAIVDDERRGAYMAFNGIIFQIGKMIGSVSLVFAPFIGKYGMGAFTITLGVLSIVFSAVALKSGWEKVLVK ->ARGMiner~~~TEM-207~~~ANG26493.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG26493.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADRSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~aadK~~~WP_047182932.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_047182932.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFVLNDERIRLVTLEGSRTNRNIPPDKFQDYDISYFVTDVESFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFDNNDGLVQVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESFAYKYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~novA~~~WP_053677539.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_053677539.1~~~aminocoumarin~~~unknown MPHDEPKWTPSKDPLDPTRPAPAEQPRELRRIVALFRPYRGRLAVVGLLVCASSLVGVASPFLLREILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTLVSLLLLPVFVWISRRVGHERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTSAFSAESEKLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQTGAPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERAEPVRLDRAKGEVALEDVHFTYDSENGPTLSGIDVTVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVSGGRVAIDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEITEAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDHLSAGRTTITIAHRLSTVRDADQIVVLDGGRIAERGTHEELLKADGRYAALVRRDRDAALAPEPPEDAQLAPVNV ->ARGMiner~~~cmeB~~~WP_002795255.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002795255.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDIADVEIGSQQYSSQGRLNGNDAVPIIINLQSGANALHTAELVQAKMQELSKNFPKGLTYNIPYDTTKFVIESIKEVIKTFIEALVLVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDQIQEDVNKLVAAANQREELYGVRTTLDTSFPQYKLIIDRDKLKHFNLNMQDVFSTMNATIGTYYVNDFTMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMVFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~mtrE~~~EGC67379.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~EGC67379.1~~~macrolide;penam~~~unknown MPSERPRVFRRHQDFFMDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAALNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPEDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGTVGTGSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYESAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYAAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~pmrA~~~WP_046669049.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~WP_046669049.1~~~fluoroquinolone~~~unknown MEINWRKNLVIAWIGCFFTGASISLVMPFIPVYVEQLGTPKDQIELFSGLAISVTAFASAVVAPIWGNLADRKGRKLMMIRAAAGMTLTMGSLAFVPNAYWLLIMRFWNGILSGYIPNATAMIASQAPREKSGWALGTLSTGAIAGNLIGPLMGGALAQWFGMENVFLITGALLMITTVLTIFLVKEDFHPIEKKDLISTKEIFSKMDHLSILIGLFITTLILQIGITTISPILTLYIRELSGSTENILFVSGLIVSIAGVSAVFSSPKLGKLGDKIGNQKVLIGGLILSFCCYLPMAFVTTPLQLGILRFILGFSTGALMPSVNTLISKITPQEGVSRIYSYNQMFSNFGQVLGPMLGSTVAHAYNYSAVFIVTSLFVLSNILLSLFNFRKVLRSRL ->ARGMiner~~~macA~~~WP_000746450.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746450.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAEIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~adeG~~~WP_021511564.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_021511564.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPAYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQIIANATPPQPQPTDKTSTPAKG ->ARGMiner~~~mdtM~~~WP_003970282.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_003970282.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVFRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAGIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mexH~~~WP_058152050.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058152050.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHTRARQLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~y56 beta-lactamase~~~WP_050078031.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050078031.1~~~cephalosporin;penam~~~unknown MKHSSLRRSLLLAGITLPLVNFALPSWAAGVSGSLDKQLAELEQSANGRLGIAMINTANGSKILYRGAQRFPFCSTFKFMLVAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLIKQLGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASMNKLVLGDALLPAQRSQLATWLKGNTTGDATIRAGAPADWMVGDKTGSGDYGTTNDIAVLWPTKSAPIVLVVYFTQREKNAKSRHDVLASATKIILSQIS ->ARGMiner~~~mepA~~~WP_031788612.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031788612.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFLLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~sdiA~~~WP_050950121.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_050950121.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAKAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNTTQIACYAAATGLI ->ARGMiner~~~macB~~~WP_045630015.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045630015.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLSVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDISTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWAQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_032265097.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032265097.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDAEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtH~~~WP_000092230.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092230.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~FosB~~~WP_045207104.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_045207104.1~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSNLEKSIEFYEKVFHAQLLIKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFSIDPRDFDAIHQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~MexF~~~WP_016493075.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_016493075.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFILRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAIVGVEGMLYMSSQATNDGRLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAALNVKDELARLDGVGDVQLFGMGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGALGAPPSNAGNSFQLSINTQGRLVTEEEFENIVIRAGEDGEITRLKDIARVELGSSQYALRSLLNNQPAVAIPVFQRPGSNAIEISDAVRERMAELKQGFPQGMDYEIVYDPTIFVRGSIEAVVHTLLEAIVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGKSPIDATRQAMKEVTGPIVATALVLCAVFVPTAFISGLTGQFYQQFALTIAISTVISAFNSLTLSPALAAVLLKDHHAPKDRFSRVLDKLFGGWLFGPFNRMFERAGKGYVGAVRRVLRGSSVALLVYVGLLVLGYLGFSSTPTGFVPQQDKQYLVAFAQLPDAATLDRTEAVIKRMSEIASKHPGVENTVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSMSANAIAAELNAQFADIQDAYIAIFPPPPVQGLGTIGGFRLQVEDRGNLGYEELYKQTQNIIAKARQLPELNPMSVFTSYQVNVPQVDAAIDREKAKTHGVAISDIFDTMQVYLGSLYANDFNRFGRTYQVNVQADQQFRLEPEQIGQLKVRNNRGEMIPLSTFVKVENSAGPDRVMHYNGFITAEINGAAAPGYSSGQAEAAISKLLDEELPNGMTYEWTDLTFQQILAGNTAVFIFPLCVLLAFLVLAAQYESWSLPLAVILIVPTVLFSAITGVILAGGDNNIFTQIGLIVLVGLACKNAILIVEFAKEKQEEGLDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPLFYVLIRGFVEKREARKAARAQQLKEVHA ->ARGMiner~~~hmrM~~~Q57PL1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~Q57PL1~~~fluoroquinolone;acridinedye~~~unknown MQKYTSEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLVMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNEKGFGKPDSVVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGICMAVVTAIFTVTLRKHIALLYNDNPEVVALAAQLMLLAAVYQISDSIQIIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAIILQRAAR ->ARGMiner~~~bcr-1~~~WP_021559594.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_021559594.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGSWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~L1 beta-lactamase~~~WP_049422182.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049422182.1~~~cephalosporin~~~unknown MRFSLLAVTLAAVLPVAHASAAEAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTENLTALLVQTAEGAVLLDGGMPQMAGHLISNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRTGAKVAANAESAVLLARGGSDDLHFGDAITYPPASADRIVMDGEVVEVGGIEFTAHFMPGHTPGSTAWTWSDTREGKPVRIAYADSLSAPGYQLQGNARYPRLVDDYKRSFATVRALPCDVLLTPHPGASNWDYASGSKASAKALTCKAYADAAEQKFDAQLAKETARAR ->ARGMiner~~~tolC~~~WP_017046499.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_017046499.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFISAALGSLSSSVWADTLTEIYNQAKENDPQLLSSAAQRDAAFEAVTSSRGDLLPQINLTAGYNINRSDIDARESDKLTAGISFSQQLYQRSSWVSLDTAEKNARKADSAYAATQQGLILRVAQAYFEVLRAKDNLAFVRAEKAAVARQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAKNSLTNSYETLREITGQEHSDLSVLDTNRFSASKTTQPIDALLEEAQQKNLSLLTARIAQDVAKDNISLASSGHLPSLTLDGGYKYGDESNNNSGSKGDYNDFNVGINLAVPLYTGGKTTSQTKQAEFAYVAASQDLEKTYRSVVKDVRAFNNNINASIGALRAYEQSVISAKSALEATEAGFDVGTRTIVDVLDSTRRLYDANKNLSNARYDYVLSVLQLRQAVGTLSEQDILDINAGLKADS ->ARGMiner~~~macB~~~WP_049116987.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049116987.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLARLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARLQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_048334428.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048334428.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGISNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPTSARTTQSSGSNPFRQ ->ARGMiner~~~adeG~~~WP_000010640.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_000010640.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQADDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPPQPQPTDKTSTPAKG ->ARGMiner~~~arlR~~~AE015929.1.gene1106.p01~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~arlR~~~AE015929.1.gene1106.p01~~~fluoroquinolone;acridinedye~~~unknown MTNILIVEDEQNLARFIELELTHENYTVDIENDGKVGLDKALSKPYDLYILDLMLPNINGLEICRQIRQKTTTPIIIITAKSETYDKVAGLDYGADDYIVKPFDIEELLARIRAVLRRQPDKDVLDINGIIIDKDAFKVTVNGHQLELTKTEYDLLYVLAENRNHVMQREQILDHVWGYNSEVETNVVDVYIRYLRNKLKPFNKEKSIETVRGVGYVIR ->ARGMiner~~~msbA~~~WP_042746967.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_042746967.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGPYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~OXY-2-8~~~WP_023320486.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXY-2-8~~~WP_023320486.1~~~monobactam;cephalosporin;penam~~~unknown MIKSSWRKIAMLAAAVPLLLASGALWASTDAIHQKLTALEKRSGGRLGVALINTADNSQILYRGDERFAMCSTSKVMAAAAVLKQSESNKEVVNKRLEINAADLVVWSPITEKHLQSGMTLAELSAATLQYSDNTAMNLIIGYLGGPEKVTAFARSIGDATFRLDRTEPTLNTAIPGDERDTSTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPESWVVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKNRKEVLAAAAKIVTEGL ->ARGMiner~~~TEM-1~~~ANG18696.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG18696.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHITRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtM~~~EGB35859.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~EGB35859.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MNNHFGKGLMAGLKATHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVSFSAKSVLRDFRNVFCNRQFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQIPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~smeE~~~WP_057635531.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_057635531.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGALAMLKLPISMYPEVAPPAVSISANYPGASAKVVEDSVTQIIEQNMKGLDGLMYFSSNSSSNGQASITLTFESGTDSDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIGFVSEDGSMDENDISDYVGSNVVDPLSRVPGVGSIQVFGGKYAMRIWLDPNKLQTYKVSVDEVTASVKAQNAQVAVGQLGGAPAVKGQQLNATINAQDRLQTPEQFRNIVLRTETDGSTLKLGDVARVELGAETYDFVTRYNGKPASGLAVTLATGANALETAEGVSKTLEELKANFPRGMNAVIPYDTTPFVKVSIKGVVKTLLEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGILAVLGFSINMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMSQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHKGLSGRFFNAFNNGFDRTSGTYQRGVRGILARPGRFMAVFLALAVVMGVLFARLPSSFLPNEDQGILMALVQAPVGATQERTLESIYKLEDHFLNNEKDAVESVFSVQGFSFSGMGQNSGMAFVKLKDWSERSADQGVGPITGRAMAALGQIKDAFIFAFPPPAMPELGIASGYSFFLKDNAGQGHDALVNARNQLLGMAGKSSMLANVRPNGLDDTPQLRLDIDVAKAGAHGLSLDAINNTLATAWGSSYIDDFIDRGRVKRVYVQADDGFRMNPEDFTLWTVKNNVGEMVPFSAFASQRWDYGSPRLERYNGVSALEIQGEAAPGVASGDAMLEVEKLAKQLPAGFSIEWTAVSYQEREAGSQTPLLYTLSLLIVFLCLAALYESWSVPTSVLMVAPLGILGAVLANTMRGMERDVYFQVAMLTTVGLTSKNAILIVEFAKEHLEKGAGVIEATMHAVRDRLRPIIMTSLAFGLGVLPLAIASGAGSGAQRAIGTGVLGGMVVGTLLGLFFIPLFFVVVQRVFNRKQLARNGDLPK ->ARGMiner~~~acrB~~~WP_006322279.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_006322279.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVIAIIVMLAGVLAIMKLPIAQYPTIAPPAVSVSANYPGADAKTVQDTVTQIIEQNMNGIDNLMYMSSTSDSSGSVTITLTFNSGTDPDIAQVQVQNKLSLATPLLPQEVQQQGLKVEKSSSSFLMVAGFISDDPSMTQDDIADYVASNIKDPISRSQGVGEVQLFGAQYAMRIWLDPGKLNNFQLTTTDVTSAITEQNNQIAAGQLGGMPPVPGQQLNASIIAQTRLTSPEEFGKILLKVNADGSQVRLKDVARIERGGESYAVTARYNGKPAAGLGIKLATGANALNTAKAVKDSLTKMQPFFPQGMKVVYPYDTTPFVKISINEVVKTLFEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATKKSMEQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVQKGDHGAKTGFFGWFNRMFEKSTHHYTDSVASILRSTGRYLVIYLLIVVGMGLLFLRLPSSFLPEEDQGILLTMVQLPAGATEARTSKVLQEVTDYFMTKEKDNVVSVFTVAGFGFNGNGQNNGLSFVSLKDWSERSGEQNKVPAIAGRAMGAFSQIKDGLVFPFNLPAIIELGTATGFDFQLIDQGGLGHEKLTEARNQLLGMAAKHPDLLVGMRPNGLEDTPQFKLIIDQEKAKALGVSITTINSTLTTALGGSYVNDFIDRGRVKKVYVQAEAPFRMLPEDINKWFVRGTSGQMVPFSAFSTAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMNMMEELSSKLPSGIGYDWTGMSYQERLSGNQAPALYAISILVVFLCLAALYESWSIPFSVMLVLPLGVIGALLAATMRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSKKSDDVEHSHPVEHH ->ARGMiner~~~EXO beta-lactamase~~~WP_053696276.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_053696276.1~~~penam~~~unknown MRKPTTSLTRRSVLGVGLGLGGALALGSTTASAASAGAVPSGTTRPGTTSSGSPAAVRRLRALEREHQARIGVFALNLATGASLLHRAHELFPMCSVFKTLAAAAVLRDLDHDGSQLSRVIHYTEAEVTKSGHAPVTKDHIDTGMTIRDLCDATIRYSDNCAGNLLLRELGGPTAITRFCRSLGDPVTRLDRWEPELNSAEPDRRTDTTSPYAIARTYQRLVLGNALDRPDRALLTDWLLRNTTTLTTFRTGLPKGWTVADKSGGGDTYGTRNEAAIAWTPDGAPVLLTALTHKPTLPTAPGDTPLIIKLATVLSEAVAPA ->ARGMiner~~~msbA~~~WP_031906378.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031906378.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVDKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~MIR-3~~~WP_047744933.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-3~~~WP_047744933.1~~~monobactam;cephalosporin~~~unknown MMTKSLSCALLLSVASAAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRIFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWLIANMKPDSLHAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~tolC~~~WP_017899749.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_017899749.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTSKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASSGVSNNRYSGSKSTSQDADIGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAMKPASARTTTHSSGSNPFRQ ->ARGMiner~~~FosB3~~~WP_042903611.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_042903611.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLKLHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~MexD~~~WP_023117634.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_023117634.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDASIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~macB~~~WP_049046752.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049046752.1~~~macrolide~~~unknown MTALLELREIRRSYPSGDGEVDVLKGITLSISAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRVRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSLEKGGKLRAEARAEPSVWRQFSSGFHEALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_024227362.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024227362.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVIERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLLLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~adeG~~~WP_031993178.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_031993178.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVVILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSAHLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~tolC~~~WP_045330078.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045330078.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGISDTSYSGSKTHSSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEKLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPESVAPENPQQVAAVDNFNANGSAPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~smeF~~~WP_021203903.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeF~~~WP_021203903.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MKSASLFLSIAAALALAGCSTLVPKNTAVAPAIPAQWPAEAAQGEVADAAAVGWRDFFTDARLQQVIEQSLQNNRDLRVAVLNVERARGQYRVQRADRVPGVAVTGQMQRQGTDAGVSEQFTAGVGVAEFELDLFGRVRNLSEAALQQYFAVAANRRNAQLSLVAETATAWLTYGADAQRLKIAEATLKTYEDSLRLAEARHERGGSSALELTQTRTLVETARTDAARLRGQLAQDRNALALLAGGQLDPALLPDGIEPQLLALAPPPAGLPSDVLLQRPDIMAAEHQLLAANANIGAARAAFFPSISLTGSIGSGSSELSNLFDSGTRVWSFLPKITLPIFQGGKLRANLAIANADRDIALAQYEKSIQVGFRETADALALNVSLDEQVRSQQRLVEAAEQANRLSQARYDAGLDSFVTLLDARRTAYNAQQTQLQARLAQQANRITLYKVLGGGWHERS ->ARGMiner~~~emrB~~~WP_001587343.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001587343.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQHITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtA~~~WP_038443229.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~WP_038443229.1~~~aminocoumarin~~~unknown MKGSNTFRWAIAIGVVVAAAAFWFWHSRSESPTAAPGVAAQAPHTAAAGRRGMRDGPLAPVQAATATTQAVPRYLSGLGTVTAANTVTVRSRVDGQLISLHFQEGQQVNAGDLLAQIDPSQFKVALAQAQGQLAKDNATLANARRDLARYQQLAKTNLVSRQELDAQQALVNETQGTIKADEANVASAQLQLDWSRITAPVSGRVGLKQVDVGNQISSSDTAGIVVITQTHPIDLIFTLPESDIATVVQAKKAGKTLVVEAWDRTNSHKLSEGVLLSLDNQIDPTTGTIKIKARFTNQDDTLFPNQFVNARMLVDTEQNAVVVPAAAVQMGNEGHFVWVLNDENNVSKKRVKIGIQDNRNVVISAGLSAGDRVVTDGIDRLTEGAKVEVVEPQTTVADEKSPSRHEGQKGARA ->ARGMiner~~~tolC~~~WP_053894943.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_053894943.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQMPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macB~~~WP_028019265.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_028019265.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAQALLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIVEIHDGELVSNPPPRQTRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYNDLEAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSARAEQQLEQLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFTLQLFLPGWEIGFSPLAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~fexA~~~AGR88940.1~~~phenicol unknown +>ARGMiner~~~fexA~~~AGR88940.1~~~phenicol~~~unknown MKKDSKSKEMIQSEKRGSTRLLMMVLSLSVLVGSITADSVNPVLPLISKDLEASKSQVSWIVSGIALVLAIGVPIYGRISDFFELRKLYIFAIMILASGSLLCAIAPNLPLLVLGRMVQGAGMSAIPVLSVIAISKVFPQGKRGGALGIIAGSIGVGTAAGPIFGGVVGQYLGWNALFWFTFLLAIMIVIGAYYALPTIKPAESVGSNKNFDFIGGLFLGLTVGLLLFGITQGETSGFSSFSSLTSLIGSVVALVGFIWRIVTAENPFVPPVLFNNKDYVNTVIIAFFSMFAYFAVLVFVPLLVIEVNGLSSGQAGMILLPGGVAVAILSPFVGRLSDRFGDKRLIITGMTLMGLSTLFLSTYASGASPLLVSVGVLGVGIAFAFTNSPANNAAVSALDADKVGVGMGIFQGALYLGAGTGAGMIGALLSARRDATEPINPLYILDAMSYSDAFLAATGAILIALIAGLGLKKRG ->ARGMiner~~~FosB~~~KJJ41727.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~KJJ41727.1~~~fosfomycin~~~unknown MKIKGINHLLFSVSHLDTSIDFYQKVFDARLLVRGKTTAYFDLNGIWLALNEEPDIPRNDIKASYTHIAFTIEDHEFEEMSAKLKKLDVNILSGRERDERDRKSIYFTDPDGHKFEFHTGTGTLQDRLRYYKQEKKHMDFYD ->ARGMiner~~~mdtP~~~WP_000610582.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610582.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQASPVVEKNKMSAA ->ARGMiner~~~mdtB~~~CRL60247.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~CRL60247.1~~~aminocoumarin~~~unknown MTEKTHGTGGGPSRLFILRPVATTLFMVAILLAGIVGYRMLPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLMFQLTLPLDVAEQEVQAAINAATNLLPSDLPYPPIYSKVNPADPPILTLAVTSSTLPMTQLQDMVETRISQKISQVNGVGLVALAGGQRPAVRVKLNAQAAASYGLDSEKIRVAINNANVNSAKGSLDGPTRSVTLSANDQMKSLEDYRQLIVAYKNDAPIRLSDIATIEQAPENNQLGAWANNEQAIIINVQRQPGVNVIDTTDNIRNLLPDLVSNLPKSVNVEILTDRTTTIRASVKDVQFELGLAIALVVMVIYLFLRNGVATLIPSIAVPLSLVGTFAVMYFCGFSVNNLTLMALTIATGFVVDDAIVVIENISRYLERGDKPLTAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARLLKPENEIKHNRFEIACERFFEKMIAVYAVWLKRVLNHQWITLGVALSTLVLTVLLYMFIPKGFFPLQDNGLLQGTIETSQSISYQSMVEKQQQVVDKLIDDPAVDNIASFVGIDGSNATLNTGRLQITLKPLDQRDSRIDTIIPRLQERIASISGITLYLQPTQDLTIDTQVSRTQYQFTLQATSLDELAYWVPKLSQSLKNSPELTDISSDWQDGGMMAYIKVDRDSASRLGITMNEIDNALYNAFGQRLISTIYTQANQYRVVLEQDIRSGDGLQALSAVHLTGKDGAMVPLLSIASVEQRLAPLSINHQEQFPSATFSFNVAEKSSLEEAVKAVKLAEEQISMPRDITTQFQGATLAFESALSSTLWLIIAAIVAMYIVLGVLYESFIHPITILSTLPTAGVGALLALMTAGNELDIIAIIGIILLIGIVKKNAIMMIDFALAAEREQGLTPYEAIYQACLLRFRPILMTTMAALLGALPLMLSTGVGAELRQPLGVCMVGGLIMSQILTLFTTPVIYLLFDKLSLYVNRNKHIENNDGAVS ->ARGMiner~~~sdiA~~~WP_001672905.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001672905.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKGAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~CRP~~~WP_005186183.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_005186183.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSSQMASRLQITSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~novA~~~WP_015607120.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_015607120.1~~~aminocoumarin~~~unknown MKPDEPVWTPPPDAASDRPPGEVRRILRLFHPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMNSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTKGFTEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWVAGLAFQPGGTAVSIGTLVAFVTLQQGLFRPTVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEKPVRLEKIRGEIAFENVDFAYDDKSGHTLSSIDVTVPAGNTLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPEATDEEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLDSGRIAERGTHDELLDRDGRYAALIRRDSHRAPVPAS ->ARGMiner~~~nalD~~~WP_058137685.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_058137685.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASPALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~CepS beta-lactamase~~~WP_044800526.1~~~cephalosporin unknown +>ARGMiner~~~CepS beta-lactamase~~~WP_044800526.1~~~cephalosporin~~~unknown MKQTRALPLLALGTLLLAPLSLAAPVDPLKAVVDDAIRPVLKQHRIPGMAVAVLKGGQAHYFNYGLADVATGTKVNEQTLFEIGSVSKTYTATLGAYAVVKGGFKLDDKVSGHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSSDTMRAYYRHWTPPYQAGTQRQYSNPSIGLFGHLAANSLQQPFATLMEQTLLPALGLEHTYLQVPEAAMARYAFGYSKEDKPIRVNPGMLADEAYGIKTGSADLLAFVKANISGVDDKALQQAIALTHTGFYRVGEMTQGLGWESYAYPVSEQTLLAGNSPAVSLKANPVAQFETPATPGAMRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPIEARVSAAHAILSQLAL ->ARGMiner~~~adeB~~~WP_000987615.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987615.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATTAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTAILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIATGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~macA~~~WP_023185566.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023185566.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILFVGGIASWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPEQAENQIKEVEATLMELNAERQQAAAELKLARVTLTRQQQLAKTQAVSRQELDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGDNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~macA~~~WP_005133181.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_005133181.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKVTRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~tolC~~~WP_050292666.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_050292666.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKPAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~norA~~~WP_064127334.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_064127334.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVIFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~bcrA~~~WP_016115614.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_016115614.1~~~peptide~~~unknown MSTIIKTVNLTKLYGNQKSVDNLNLNVHQGQIYGFIGRNGAGKTTTIRMLLGLIKPTSGKIEIFGEDLAKNQKEILRRIGSIVEVPGFYENLTAKENLLINAKIIGLHKKNAIEEVLEIVGLQHETKKRVGKYSLGMKQRLGIARSLLNYPELLILDEPTNGLDPIGIKEMRKLIKSLAMERNITILISSHILAEIEQLVDYMGIIHEGKLLEEVALDTLRKTNRQYLEFQVNNDNKAAMLLESQFHISDYEVHNEGNIRIYSHFGQQGKINKAFVQNDIEVLKIMMSEDKLEDYFTKLVGDGTIG ->ARGMiner~~~mdtM~~~EQP57070.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~EQP57070.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MNNHFGKGLMAGLKATHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRLVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVSFSAKSVLRDFRNVFCNRQFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~mdtH~~~WP_047353554.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047353554.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHDPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMIQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~acrB~~~gi:146387261:pdb:2HQC:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~gi:146387261:pdb:2HQC:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVADAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHHHHHH ->ARGMiner~~~tet(30)~~~WP_057693717.1~~~tetracycline unknown +>ARGMiner~~~tet(30)~~~WP_057693717.1~~~tetracycline~~~unknown MNRSLFIIFATIALDAIGIGLIFPILPLLLQDMTHSTHIAIYMGILASLYAAMQFIFSPLLGTLSDRWGRRPVLLISLAGSAVNYLFLSFSHSLILLLVGRIIAGITSANMAVASTYIVDVSQENNRAKYFGLINAMFGAGFIIGPVLGGFLSEYGLRLPFLVAAILTGLNLLFAYFILPETRRVTSEGKQLSTLNPFKIFAGISSIRGVLPFVMTFFIFSAIGEVYGVCWALWGHDTFQWSGFWVGLSLGAFGLCQMLVQALIPSHASRLLGNRNAVLIGIACSCLALAVMAFAQSGWMIFAIMPIFALGSMGTPSLQALASQKVSADQQGQFQGVITSTVSLASMIAPLFFSTLYFQFQKKWPGAIWLSVILIYLITLPIILYSTQPVEQQR ->ARGMiner~~~efpA~~~AE016830.1.gene768.p01~~~rifamycin;isoniazid unknown +>ARGMiner~~~efpA~~~AE016830.1.gene768.p01~~~rifamycin;isoniazid~~~unknown MAKETNVKLVTVSVFVATFMTAIEGTIVSTAMPTIVGSLHGMEIMNWVFSIYLLTNAMLTPIYGKLADKIGRKPVFMIGIIIFILGSSLCGFAQDMLTLIIARAIQGVGAGAILPVALTIIADMYTLDKRAKILGLNSAAWGIASIFGPLAGGFIVDTVGWHWIFFINVPIGLVLLGLISIFLVEPKRERTKMPMDILGSVTLMVVLLTLLLGFQMISDNGFTLVTFGCLSLSVLFFVAFVMIEKRAQDPVIDLHLFNQPTFVLVNLIAALISGFLMGIDVYIPMWMQGVLGKSAGIGGLVLAPMSLLWMAGSFIASSFMEKYAMKKVLTIGLSILLVGAIFLVVMPMAVPFWLFFVVSSVLGVGFGITITTTTVTAQSTVEPEKMGVATSFNTLVRTIGQTVMVSIFGVILNAGMFAKLEASALNVDADVMNQLVNPHTANLIPAALLKPLRGILYAGLHNVYLVGAGLVVVALLLNIFAKAQRAKV ->ARGMiner~~~macA~~~WP_004316446.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004316446.1~~~macrolide~~~unknown MKKKIVLIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIANKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHATIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVPNKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLAPKMKLRF ->ARGMiner~~~tolC~~~ETQ18431.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~ETQ18431.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQASLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDRLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQRRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~OXA-9~~~EWE59955.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-9~~~EWE59955.1~~~cephalosporin;penam~~~unknown MKKILLLHMLVFVSATLPISSVASDEVETLKCTIIADAITGNTLYETGECARRVSPCSSFKLPLAIMGFDSGILQSPKSPTWELKPEYNPSPRDRTYKQVYPALWQSDSVVWFSQQLTSRLGVDRFTEYVKKFEYGNQDVSGDSGKHNGLTQSWLMSSLTISPKEQIPYFLRVEGNSMEDARIHDGDVLVVDRALEPEHGSIVIAAVDNEVSDTLDLREFQQQQEKDFLQTSLQQAKFNQKKAAELLGLTYHQLRALLKKHQI ->ARGMiner~~~FosA2~~~WP_032608424.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_032608424.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVAEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~msbA~~~WP_061650059.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_061650059.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKGFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELMSKQGAYEHLYSIQNL ->ARGMiner~~~macA~~~WP_060465283.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_060465283.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSEKASSTPSTERKHEGNGARLERLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~lsaB~~~WP_046586836.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_046586836.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVRNLTFSYPSSFDNIFEKVSFQIDTDWKLGFIGRNGRGKTTFFNLLLGKYEYSGKIISSVDFNYFPFPVKDKSKYTHEILEEICPQAEDWEFLREIAYLNVDAEAMYRPFETLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTEARKMVSNYLRKKKGFILISHDRNFLDGCVDHILSINRANIEVQSGNYSTWKMNFDRQQEHEEATNERLQKDIGRLKQASKRSAGWSNQVEATKNGTTNSGSKLDKGFVGHKAAKMMKRSKNLEARQQKAIEEKSKLLKNVEKTESLQLEPLEFKSKMLIQLTDVSVTYDGQIINKPISFNVEQGDRIVLDGKNGSGKSSILKLILGNPIQHTGTLNTSSNLIISYVQQDTSHLKGMLTDFIEEYQIDETLFKSILRKMDFDRIQFEKDISYYSGGQKKKLLIAKSLCENAHLYIWDEPLNFIDIYSRMQIEELIQTFNPTMVFVEHDHTFQKTIATKTIKI ->ARGMiner~~~macA~~~WP_045406001.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045406001.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRSQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~macA~~~WP_058672678.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_058672678.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLAVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~mdtH~~~SCA03766.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~SCA03766.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLSQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPNGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAAGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~mdtM~~~WP_001188946.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001188946.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRILQFFSQRATTLFFPIALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAMPFSAVSVLRDFRHVFRNPLFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAVVAGVIAVFTLATLLQRVRQHETAELAAEK ->ARGMiner~~~sul1~~~WP_058131232.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_058131232.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRQLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~mdtP~~~WP_001577542.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001577542.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALVQQTLSGSHTLAEAKLREEKAQSQADLLDTGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~FosB~~~WP_051985106.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_051985106.1~~~fosfomycin~~~unknown MPYLCWVSLHTTIGVNSYINHLTFSVSDLEKSIHFYQNVFGAKLLVKGRNLAYFDLNGIWLALNVQRDIPRNEIQHSYTHIAFSVKEEDFDSVVQKLKELGVNILPGRERDERDKRSVYFTDPDGHKFEFHTGTLNDRLSYYKSEMHHMQFFD ->ARGMiner~~~tet(C)~~~WP_013279371.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_013279371.1~~~tetracycline~~~unknown MTDKHNMSTNLSVIKNPRVQSDQRRLVRRPDVKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~emrB~~~WP_048213009.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_048213009.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVRLFMWSTIAFVIASWACGMSTSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGAVVVLMTLQTLRGRETKTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIIILTVVAVVAISFLIVWELTDEHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERMAAASSLSNFTRTLAGSIGTSITTTMWTSRESLHHAQLTESVNPYNPNAQAMYDKLQDLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtN~~~WP_021579975.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_021579975.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSNSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVEKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~sul1~~~WP_059247051.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_059247051.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTSHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~sdiA~~~WP_015959505.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_015959505.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRETDFFTWRRNCFLRFQEMGSADEVYEELQRQTQALEFDYYSLCVRHPVPFTRPKISIHSSYPAEWISQYQSENYYAIDPVLKRENFLQGHLPWTDALFADAQALWDGARDHGLRKGITQCLMLPNHALGFLSVSRTSQFGKMMDSDEIELRLQVLVQMALTTLLRFEHEMVMPPEMKFSKREKEILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGFI ->ARGMiner~~~macB~~~WP_014729221.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_014729221.1~~~macrolide~~~unknown MTALLELRNIRRSYPSGETDVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLNDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVGYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDAARLPAQPQDAPALGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSGEAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~macA~~~WP_002249135.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002249135.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIIQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~macB~~~WP_023297316.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023297316.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHDLLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~WP_061739475.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061739475.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYCAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~mdtO~~~WP_021516674.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021516674.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIASLFLIYKWSYGEPLIRLIIAGPILMSCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEAVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLVSEINKLQHTIAEGQCWQSDWRLSEDEAVAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGVATALSHSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~hmrM~~~WP_001706772.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001706772.1~~~fluoroquinolone;acridinedye~~~unknown MQKYTSEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLVMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNEKGFGKPDSIVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGICMAVVTAIFTVTLRKHIALLYNDDPEVVALAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAIILQRAAR ->ARGMiner~~~acrB~~~NP_752514~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~NP_752514~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTNYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~macA~~~WP_001124215.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124215.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKYQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~adeB~~~WP_000987614.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987614.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATTAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTAILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~MexF~~~WP_054083186.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_054083186.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKSHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPTLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLREMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVMAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAAKAQRLQNLPAEMH ->ARGMiner~~~Escherichia coli ampC~~~WP_001586577.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001586577.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDILHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLIDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDDVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLTHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKAITPPTPAVCASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~FosA2~~~EIM34166.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~EIM34166.1~~~fosfomycin~~~unknown MPPLRRQPPAVYGEHGVVYVHLFTVPRPARKTKGHVMLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGELWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPFSQRLKQTGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~MexE~~~WP_054379504.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_054379504.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNPSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~cmeA~~~WP_002870773.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002870773.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQNNTILALSVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIVNKLFKAGDKVKKGQILFIVEQNKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKNAISQKEYDSSLATFNNAKASLTTARAQLANAKIDLDHTEIKAPFDGTIGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAIKQDQNDVYVLLVKNGKVEKSSVHISYQNNEYAVIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~ACT-29~~~WP_065422007.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_065422007.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKFLCCALLLSTSCSVLAAPMSEKQLADVVERNVTPLMKAQGIPGMAVAVIYQGQPHYFTFGKADIAANKSVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPDLTGKQWQGIHMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANSSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEETHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMANMAPDALQDTSLKQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVSPPVPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPVRVEAAYRILDALQ ->ARGMiner~~~MexE~~~WP_033994124.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_033994124.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFFWRYPLALAAVLVLGACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~mdtO~~~WP_001275162.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_001275162.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATMLEIASLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQVLNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAIAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMALRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~MexB~~~WP_043248665.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_043248665.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLAGGLSILKLPVNQYPAIAPPAIAIQVSYPGASAETVQDTVVQVIEQQMNGLDHLRYISSESNSDGSMTITVTFDQGTSPDIAQVQVQNKLQLATPLLPQEVQQQGIRVTKAVKNFLMVVGVVSEDGSMTREDLSNYIVSNIQDPLSRTPGVGDFQVFGAQYAMRIWLDPAKLNSFQLMPSDVVTAVQAQNVQISSGQLGGLPAVKGQQLNATIIGKTRLQTAEQFGNIFLKVASDGSQVRLKDVATVELGGQDYSINAQFNGKPASGIAIKLATGANALDTAKAIRATLERLKPFFPEGMKVVYPYDTTPVVSASIHEVLKTLGEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPREAARRSMGQIQGALVGIALVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVLVALVLTPALCATMLKPIEKGDHGEHKRGFFGWFNRSFIAATSRYERGVTSILKRRAPYLLVYVVIVIGMGWLFSRIPTSFLPDEDQGVLFAQVQTPPGSTAERTQKVVDQMREYLLDKESAAVNSVFTVTGFNFAGRGQSSGMAFIMMRPWEERLGEQNSVFAVAQRAQHYFFTIKDAMVFAFAPPAVLELGNAVGFDIFLQDQAGVGHDTLVQARNKFLQLAAHNPVLQRVRPNGLNDEPQYELLIDDEKASALGVSLADINSTVSIAWGSKYVNDFIDRGRVKKVYAQGEPNARMSPEDLAKWYVRNSSGDMVPFTAFATGKWVYGSPKLARYNGVPAMEILGEPAPGRSTGDAMAAVEEIVSQLPAGVGYSWTGLSFEERLAGSQTTGLFVLSTIVVFLCLAALYESWSIPFSVLLVVPLGIIGALLATSMRGLSNDVFFKVGLLTTIGFSAKNAILIVEFAKELHEREGKHIVDAAIEACRMRLRPIVMTSLAFVLGVVPLAISSGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFYVVVSTLFKDKTSKEQAAAEKGH ->ARGMiner~~~nalC~~~WP_003100686.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_003100686.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMTLLETRLKLSVDIIACYLEHLSQSPAQG ->ARGMiner~~~arnA~~~WP_001413583.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001413583.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETAVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~arnA~~~WP_001600222.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001600222.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVELTDKPS ->ARGMiner~~~y56 beta-lactamase~~~WP_038893172.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_038893172.1~~~cephalosporin;penam~~~unknown MKHSSLRRSLLLAGITLPLVSFALPAWANALPASVDKQLAELERNANGRLGVAMINTGNGTKILYRAAQRFPFCSTFKFMLAAAVLDQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLIKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTARPNDPRDTTTPAAMAARMNKLVLGDALRPAQRSQLAVWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASVTKIILSQIS ->ARGMiner~~~MexF~~~WP_023096686.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_023096686.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEVVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~macB~~~WP_044264203.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044264203.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEEQVEVLKGITLQINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDRDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSRSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIHDGEIVRNPPAKHAVQGQGIQEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAIQKQPWVTSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNREQLNGRAQVVVLDSNTRRQLFPHKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTFTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_061155197.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061155197.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHDLLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIEIRDGEIVRNPPGSRQGGGLRARQQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~acrB~~~WP_008460295.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_008460295.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MSKFFIDRPIFAWVIAIIIMLAGALAIMKLPIAQYPTIAPPAIQISANYPGADAKTVQDTVTQVIEQNMNGIDGLLYMSSTSDSSGTVQITITFDSGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVLGMINTDGTMNQDDIADYVGATIKDPVSRTPGVGDVQLFGAQYAMRIWMDPNKLNNYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLKSADEFSKILMKVNPDGSRVLLKDVAKVELGGESYDVIARYNGQPASGLGIKLATGANALDTAEAVRATVEKLQPFFPAGLKVVYPYDTTPFVKISINEVVKTLIEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMVEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMVLSVLVAMILTPALCATMLKPIPKGHHDDKKGFFGWFNRMFEKSTHHYTDSVGNILRSTGRYLVVYLLIVVGMAFLFVRLPSSFLPDEDQGVLLAMAQLPAGATQERTQKVLDEVNDYFLTKEKANVNSVFTVNGFGFSGRGQNTGLAFVSLKDWSERSGEENKVPAIAGRAMGTFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQANLGHEQLTQARNQLFGMIAQHPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMAEAPYRMLPSDIGNWYVRGSSGQMVPFSAFSTSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMDMMEQLASKLPTGIGFDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVFGALLAATMRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHAHAVEHHQ ->ARGMiner~~~acrE~~~WP_024228974.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_024228974.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MAALPTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~mefA~~~WP_036757394.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_036757394.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLSGGLLLGRLGGFEKHVLLITSSFFLMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~L1 beta-lactamase~~~WP_054171847.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_054171847.1~~~cephalosporin~~~unknown MRCSLLAFALAAVLPVAHASAAEAPLPQLRAYTVDASWLQPMEPLQIADHTWQIGTENLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLQLILLSHAHADHAGPVAELKRRTGAHVVANAESAVLLARGGSNDLHFGDGITYPPTSADRIIMDGEVVTVGGIEFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRGLPCDLLLTPHPGASNWNYAAGSKAGAKALTCSAYADAAEKTFDAQLAKESAAHR ->ARGMiner~~~macA~~~WP_005044810.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_005044810.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIVIIAVLAWKFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTRSTAQTNIGYTRILAPTNGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGEDTKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKASSTPEAAKKSQGNGARLERLNLTAEQKQLIEQGKLTLSVVRILQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~macB~~~WP_032653128.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032653128.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSISRALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB~~~Q63CC5~~~fosfomycin unknown +>ARGMiner~~~FosB~~~Q63CC5~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVRGRKLAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMTFY ->ARGMiner~~~tolC~~~WP_016246579.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_016246579.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLNATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~emrB~~~WP_004993751.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_004993751.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQALGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~acrB~~~WP_052991720.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_052991720.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAIVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTSLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~tolC~~~WP_058144894.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_058144894.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAESRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~FosA2~~~WP_032637598.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_032637598.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSITFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFEPFSNKLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~arnA~~~WP_001388084.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001388084.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYATDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSILNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~adeB~~~WP_000987608.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987608.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNTSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSTLGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~emrB~~~WP_053918316.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_053918316.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLERLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~MexE~~~WP_057375121.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_057375121.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGPIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~msbA~~~WP_031925464.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031925464.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERIQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~mexY~~~WP_061193016.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061193016.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMALAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGFTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~imiH~~~WP_043161524.1~~~carbapenem unknown +>ARGMiner~~~imiH~~~WP_043161524.1~~~carbapenem~~~unknown MKGWIKCGLAGAVVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYVQENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRQPVLEVINTNYHTDRAGGNAYWKSIGAKVVATRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHDGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDQQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAAPQS ->ARGMiner~~~mdtN~~~WP_054472880.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_054472880.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPFEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVTQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~msbA~~~WP_053865454.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_053865454.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKKGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~FosB3~~~CDR66934.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~CDR66934.1~~~fosfomycin~~~unknown MQLHSQLYKIKYDVSISLRKILCFLNAKKEVNTLLKSINHICFSVSNLNNSIHFYKDILCGELLLSGNKTAYFNIAGLWIALNEEKDIPRNEIQLSYTHIAFTIDENEFNYWYQRLKNNKVNILEGRVRDVRDKQSIYFTDPDGHKLELHTGTLENRLNYYKSTKPHMTFYQ ->ARGMiner~~~macB~~~WP_062856780.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_062856780.1~~~macrolide~~~unknown MTALLELTDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLARAQTLLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRASRAAAPKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQIFLPGWEIGFSPVAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~acrE~~~WP_040222790.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_040222790.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNQQPHAQAPQVTVHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYVSQQEYDQAVATARQADADVIATKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGVDPQAILVPQQGVTRTPRGDASVMLVNDKNQVETREVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEAERAAPAVQ ->ARGMiner~~~nalC~~~WP_009313510.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_009313510.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQD ->ARGMiner~~~FosB3~~~WP_045174195.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_045174195.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILFGKFLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~tet(V)~~~WP_038565024.1~~~tetracycline unknown +>ARGMiner~~~tet(V)~~~WP_038565024.1~~~tetracycline~~~unknown MSTQPDTHKPVDQTGGWRVLAPFRVREYRLLIAAVTLSIFAEGMWSVVMALQVIAIDNDPASLSVVATCMGVGLVAFVLVGGITADWVNQRTIIIAVETVNLVTVTVVAVLGLVGALRIWHLAVAAAILGIAAAFFFPAYSALLPRILPAEQLLAANGVEGVVRPVFQRSVGPAVAGMVIAATFPSLGAVVVAALFGAGLVLLISTRPTVDSVAAQDHSARPHVLRDLRDGFAFMVRTPWLLWTLLFASMFVLVVLGPIEVLLPFIAQDRFADGARAYGFILAFFGFGSALGALTVSSRRMPRRYLTTMMAMWGLGSVPLIAVGVTSSFPLMALATFCIGVTDGAGMVIWGTLLQRRVPTEMLGRVSSLDFFVSLAFMPLSFAIVGPLSKVVSMESIFLVAGVLPAVLAAVAVTAARMPRDELAHPLR ->ARGMiner~~~mdtH~~~WP_001529963.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_001529963.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEQWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~Escherichia coli ampC~~~WP_021574183.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_021574183.1~~~cephalosporin;penam~~~unknown MLKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~H-NS~~~CP001138.1.gene1383.p01~~~macrolide;fluoroquinolone;cephalosporin;cephamycin;penam;tetracycline unknown +>ARGMiner~~~H-NS~~~CP001138.1.gene1383.p01~~~macrolide;fluoroquinolone;cephalosporin;cephamycin;penam;tetracycline~~~unknown MSEALKILNNIRTLRAQARECTLETLEEMLEKLEVVVNERREEESAAAAEVEERTRKLQQYREMLIADGIDPNELLNSMAAAKSGTKAKRAARPAKYSYVDENGETKTWTGQGRTPAVIKKAMEEQGKQLEDFLIKE ->ARGMiner~~~mgrA~~~WP_047132752.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_047132752.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQLNLGGRLCFSLYNAQRQVNRYYSNKVFKKYKLTYPQFLVLTILWEDSPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTEKSEAIKPELGQACNQLADISGLSESEEKELNRLLDKLIDSLAKENA ->ARGMiner~~~tolC~~~WP_033869808.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_033869808.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQHARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~adeG~~~WP_032039908.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032039908.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKKQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~mexY~~~WP_061194199.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061194199.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNRMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVILAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~tolC~~~WP_060616384.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_060616384.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVAQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mdtF~~~WP_032240900.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032240900.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNTSITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~FosB~~~WP_002169512.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002169512.1~~~fosfomycin~~~unknown MLQGINHICFSVSNLEKSIEFYQKILQAKLLVTGRKLAYFDLNGLWIALNVEEDIPRNEIKQSYTHIAFTVTNEKLDHLKEILIQNEVNILHGRERDERDQRSLYFTDPDGHKFEFHTGTLQNRLEYYKEDKKHMTFYK ->ARGMiner~~~macB~~~WP_064517458.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064517458.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDEQVEVLKGINITIEAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYTVAGQDVALLDSDALATLRREHFGFIFQRYHLLSHLNATQNVEVPAVYAGTARVYRQQRARALLMRLGLAERVDYLPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMATLKQLREQGHTVIIVTHDPTIAAQAQRVIEIRDGEIISNPLSVVQQAGAPKEVKPQPVNSAFQQTLSSFREAFTMAWLALAANKMRTLLTMLGIIIGIASVVSIVIVGDAAKQMVLQDIRSIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAISQQPWVSSATPALSSNLRLRYGNVDAAASVNGVSSQYFNVYGMTFSEGNTFNEEQLAGRAQVVVLDSNTKRQLFPDKAKVVGEIVLVGNMPATVIGVAQEKQSMFGSSKILRVWLPYNTMAGRVMGQSWLNSITVRVKEGYNSHEAEQQLNRLLQLRHGKKDFFTYNMDGLLKTAEKTTRTLQMFLTLVAVISLLVGGIGVMNIMLVSVTERTKEIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLLIAFTLQLVLPGWEIGFSPMALLTAFACSTATGIIFGWLPARNAARLNPIDALARE ->ARGMiner~~~mdtH~~~WP_042947243.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_042947243.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALIVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRYRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGFITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~cmeA~~~WP_002854728.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002854728.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLAACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIVNKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQDQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGVQ ->ARGMiner~~~MexE~~~EU408352.1.gene3.p01~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~EU408352.1.gene3.p01~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNILAALASSRWFKLSLLFALLAGCGKHEEGAPQGGPPAVSVAPAVKRDVKEFDEFTARLEAPDTVDIRSRVAGTLMQVHFREGQMVHKGDPLFTIDPRPFAAEAARVEAQLVAARTSGELSKTELARAEKLVAVRGVSQQELDQLKAALANANSNVKAYEAALVQARLNLEFTRIVAPVTGRTSRANVTAGNLVNIGDPVLTTVVSNDRMYAYFDASEAIYLKYMRSARDGSRPSSRDVPNAVRLGLANEEGFPHEGHMDFVDNRLNPATASMRGRAVFDNKDGLYTPGLFARIQLVGSGSYAATLVSDRAITTDQTRKVVLVVGKNNIVEQREIKPGALIGGMRVVTGINAGELVIVDGLLRAFPGAPVAPQVLKVDEQGLPIPAPPPGAAPVAKG ->ARGMiner~~~mdtG~~~WP_005129095.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_005129095.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITALVLLICFILTLFFIRERFQPVSKKEMLHVREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPKLGKLGDRIGPEKILIVALVISVLLLIPMSFVQTPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNAIYSWNSLRRRRGSQVLG ->ARGMiner~~~H-NS~~~CP001485.1.gene2287.p01~~~macrolide;fluoroquinolone;cephalosporin;cephamycin;penam;tetracycline unknown +>ARGMiner~~~H-NS~~~CP001485.1.gene2287.p01~~~macrolide;fluoroquinolone;cephalosporin;cephamycin;penam;tetracycline~~~unknown MVMSEITKTLLNIRSLRAYARELTIEQLEEALDKLTTVVQERKEAEAEEIAARAEQEAKLAAIAEQIAKDGIDLEALISALSGETKTKAKGKRAPRPAKYKYIDTNGEEKTWTGQGRTPSVIQKALDEGKSLEEFAL ->ARGMiner~~~mdtF~~~EIQ16514.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~EIQ16514.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPLKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mdtH~~~WP_064345216.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064345216.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLIMTLAMMPIGLSSTLQQLFTLICVFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGAFGYAGGGWLFDAGKATGQPELPWLMLGAIGLATFVALWWQFSPKRSTSGMLEPRT ->ARGMiner~~~adeA~~~ADB77767.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~ADB77767.1~~~glycylcycline;tetracycline~~~unknown MQKHLLLPLFLSIGLILQGCDSKEATQPEPPPAKVSVLSIQPQSVNFSENLPARVHAFRTAEIRPQVGGIIEKVLFKQGSEVRAGQALYKINSETFEADVNSNRASLNKAEAEVARLKVQLERYEQLLPSNAISKQEVSNAQAQYRQALADVARMKAALTRQNLNLQYATVRAPISGRIGQSFVTEGALVGQGDTNTMATIQQIDKVYVDVKQSISEYERLQAALQSGELSANSDKTVRITNSHGQPYNVTAKILFEDINVDPETGDVTFRIEVNNTERKLLPGMYVRVNIDRASIPQALLVPAQAIQRNINGEPQVYVINAKGTAEIRPIEIGQQYEQYYIANKGLKIGDKVVVEGIERIKPNQKLAMAAWKAPAVVNDAPSIEKKASTTEGAQS ->ARGMiner~~~novA~~~WP_024755698.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_024755698.1~~~aminocoumarin~~~unknown MRPHDQSDWTPPPRDSAQPKEPAQVRRILRLFRPYRARLALVGLLVGAASLVSVASPFLLKEILDTAIPEGRTGLLSLLALGMIATAVLTSVFGVLQTLISTTVGQRVMHDLRTGVYEQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKAITTQRQKQMAAMAATVTESLSVSGILLGRTMGRADSLTRSFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQSGGPAISLGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLPVDITEPAEPVRLAKVRGEVTFEKVEFRYDPEGRERATLDGIDVTVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVALDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSAGRTTITIAHRLSTVRDADQIVVLEAGQIAERGTHEELLARDGRYAALVRRDARTAGQGAVQAVVQDGGGRGEQTNVGAVVPQNV ->ARGMiner~~~emrA~~~WP_053390100.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_053390100.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKNGKRKSMLLLLTLLFIIIAVAYGIYWFLVLRHVEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQASIEVQRTALAQAQSDFNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILGSKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPATNLWVDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAQQLAQHPLRIGLSTLVKVDTSNRDGQILASQVRTTPVSESNAREINLAPVNKMIEEIVQANAG ->ARGMiner~~~macA~~~WP_031947359.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_031947359.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSEKASSTPSTERKNQGNGARLERLNLTAEQKQLVEQGKATLSVVRILQADGTAKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~sul2~~~ADX01889.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~ADX01889.1~~~sulfonamide;sulfone~~~unknown MSYLFLSCTEIIIIEAPMNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~arnA~~~WP_000860283.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860283.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPVCTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~SRT-2~~~WP_038873908.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_038873908.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAHAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFKDPASHYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTNNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLGQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAATHVGYYKAGAFTQDLMWENYPYPVQLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIIQALEKR ->ARGMiner~~~macA~~~WP_024190926.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_024190926.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQNLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtF~~~WP_001397246.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001397246.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGSHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLATIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~macB~~~CCK10228.1~~~macrolide unknown +>ARGMiner~~~macB~~~CCK10228.1~~~macrolide~~~unknown MTALLELRAIRRSYPSGETDVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLNDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVGYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDAARLPAQPQDAPALGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQLWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSSEAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLILPGWEIGFSPVALLTAFFCAPARRGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~norA~~~EFS19365.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~EFS19365.1~~~fluoroquinolone;acridinedye~~~unknown MVFMKKQLFTLYFNIFLIFVGIGLVIPVLPVYLKDLGLKGSDLGILVAIFSLSQMIISPFGGSLADKLGKKLIICLGLVFFTISEFLFAMSHSFILLIVSRILGGFSAGMVMPGVTGMIADISIAKDKAKNFGYMSAIINSGFILGPGIGGFLAEISHRLPFYFAGALGVIAFVISVILIRQPQNTAESHHIHFETKELSKIQWGVFITPIILTFVLAFGLSSFETLFSLYTSSKANYAPGDISIAIVGGGVVGAVFQIFFFDKFMKYTTELTFITWALLYSVIVIFSLIIAHSYWSIMLISFIVFIGFDLIRPALTNYYSNIAGNRQGFAGGLNSTFTSMGNFVGPLVAGLLFDVNIEFPLYMSIIVMLFGVVIIFIEKKLKLNRSRCD ->ARGMiner~~~mdtP~~~WP_001570562.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001570562.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVVHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~macB~~~WP_032238993.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032238993.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPTRNAARLDPVDALARE ->ARGMiner~~~emrA~~~WP_023210458.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_023210458.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQANIDEQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~mdtH~~~WP_021530443.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_021530443.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAIQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~emrB~~~WP_001528552.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001528552.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGAH ->ARGMiner~~~macA~~~WP_001482666.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001482666.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTGIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtM~~~WP_001137035.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001137035.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLVGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSMLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~acrB~~~WP_057484045.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_057484045.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFPTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~TEM-206~~~ANG25678.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-206~~~ANG25678.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVTLIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGHIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~hmrM~~~WP_001538796.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001538796.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMQNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPVVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRAAR ->ARGMiner~~~adeG~~~WP_045900496.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_045900496.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFFAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSISRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~mdtH~~~WP_023205471.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023205471.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWIMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macA~~~WP_047917536.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047917536.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQASYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLDMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERVMGGPPRR ->ARGMiner~~~nalD~~~WP_058143399.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_058143399.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMAPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~macB~~~WP_033145077.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_033145077.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGISLSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanYA~~~ADO66792.1~~~glycopeptide unknown +>ARGMiner~~~vanYA~~~ADO66792.1~~~glycopeptide~~~unknown MKMKKLFFLLLLLFLIYLGYDYVNEALFSQEKVEFQNYDQNPKEHLENSGTSENTQEKTITEEQVYQGNLLLINSKYPVRQESVKSDIVNLSKHDELINGYGLLDSNIYMSKEIAQKFSEMVNDAVKGGVSHFIINSGYRDFDEQSVLYQEMGAEYALPAGYSEHNSGLSLDVGSSLTKMERAPEGKWIEENAWKYGFILRYPEDKTELTGIQYEPWHIRYVGLPHSAIMKEKNFVLEEYMDYLKEEKTISVSVNGEKYEIFYYPVTKNTTIHVPTNLRYEISGNNIDGVIVTVFPGSTHTNSRR ->ARGMiner~~~mdtH~~~WP_058682169.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_058682169.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGALLFILCALFNALFLPAWKLSTIKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALSQPELPWVMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~macB~~~WP_003036815.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_003036815.1~~~macrolide~~~unknown MTALLELSHIRRSYPSGEGLVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPPQKPSGGRDIAEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_001201754.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201754.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~tolC~~~AJQ66639.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~AJQ66639.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~Escherichia coli ampC~~~WP_001523751.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001523751.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVHASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~mexH~~~WP_031773133.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_031773133.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPGKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~patB~~~WP_014931640.1~~~fluoroquinolone unknown +>ARGMiner~~~patB~~~WP_014931640.1~~~fluoroquinolone~~~unknown MSQFDEVIPRIGTNSEKWDGAEELFGRKDIIPMWVADMDFRAPKPVLDAFQRQIDHGIFGYSTKSKALVEAVIDWNKEQHQFEIDPSTLFFNGAVVPTISLAIRSLTNEGDAVLMVSPIYPPFFNVTKATERKVVMSPLIYENRQYRMDFNDLEKRMKEENVKLFLLCNPQNPGGRCFTKEELVELAKLCEKYQIPIVSDEIHADLVMKNHKHVPMMVAVPFYQDQIITLMAATKTFNLAAIKASYYIITNKDYQTRFAAEQKYATTNGLNVFGIVGTEAAYRHGAPWLKELKEYIYSNYEYVKAELEKEVPEVGVTDLEATYLMWLDCRALPKDEKTIYTDLIEAGVGVQMGSGFGHSGKGFVRFNIACPKETLEKAVKLLIQGLKK ->ARGMiner~~~Escherichia coli mdfA~~~CP001918.1.gene2898.p01~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~CP001918.1.gene2898.p01~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MLNRSSSGTRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVAQYNAGIEWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLTGVVWFIVTCLATLLAQNIEQFTLLRFLQGVSLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVAPWEGMFVLFAVLAAIAFFGLHRAMPETATRLGETLSLKELGRDYKAVLKNGRFVAGALATGFVSLPLLAWIAQSPVIIISGEQLSSYEYGLLQVPIFGALIIGNLVLARLTSRRTVRSLIIMGGWPIAAGLTVAAVATVVSSHAYLWMTAGLSIYAFGIGVANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIEVSKHAYALGGNGLFSLFNLANGVLWVILMVMFLKDKRVGNALQP ->ARGMiner~~~iri~~~WP_047890276.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_047890276.1~~~rifamycin~~~unknown MSDVIIVGAGPTGLMLAGELRLQGVDVVVVDKDEEPTQFVRALGIHVRSIEIMEQRGLLDKFLAHGRKYPLGGFFAGISKPAPAHLDTAHGYVLGIPQPEIDRILAEHATEVGAEIQRGKRVVAIRQDADKVTAELSDGTTLHARYLVGCDGGRSTVRKLIDVGFPGEPSSADTLIGEMAVTMPADELAAVVAEIRETHKRFGVGPAGNGAFRVVVPAAEVADGRATPTTLDDIKQQLLAIAGTDFGVHSPRWLSRFGDATRLAEHYRRDRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPEGLLDTYESERRPVAADVLDNTRAQAELISTAAGPQAVRRLISELMEFEDVKRYLTEKITAISIRYDFGEGDDLLGRRLRNIALTRGNLYDLMRSGRGLLLDQGGQLSVDGWSDRADHIVDTSTELDAPAVLLRPDGHVAWVGDTQAELDTQLSKWFGRPTA ->ARGMiner~~~acrE~~~WP_040236743.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_040236743.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNQQPHAQAPQVTVHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGNEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGVDPQAILVPQQGVTRTPRGDASVMLVNDKNQVETREVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEVERAAPAVQ ->ARGMiner~~~MexD~~~WP_003094838.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_003094838.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVVPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~adeG~~~WP_038347927.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_038347927.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGTQQDGLQIVNSGLKAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~tolC~~~WP_049002557.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049002557.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTNSSQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTVAPQNPQQDAAVNDFNGTGNLPAAQPTAARSTGSNGNNPFRN ->ARGMiner~~~mecA~~~WP_031860875.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031860875.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLIDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~emrA~~~WP_059355016.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_059355016.1~~~fluoroquinolone~~~unknown MSANAESTTPQQPANKKGKRKSALLLLTLLFIIIAVAYGIYWFLVLRHAEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAQTALASSVRQTRQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGTANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMVLGTSLENQPAVQQAATEVRNAWLALQRTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPADNLWVDANFKETQLAHMRIGQTATVVSDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLADHPLRIGLSTLVTVDTANRDGQILASQVRNSPVYESNAREISLDPVNKLIDDIVKANAG ->ARGMiner~~~tolC~~~WP_001706570.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001706570.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNASAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~sdiA~~~WP_001157165.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001157165.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDMLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~sdiA~~~WP_053898967.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_053898967.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVREGLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~emrB~~~WP_001405675.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001405675.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSSNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~tolC~~~WP_032650259.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032650259.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNLGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPESVAPENPDQVAAVDNFNANSNTPAAQPAAARTAAPASKGNNPFRN ->ARGMiner~~~macA~~~WP_024195029.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_024195029.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELRLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~PDC-7~~~WP_058134661.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_058134661.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRDTRFPCLCGIAASILLFATTPAIADEAPADRLKALVDAAVQPVMKANDIPGLAVAISLKGEPHYFSYGLASKEDGRRVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASQHWPALQGSRFDGISLLDLATYTAGGLPLQFSDSVQKDQAQIRDYYRQWQPTYAPGSQRLYSNPSIGLFGYLAARSLGQPFERFMEQQLFPALGLEQTHLDVPEAALAQYAQGYGKDDRPLRVGPGPLDAEGYGVKTSAADLLRFVDANLHPERLDRPWAQALDATHRGYYKVGDMTQGLGWEAYDWPISLKRLQAGNSTPMALQPHRIARLPAPQALEGQRLLNKTGSTNGFGAYVAFVPGRDLGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLKR ->ARGMiner~~~acrB~~~WP_053883285.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_053883285.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEGIEHSHTVDHH ->ARGMiner~~~nalD~~~WP_058185040.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_058185040.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRRHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~vanZA~~~WP_025731577.1~~~glycopeptide unknown +>ARGMiner~~~vanZA~~~WP_025731577.1~~~glycopeptide~~~unknown MEKILSRGLLVLYLVILIWLVLFKLQYNILSVFHYHHRSLNLIPFAGPSIINGSFGEIRDNVIIFIPLGLLLNVNFKKVGFLLKFAFILVLSIIIELFQFISAIGATDITDVITNTVGGFLGLELYVLSSKYVNNKILDRVIIFVGILLLVLLLDYRTHLRINY ->ARGMiner~~~adeB~~~WP_000987600.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987600.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTAILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSAVNTSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMVMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGFEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~lsaB~~~WP_018767312.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_018767312.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKITSSVEFNYFPYPVSDKNKFTHEILEEICPQAEDWEFLREISYLNVDAEAMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKMVSDYIRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSAGWSHQVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLKFQSNELVVLADVSVKYDDQIVNEPISFIVEQGDRIVLDGKNGSGKSSILKLILGHPIQHTGSVNLGSGLIISYVQQDTSHLKGLLSDFIEEHEIDETLFKSILRKMDFDRIQFEKDIFHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISI ->ARGMiner~~~emrB~~~WP_045857721.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_045857721.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFAIASWACGMSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVIMTLQTLRSRETRTEQRRIDGVGLALLVIGIGSLQVMLDRGKELDWFASTEIVVLTVVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPAERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPYNPNAQQMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWVSAGIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~macA~~~CP000768.1.gene1024.p01~~~macrolide unknown +>ARGMiner~~~macA~~~CP000768.1.gene1024.p01~~~macrolide~~~unknown MKKKIVLIILIAILGSIGAYFIFLNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAVKASSLENLETQKNNYYILKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSNSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASVKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSANSLVPKMKLRF ->ARGMiner~~~mdtH~~~WP_036414705.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_036414705.1~~~fluoroquinolone~~~unknown MSLVRQARTLGKYWLLVDNLLVVLGFFVVFPLISIRFVEQLGWAGIVVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIVIGMFLRAGGFALMAMADEPWILWLSCILSAIGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSVGAVLGALLGSWLLIYDFHLVCWVGAAIFIITALCNAWLLPAYRISTTRTPVKEGLTRVLSDKRFSRYVLTLTGYFILSVQVMLMFPIIVNELAGTPAAVKWMYAIEAALSLTLLYPLARWSEKHFRLETRLMAGLFLMSLSMFPVALTHSLHVLFAIICLFYLGSVIAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWLYDLGKEMQLPELPWFLLGTIGLITLIALYRQFNPKKISPAVLN ->ARGMiner~~~emrB~~~WP_053529696.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_053529696.1~~~fluoroquinolone~~~unknown MQQQKPLEDAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVVVVLMTLQTLRGRETRTERRRIDAIGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~sdiA~~~WP_064166823.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_064166823.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSVAAGEEVYNLLQRETEALEYDYYTLCVRLPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWEDGLFRDAAALWDGARDHGLKKGVTQCLTLPNHAQGFLSVSANNRLPGSYPDDELEMRLRMLTELSLLALLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~lsaB~~~WP_042511261.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_042511261.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFLNLLLGNYEYSGKILASVEFNYFPYPVADKNKFTHEILEEICPQAEGWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEQATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKVIEEKSKLLKNVEKTESLKLEALKFKSNELVILADVSVKYDDQVVNEPISFIVEQDDRIELDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDYIEEQKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~macA~~~WP_002861310.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002861310.1~~~macrolide~~~unknown MKKKIVLIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFCVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLAPKMKLRF ->ARGMiner~~~macA~~~WP_047410070.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047410070.1~~~macrolide~~~unknown MKLKGKIKKRYILFAIIIIVAIIALWRTLNAPLPQYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAEAEWKLARVTLSRQQQLAKTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLHPGQKAWFTVLGDPQTRYEGKLKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAEVHIQLTDVKNVLTIPLSALGDPIGNNRYNVRLLRNGETREREVIIGARNDTDVEIVKGLEEGDEVITGEGNAGAAK ->ARGMiner~~~TEM-1~~~ANG14377.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG14377.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSTQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQAAMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtH~~~WP_046593761.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_046593761.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLVFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~arnA~~~WP_000860254.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860254.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFTYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macA~~~WP_033885591.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_033885591.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIDTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~lmrP~~~CWV38429.1~~~macrolide;lincosamide;streptogramin;tetracycline unknown +>ARGMiner~~~lmrP~~~CWV38429.1~~~macrolide;lincosamide;streptogramin;tetracycline~~~unknown MFRELHPNIRARILIQFLSKVIGSMIFPFMAIYFSMEINSSVAGFLLMINVLAQFLAGMYGGHLADIIGRKKLMVTGELLKVFAFLGMVLCNSPMFHSPWITFVMLLIIGVAQGLINPAGEAMLIDVSTPENRSFMYSVSYWANNLSIMIGIMVGGWFFVDYLFPLLVVLFIMSFVTAWLTISLISETLQQKALPQKGSYGLMGMLKNYGQVLHDYRFLLYTIGGIAIMSIEFQRSNYISVRLAEDVKVLLVHLGPLGNISLNGVQIVSVLTAVNTLFIVLFTVPIARFVTKRAQQPIIYVGFTLFALGFAVCAFANNLAVLLLATMVLSIGELLYVPTRQTILAAIVDDDKRGAYMAFNGIIFQIGKMIGSVSLIFAPFIGKYGMGAFTIILGILSIVFSAVALKSGWEKVLVK ->ARGMiner~~~Serratia marcescens Omp1~~~CP004022.1.gene834.p01~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~CP004022.1.gene834.p01~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MFAGAANAAEMYNKDGNKVDIYGKVDVRHYFADADTKGDHESGDASRARIGFKGETQINKDLTGFGRFEYEVKTNTTEGENKAKTRLAYAGLKFADFGSLDYGRNYGVIYDTNAWTDVLPLWGGDSMAQTDVYMTSRTTGVLTYRNTDMFGYVDGLSFALQYQGKNNENTNNKRKDNAEANGDGFGFSTAYNLGWGVTLGGGYSSSARTNWQEHKSDATGKRAEAWNVGGKFEANNVYLAAMYGETRNMNYYGDGAIANKTQNIELTAQYDFADLGIKPSLGYVQSKGKDLNNGVGGLKDNNHDLVKYISVGSFYKFNKNMTAVVDYKINLLDEDDFTKANGVATDNVVGLGLTYQF ->ARGMiner~~~tolC~~~WP_022649426.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_022649426.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPEQDAAVDNFNANGSAPVAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~tolC~~~WP_001514553.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001514553.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYMINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~tolC~~~WP_053900945.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_053900945.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKLALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~aadK~~~WP_044428795.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_044428795.1~~~aminoglycoside~~~unknown MRSEQVMMDIFLDFALNDERIRLVTLEGSRTNRNILPDNFQDYDISYFVTDMESFKENDRWLEFFGTRIMMQKPEDMELFPPELGNWFSYIILLEDGNKLDLTLIPISEVEDYFAKNDGLVEVLLDKDNFIKNKVIPNDRQYWIKKPSAREFDDCCNEFWMVSTYVVKGLARKEILFAIDHLNEIVRPNLLRMMAWHIASQQGYTFSLGKNYKFIKRYLSNKEWEELMSTYSMNGYQEMWKSLFTCYELFRKYSKVVSESLRYKYPDYDKGITKYTESIYNSLK ->ARGMiner~~~macB~~~WP_024561799.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024561799.1~~~macrolide~~~unknown MSALLELKAIRRSYPSGEEQVEVLKGISLSIEAGEMVAIVGASGSGKSTLMNILGCLDNPTSGSYRVAGVDVSTLSGDELARLRREHFGFIFQRYHLLSHLTATQNVEVPAIYAGLGRKLRQQRARELLARLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMATLKQLRDRGHTVIIVTHDPTVAAQAERVIEIRDGEIISNPSPRKPEARAKALNEASRSSGAWQQFVSSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLQDIRSIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTAIAKQPWVRSATPALSQNVRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNEEQLKGRAQVVVLDQNARRQLFPNKASVTGEIVLVGNMPAMVIGVAEEKQSMFGSSKILRAWLPYDTMAGRMMGQSWLNSITVRVNEGYDSAQAEQQLTRLLTLRHGKKDFFTMNMDGLLKTAEKTTRTLQLFLTLVAVISLLVGGIGVMNIMLVSVTERTREIGIRMAVGARAGDVLQQFLIEAVLVCLVGGALGITLSLLIAFLLQIFLPGWEIGFSPLALATAFLCSTATGVIFGWLPARNAARLNPVDALARE ->ARGMiner~~~norA~~~WP_001041282.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041282.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~macB~~~WP_043001213.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_043001213.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEEQVEVLKGITLQINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDRDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSRSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIHDGEIVRNPPAKHAAQGQGIQEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAIQKQPWVTSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNREQLNGRAQVVVLDSNTRRQLFPHKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTFTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtP~~~WP_001717107.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001717107.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVHKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFPQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~L1 beta-lactamase~~~ABC02083.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~ABC02083.1~~~cephalosporin~~~unknown MRSTLLAFALAAALPFAQAHAAEAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTQELTALLVQTAEGAVLLDGGMPQMAGHLLDNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRTGAKVVANAESPVLLARGGSDDLHFGDGITYPPASADRIIMDGEVFSIGGIDFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKDNPRYPRLIEDYKRTFATVRGLPCDLLLTPHPGASNWSYAAGSKASANALTCKAYADAAEKKFEAQLAKETAGAR ->ARGMiner~~~APH(6)-Id~~~WP_000480963.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_000480963.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLGGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~emrA~~~WP_024202882.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_024202882.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVPRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~adeG~~~WP_039318360.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_039318360.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVNANAPEKAAAPLPEVDVATVVPQTVTDWQGYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQADADRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREANANLKAADAALETARINLGYTRITAPVSGRVSRAEITVGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARDGRKVPVELGLANETGYSRSGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSEPHQALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLAAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDAPSAPLADNAKPAAPAKAES ->ARGMiner~~~mdtH~~~WP_060555391.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_060555391.1~~~fluoroquinolone~~~unknown MALVTQARTLGKYFLLLDNMLVVLGFFVVFPLISIRFVEQLGWAGVIVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIITGMLLRALGFALMALADEPWILWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLLYDFHLVCWVGAGVFVLAAIFNAWLLPAYRISTTKTPIKEGLKRVILDKRFVQYVLTLTGYFVLSVQVMLMFPIVVNEIAGTPSAVKWMYAIEALLSLTLLYPIARWSEKHFRLEQRLMAGLFLMSISMFPVGITHTLHAIFLIITLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWMYDIGKQLELPELPWFLLGTIGFITLYALHRQFNRKKIETAMLTP ->ARGMiner~~~macB~~~WP_063105172.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063105172.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAVSANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mtrR~~~WP_003698762.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~WP_003698762.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQTAGVTRDALYWHFKNKEDLFDALFQRICDDIENCIAQDAADAEGGSWTVFRHTLLHFFERLQSNDIHYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITAVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWFSSGESFDLGKTAPRIIGIMMDNLENHPCLRRK ->ARGMiner~~~emrB~~~WP_042102192.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_042102192.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGMAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macB~~~WP_061321573.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061321573.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGSIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexF~~~WP_003202298.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_003202298.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MKFSQFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKITLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYALLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAPPAPNAQAFQLSINTQGRLVNEEEFENIIIRSGANGEITRLKDIARVELGSSQYALRSLLDNQPAVAIPIFQRPGSNAIQISNDVREKMEELKKGFPAGMDYSIVYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLTPVEATKRAMGEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAVNSLTLSPALAAVLLKGHDAPKDRFSKFLDKLFGGWLFRPFNRFFERASHGYVGTVARVIRSSGIALVLYAGLMVLTFFGFSTTPTGFVPGQDKQYLVAFAQLPDAASLDRTEDVIKRMSDLALKQPGVESAVAFPGLSINGFTNSPNAGIVFVTLKPFDERKDPSMSAGAIAGALNGQYAGIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETMNIITKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQIYLGSLYANDFNRFGRTYQVNVQAEQQFRLESDQIGQLKVRNNRGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGAAAPGYSSGQAEKAIEKLLKDELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQLEGMNPLAAVLEACRLRLRPILMTSFAFIMGVVPLVFSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNFVERSEARKAARALKLEAQQ ->ARGMiner~~~nalC~~~WP_003157921.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_003157921.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNEASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATVRATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKTFYEQGPQQSYLLLTGRLAAVAGEVAEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLALSVDIIACYLEHGARRPAAG ->ARGMiner~~~emrA~~~WP_042326041.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_042326041.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKKSKRKSTLLLLTLLFIIIAVAYGIYWFLVLRHVEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKQGDVLVTLDQTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKTALAQAQSDFNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILDSKLEDQPAVQQAATEVRNAWLALERTKIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNLWVDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAQQLAQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVSESNAREISLAPVNKLIEEIVQANAG ->ARGMiner~~~MexB~~~ERV85344.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~ERV85344.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MTKAVKNFLMVVGVVSTDGSMTKEDLSNYIVSNIQDPLSRTKGVGDFQVFGSQYSMRIWLDPAKLNSYQLTPGDVSSAIQAQNVQISSGQLGGLPAVKGQQLNATIIGKTRLQTAEQFENILLKVNPDGSQVRLKDVADVGLGGQDYSINAQFNGSPASGIAIKLATGANALDTAKAIRQTIANLELFMPQGMKVVYPYDTTPVVSASIHEVVKTLGEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPREAARKSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVIVALILTPALCATMLKPIEKGDHGEHKGGFFGWFNRMFLSTTHGYERGVASILKHRAPYLLIYVVIVAGMIWMFTRIPTAFLPDEDQGVLFAQVQTPPGSSAERTQVVVDSMREYLLEKESSSVSSVFTVTGFNFAGRGQSSGMAFIMLKPWEERPGGENSVFELAKRAQMHFFSFKDAMVFAFAPPSVLELGNATGFDLFLQDQAGVGHEVLLQARNKFLMLAAQNPALQRVRPNGMSDEPQYKLEIDDEKASALGVSLADINSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPDARMNPDDLSKWYVRNDKGEMVPFNAFATGKWEYGSPKLERYNGVPAMEILGEPAPGLSSGDAMAAVEEIVKQLPKGVGYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSMRGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKELHEQGKGIVEAAIEACRMRLRPIVMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMVTATVLAIFWVPLFYVAVSTLFKDEASKQQAEAEKGQ ->ARGMiner~~~MexB~~~WP_059408020.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_059408020.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSRFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRVWLDPAKLNNFSLTPVDVKAAIAAQNIQVSSGQLGGLPAAPGQQLNATIIGKTRLQTAEQFNKILLKVNKDGSQVRLSDVADVGLGGENYSINAQFNGAPASGLAVKLANGANALDTAKALRKTIDTLKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRSFDRGVKSYERGVGNMLTNKAPYLLAYVIILVGMVWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAQRTQVVIDEMRSYLLDKESSAVASVFTVNGFNFAGRGQSSGLAFIMLKPWDQRDAENSVFKLAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDKLMEARNQFLGMAAQSKVLYQVRPNGLNDEPQYQLEIDDEKASALGITLTDINSTLSIALGSSYVNDFIDRGRVKKVYVQGQPGSRMSPEDIKKWYVRNSAGTMVPFSAFAKGEWIYGAPKLSRYNGVEAMEILGAPAPGYSTGEAMAEVEALAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATVLAIFWVPLFFVTVSSIGQRKNVDQDDATETPKEAGQ ->ARGMiner~~~acrF~~~WP_009309612.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~WP_009309612.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MSKFFIHRPVFAWVLAIIMMIAGGLAILQLPIAQYPTIAPPAVAISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFKSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLLVAGFISDNPTTTQDDISDYVASNVKDPISRLNGVGDVQLFGAQYAMRVWLDGNLLNKYNLTPVDVINALQVQNDQIAAGQLGGTPALKGQQLNASIIAQTRLKDPQEFGKVTLRVNADGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPYFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNMRATLIPTIAVPVVLLGTFAVLSMFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMVEEKLSPKEATEKSMSQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALVLTPALCATLLKPASAEHHEKKGFFGWFNARFDQSVNHYTNSVSGILRGTGRYLVIYLLIVVGMAVLFMRLPTSFLPDEDQGVFLTMIQLPSGATQERTQKVLDTVTDYYLHNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEARSGDENSVESIIKRATVAFSQIKDAMVFPFNMPAIIELGTATGFDFELIDQGGLGHTALTQARNQLLGMVKQHPDQLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAHFRMLPSDITNMYVRSANGEMVPFSAFVTSRWIYGSPRLERYNGLPSMEILGEASPGKSTGEAMALMETLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGIIEATLEASRMRLRPILMTSLAFILGVMPLVISHGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVRRRFTRHAE ->ARGMiner~~~FosB~~~WP_047071555.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_047071555.1~~~fosfomycin~~~unknown MPQLQGLNHLLFSVSDLEKSFCFYRDVLHAKPLVRGRKLAYFDLNGYWLALNEEPDIPRNEIAHSYTHMAFTITEESFDEWYAHLEKHDVTILHGRDRAERDKRSIYFTDPDGHKFELHTGTLQDRLAYYRDEKHHMTFFE ->ARGMiner~~~dfrA7~~~WP_063844463.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA7~~~WP_063844463.1~~~diaminopyrimidine~~~unknown MKISLISATSENGVIGNGPDLPWSAKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSRKGISSSNENVLVFPSIEIALQELSKITDHLYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDINFPKIPENFNLVFEQFFLSNIITHIRFGKKANKSFQHQPALLGQFLSRGFMVFCAKVFHKTTT ->ARGMiner~~~tolC~~~WP_016808003.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_016808003.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGFRDNNGINSSATSGSLQLTQVLFDMSKWRALTLQEKQAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTNKPSAVNALLKEAESRNLSLLQARLSQDLVREQIRQAQDGHLPTLSLSASSSVSNTSYSGSKTHGSTANGQQYKDSDAGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKSIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~arnA~~~WP_032277929.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032277929.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKSCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLITCGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~arnA~~~WP_048234849.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_048234849.1~~~peptide~~~unknown MKAVVFAYHDMGCQGVQALLDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSDEILSLAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQQRVAISPDDVALTLHHKLCQAARHLLEQTLPAINAGSYSEFPQQDADATCFGRRTPEDSFLDWNKPAAELHNQVRAVSDPWPGAFSYVGTQKFTVWSSRVCTNDIAAQPGTVISVSPLLIACVDGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTSKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAIGRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPQNEASIQELAEMLLSCFDKHPLRNHFPPFAGFRDVESSSYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~mecR1~~~WP_047436217.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_047436217.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLVINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~rosB~~~WP_049199932.1~~~peptide unknown +>ARGMiner~~~rosB~~~WP_049199932.1~~~peptide~~~unknown MHNSTPLITTIVGGLVLAFLLGMLANRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKSIAIPGAVAQIAVATLLGMGLSKLLGWDLISGLVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFGNMLGNDNASSSQLLMELALTIGKVIAFIALMIVVGRRLVPWILSKTASTGSRELFTLAVLALALGIAYGAVKLFDVSFALGAFFAGMVLNESELSHRAAHDTLPLRDAFAVLFFVSVGMLFDPMIVINEPLAVLATLAIIVFGKSAAAFLLVKMFGHSKRTALTISASLAQIGEFAFILAGLGIALGMMSEHGRNLVLAGAILSIMLNPLLFTLLERYLAKTETIEEQILEEAVEEEKQIPVEMCNHALVVGYGRVGSLLGGKLAEAGIPLVVIENSRPRVEALREQGIKTVLGNAANPEVMDLARLDCARWLLLTIPNGYEAGEIVASARAKRPNIEIIARAHYDDEVAYITDRGANQVVMGEREIANSMLNILQLDTLSEEEKMGGCPI ->ARGMiner~~~macA~~~WP_032419781.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032419781.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNVPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~norA~~~WP_042738170.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_042738170.1~~~fluoroquinolone;acridinedye~~~unknown MNKQFVILYFNIFLVFLGIGLIVPVLPVYLKDLGLNGSDLGVLVAAFALAQMVISPFGGTLADKLGKKLIICIGLALFAISEFLFAVSHSFSLLIISRILGGFSAGMVMPGVTGMIADISPGKDKAKNFGYMSAIINSGFILGPGLGGFLAEFSHRLPFFVAGGSGCLALVLSVILIKNPKNETQDGFTKYQPELLSKINWKVFITPIVLTLVLAFGLSAFETLFPLYTADKAHYSPLDISFAITGGGIFGAIFQVFFFDKFMKYFKELTFITYALIYSALILLGLTLVHSYWSIMIISFVVFIGFDMIRPAITNYFSNIAADRQGFAGGLNSTFTSMGNFIGPLVAGGLYDVNYEFPLYMAVLVMLLGIFVIFVEKSIRKKFNKA ->ARGMiner~~~vanXO~~~WP_027941974.1~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~WP_027941974.1~~~glycopeptide~~~unknown MKDDFVFVDEFVPGIRWDAKYATWDNFTGKPVDGYVANRIVGTRALCAALERAQEKAASLGFGLLLWDGYRPQRAVDCFVRWSEQPEDGRTKRRHYPNIDRPEMFEKGYVAARSGHSRGSTVDLALYQLATGELAPMGGAHDLMDPISHHGARGITAVESRNRQHLCSIMEDCGFVRYDYEWWHYTLSDEPYPDTYFDFPIK ->ARGMiner~~~mdtO~~~WP_021560171.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021560171.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEAVVRLTLWCIVVSLYPTLLMTLIGVLWFPSRAIMQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHSITEGQCWQSDWRISESEAMTARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVEFLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVVLERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPAKEQAQGATQ ->ARGMiner~~~mecI~~~gi:46015766:pdb:1SD6:A~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~gi:46015766:pdb:1SD6:A~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEELSQDEIEELRNILNKK ->ARGMiner~~~tolC~~~WP_064721034.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064721034.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLSLLVAMSLAGFSTASQAEDLLQVYQKAKDSNPELRKSLAERNQAFEKINEARSPLLPQLGLGASVGYKSGYRDNNNTESNSLGANLTLTQTVFNMSLWRQLNLQEKTAGISDVTYQTSQQQLILDTATAYFNVLRAIDSLSFIEAQKEQVYRQLDQTTQRFNVGLVAITDVQNARANYDSVLAQEVAGRNELDNALEKLRQVSGIYYINLASLNISRFSTTPPDSIEKLLKDAEERNLSLLSARLGQDLARENIRLAQSGHLPTVDLNASTGVSNDHSHGSGLPPASQSSRNSYTGQNSIGLSLSIPLYTGGRTSSQVEQAQYGFTSASEQLESVYRTIVQIARSSYNNISASISSIKAYQQVVVSAQSSLDATEAGYQVGTRTIVDVLNATTTLYDAKQKLSSARYDYLINQLNIQYARGTLNESDLIQLNNALGQEVSTSPDNIIRNLTSPTINPAP ->ARGMiner~~~Escherichia coli ampC~~~WP_032290873.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_032290873.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDKVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~mdtP~~~WP_000610558.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610558.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPFAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVTAAIGAHNASLAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGSGYQAAPVVEKK ->ARGMiner~~~emrB~~~WP_058652163.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_058652163.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPSERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESITAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLEWFAKPPFGAGGGGGGAH ->ARGMiner~~~adeG~~~WP_004840634.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_004840634.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVNGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPQPQPTDKTSTPAKG ->ARGMiner~~~FosA2~~~WP_047717274.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_047717274.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVAEADFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYTGMVFTSDKA ->ARGMiner~~~mdtH~~~WP_001619313.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_001619313.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYILTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~acrB~~~WP_029741964.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_029741964.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFQSGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDIIAKFNGKPASGLGIKLATGANALDTATAIRAELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSIFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGGHGEHKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYIIIVVGMAFLFVRLPSSFLPDEDQGVFLTMAQLPAGASQERTQKVLDEVTDYYLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGEKNKVEAITGRAMGTFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQYKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAQYRMLPNDINSWFVRGSNGQMVPFSAFSTSRWEYGSPRLERYNGLPSMEILGQAAPGRSTGEAMNLMEELASKLPAGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDVEHSHSVEPH ->ARGMiner~~~cmeA~~~WP_002868923.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002868923.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLAACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIVNKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIGVKQDQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~MexF~~~WP_058493954.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_058493954.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALFLVFIGLIAIYVLPVAQYPAIVPPTIQVTANYPGADAKTLINTVALPIEQQVNGVEDMLYMQSTSTNSGTYNLIVTFAIGTDLNYAQVLVQNRVQAAMAQLPESVQKQGVVVQQKSTAILQFITLTSKNNEYDGVFLDSYAEINMQDELSRLPGVGNVVVFGSGSYAMRIWLDPGKMQAFSLNPSDVINAISFQNKEVSAGQLGAPPTVGDQSYQFTVNVPGQLADANQFANIIIKTVDTSANETSNASSAAQIVRIKDVGRVELGSSSYNQLAKFNGKPTAAIGIYQLPGANALDVANEVRKTVAKMALKFPPGLQYDIPFDTTMFVKASITEVYKTLIEAGILVLIVIVVFLQNFRATLVPATTVPVTIIGTFFALMLMGYSINLLTLFGLVLAIGIVVDDAIVIVEGVTQHIERGLSPKESSIKAMKELFGPILGITMVLMAVFIPAGFMPGLTGAMYAQFALVIAATAFISAINAMTLKPTQCALWLKPVDTSKPKNIFFQAFDKLYNPLEDAYVRFIDKLVHHSVKVCLIGAGLVFLAIFGLTRIPTGFIPVEDQGYLVLNVQLPDGASLQRTDKVLSELTKKVSAIDGIENVIAIDGISLLDNNSSLSNAGVMYLIFKDWSLRGKSENLLALYTKLNQVAKETLNAKVMVVVPPSIQGLGLSGGFQMQIELQDGTFDYNKLQQVTDQFINQGKLQPAIQNLITSFRANVPQVSAPINRTKAEALGVRIGDAFDTLQTYLGSSYVNLFTKFGQVFQVYVQADASSRSTADNLRNYYVKNQAGSMVPLGTLTDVSPAVGPAIISLYNLYPSSNINGISAKGFSSGQGIQTMEQMAKEQLPPGLSYEWTSTAYQEKAAGNMSYYIFAMSLVLVYLILAGQYENWLIPSSIIFSVPLTLIGTALALMTLGMDNNMYTQIGLLLLIALATKNAILIVEVANEHRQIHKKSIFESAVLGAKTRFRPILMTSFAFIMGVMPLVFASGAGANARKSIGIAVSSGMLASTCLAVVFVPVFYVLLETWQEKRKENKKKGQ ->ARGMiner~~~arnA~~~WP_061375456.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_061375456.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDLCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~fexA~~~CCU69833.1~~~phenicol unknown +>ARGMiner~~~fexA~~~CCU69833.1~~~phenicol~~~unknown MKKDSKSKEMIQSEKRGSTRLLMMVLSLSVLVAAITVDLVNPVLPLISKDLEASKSQVSWIVSGIAIVLAIGVPIYGRISDFFELRKLYIFAIMILASGSLLCAIAPNLPLLVLGRMVQGAGMSAIPVLSVIAISKVFPQGKRGGALGIIAGSIGVGTAAGPIFGGVVGQYLGWNALFWFTFLLAIMIVIGAYYALPTIKPAESVGSNKNFDFIGGLFLGLTVGLLLFGITQGETSGFSSFSSLTSLIGSVVALVGFIWRIVTAENPFVPPVLFNNKDYVNTVIIAFFSMFAYFAVLVFVPLLVVEVNGLSSGQAGMILLPGGVAVAILSPFVGRLSDRFGDKRLIITGMTLMGLSTLFLSTYASGASPLLVSVGVLGVGIAFAFTNSPANNAAVSALDADKVGVGMGIFQGALYLGAGTGAGMIGALLSARRDATEPINPLYILDAMSYSDAFLAATGAILIALIAGLGLKKRG ->ARGMiner~~~mdtE~~~ETJ23359.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~ETJ23359.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAGEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEIASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~TEM-1~~~ANG12036.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG12036.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSTQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPVMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macB~~~WP_025760953.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_025760953.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLNIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDIATLDADALAQLRREHFGFIFQRYHLLPHLTVEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNHVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-207~~~ANG12003.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG12003.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDARQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MexC~~~WP_033983530.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexC~~~WP_033983530.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MADLRAIGRIGALAMAIALAGCGPAEERQEAAEMVLPVEVLTVQAEPLALSSELPGRIEPVRVAEVRARVAGIVVQKRFEEGADVKAGDLLFQIDPAPLKAAVSRAEGELARNRAVLFEAQARVRRYEPLVKIQAVSQQDFDTATADLRSAEAATRSAQADLETARLNLGYASVTAPISGRIGRALVTEGALVGQGEATLMARIQQLDPIYADFTQTAAEALRLRDALKKGTLAAGDSQALTLRVEGTPYERQGALQFADVAVDRGTGQIALRGKFANPDGVLLPGMYVRVRTPQGIDNQAILVPQRAVHRSSDGSAQVMVVGADERAEARSVGTGVMQGSRWQITEGLEPGDRVIVGGLAAVQPGVKIVPKPDGAQAQAQSPAPQQ ->ARGMiner~~~APH(6)-Id~~~WP_021561466.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_021561466.1~~~aminoglycoside~~~unknown MADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~macA~~~WP_036971354.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_036971354.1~~~macrolide~~~unknown MAFFLTKKQGKIIAAILIFIAIGLFFFWPKEHLPSYQTQKITRGELSKEVTATGKLDAVRKVDVGAQVSGQLQTLYVKEGDVVKKGDLLAIIDPKKAQNEVAESQETNNELMANLQQAKAELRLAQLTYQRQLKLIGTHVIAQEELDRTKTDVEVKKARVATYEAQIRKNQATLDTARTNLQYTRITAPMDGVVTFIKTLEGQTVIAAQEAPTILTLADLDTMLVKAEVSEADVIYLKPDLKASFTVLGAPDKSFNGKLKDILPTPEKINDAIFYYARFEVPNEQHLLRLQMTAQVKILLEHKKDILLVPLSALGEDVGINEYQVEVLVNGQPEKRVVKIGIRTDVYAEVISGLNENDDVILGEIAGES ->ARGMiner~~~AQU-1~~~WP_016351594.1~~~cephalosporin unknown +>ARGMiner~~~AQU-1~~~WP_016351594.1~~~cephalosporin~~~unknown MKQTRFLPLLALGTLLLAPLSLAAPVDPLNAVVDDAIRPMLKQHRIPGMAVAVLKGGQAHYFNYGLADMAAGKKVSEQTLFEIGSVSKTYTATLGAYAVVKGGIGLDDKVSRHAPWLKGSAFDGVTMAELATYSAGGLPLQFPDEVESVEQMQSYYRQWTPAYQPGSHRQYSNPSIGLFGHLAASSLQQPFAQLMEQTLLPGLGLHHTYLDVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANISGVDDKALQQAISLTHQGRYSVGEMTQGLGWESYAYPVSEQTLLAGNSSAVIYNANPVKPVAASQETGGARLYNKTGSTNGFGAYVAFVPAKGIGIVMLANRNYPNEARVSAAHAILSQLAP ->ARGMiner~~~macB~~~WP_000125874.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000125874.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDSVDALARE ->ARGMiner~~~TEM-1~~~ANG20803.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG20803.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYVELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIVAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~baeR~~~gi:358234663:dbj:GAB02427.1~~~aminoglycoside;aminocoumarin unknown +>ARGMiner~~~baeR~~~gi:358234663:dbj:GAB02427.1~~~aminoglycoside;aminocoumarin~~~unknown MKHIMLVEDEVELAQLVRDYLEAAGFEVSMFHDGQDAYTQFQQRKPSLMILDLMVPRMDGLTICRKVREQSDLPIIMVTARTEEIDRVLGLNMGADDYICKPFSPKELVARVQAVLRRLERKAEPEQNDLFRIDKAQQRIWYQQKALTLTPTEFRILELFLEHLGQVYSRAQLLDHINPDSFDVADRVIDSHIKNLRRKISEAADTGNRHEWIQAVYGVGYRFEYPDE ->ARGMiner~~~lsaB~~~WP_064100483.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_064100483.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQQLTFSYPSSFDPIFEDLSFQIDTDWKLGFIGRNGRGKTTFFNLLLGKFEYSGKISSAVEFNYFPYPVQDKSKYTHEVLEEICPQAEDWEFLREIAYLDVEAEAMYRPFDTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTEARKLVSSYLKKKKGFILISHDRNFLNGCVDHILSINKANIEVQSGNYTSWKLNFDRQQEHEEATNERLQKDIGRLKQASKQSEGWSNRVEASKNGTTNSGSKLDKGFVGHKAAKMMKRSKNLEARQQKAIEEKSKLLKNVEKMDSLQLTQLEPKSKELLQLTDVSIMYDGQIVNEPVSFKVEQGDRIVLEGKNGSGKSSILKLILGAGIQHTGTLHAGSNLIVSYVQQDTSHLKGMLAGFIEEHQLDETLFKTILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDVYSRMQIEELIQTFQPTMIFVEHDQTFQEKIATETVKM ->ARGMiner~~~sdiA~~~WP_004864155.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_004864155.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDIDFFSWRREMLQQFQSIATGGEVYNLLQQQTEGLEYDYFALCVRHPVPFTRPRITLQSTYPQAWMTHYQAENYFAIDPVLRPENFLRGHLPWDDRLFRDTPELWDGARDHGLNKGVTQCLTLPNHAQGFLSVSGRSRSAGPFHEDEQEMRLRTLTELSLLTLLRLEDAMVMPPEMKFSRRELEILKWTAEGKTSAEVAIILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~Escherichia coli ampC~~~WP_001572262.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001572262.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYCPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~arnA~~~WP_001583164.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001583164.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFTYVGNQKFTVWSSRVHPHASKAQPGNVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~APH(6)-Id~~~WP_053044741.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_053044741.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSNLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~tolC~~~WP_001571936.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001571936.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWHALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mdtH~~~WP_025758399.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_025758399.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSASAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSTHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~msbA~~~WP_031898302.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031898302.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVTSFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~novA~~~WP_044369325.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_044369325.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPDARADADRPPAEVRRILRLFRPYRGRLAVVGLLVGASSLVGVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQGFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEDVDFSYDEKNGPTLSGIDVTVPAGDSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPEATDEEIEAAARAAQIHDHIASLPDGYDTMVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRVAERGTHEELLDRDGRYAALIRRDSHPVPVPAS ->ARGMiner~~~mecR1~~~NC_002951.3236764.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~NC_002951.3236764.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~mdtG~~~WP_048294470.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_048294470.1~~~fosfomycin~~~unknown MSSADTPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHSGLRTVFFMTAAVLFICFLFTLFLIRENFVPIAKKEMLSAKAVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLARNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIFSVLLLIPMAFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADASQPDRHSVN ->ARGMiner~~~MexE~~~WP_019726264.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_019726264.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEQPKVAASKDNVTRNEPRG ->ARGMiner~~~macA~~~WP_016670681.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_016670681.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATAKEDLESAQDALAAAKANVAELKALIRQSKISINTAESKLGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~adeB~~~WP_058971575.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_058971575.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIILFGVLSIPKLPIARFPSVAPPQVNISATYPGATAQTINDSVVTLIERELSGVKNLLYYSSTTDTSGTAEISATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNGQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLISYGLSISDVNTAIRENNIEIAPGRLGDLPATKGQLITVPLSAQGQLGNVEQFKNISLKSKTSGSVIKLSDVAEVEMGSQAYNFAILEDGQPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMQYSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFAVMLLLGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLPPKEATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGIIYQQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELLLLKVIKHTIPMMVIFVVITAITFASMKYWPTAFMPEEDQGWFLTSFQLPSDATAERTRNVVNEFEQHLKDNPNVKSNTAILGWGFSGAGQNVAMAFTTLTDFKDRTSTATEMTNSVNAFMANSKEGATMAVLPPAIDELGTFSGFSLRLQDRGNLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLNALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVDAKSRMQLKDILSLKVAGSSGQLVSLSEVVTPQWSKAPQQYNRYNGRPSLSIAGIPNFDTSSGDAMREMEQLIAKLPKGIGYEWTGISLQEKQTESQMAFLLVLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIVAIMSRGMMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFVLGAVEKIFSAKSPSKQDPQL ->ARGMiner~~~arnA~~~WP_000860280.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860280.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPTIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCNDKYFDEDHSNLTVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~Sed1 beta-lactamase~~~WP_061077767.1~~~cephalosporin;penam unknown +>ARGMiner~~~Sed1 beta-lactamase~~~WP_061077767.1~~~cephalosporin;penam~~~unknown MFKKRGHQTVLIAAALAFFTASSPLLARTLGDPAQVQQKLAALEKQSGGRLGVALINTADRSQILYRGDERFAMCSTSKTMVAAAVLKQSETQHDILQQKMVIKKADLTNWNPVTEKYVDKEMTLAELSAAALQYSDNTAMNKLLEHLGGTRSVTAFARSIGDTTFRLDRKEPELNTAIPGDERDTTSPLAMAKSLHKLTLGDALAGAQRAQLVEWLKGNTTGGQSIRAGLPESWVVGDKTGGGDYGTTNDIAVIWPEDRAPLILVTYFTQPQQDAKGRKDILAAAAKIVTEGL ->ARGMiner~~~norA~~~WP_031912224.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031912224.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQILEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~adeG~~~WP_004746611.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_004746611.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASTTPPQPQPTDKTSTPAKG ->ARGMiner~~~norA~~~WP_061733745.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061733745.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSEMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~emrB~~~WP_004900055.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_004900055.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALTLWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~emrB~~~WP_001467845.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001467845.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIIAANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~norA~~~WP_061738785.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061738785.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIIPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~macA~~~WP_024907815.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_024907815.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESVGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~bacA~~~WP_005974730.1~~~peptide unknown +>ARGMiner~~~bacA~~~WP_005974730.1~~~peptide~~~unknown MTDLHSLLIAFILGVVEGLTEFLPVSSTGHMIIVGHWLGFVDEKAKTFEVIIQLGSILAVVVVFWRRLFGLIGIHFGKVPHEGHTAGRLKLTHILLAMIPAVVLGLIFHDVIKSLFYPQNVMYALVIGGFLLLAAEWLKPKKPRAVGLDDITHRQAFMIGCFQCLALWPGFSRSGATISGGMLVGVSRYAASEFSFILAVPMMMGATVLDLYKSWHFLSLADVPMFAVGFVTAFVVALIAIKTFLKIIKRISFVPFAIYRFIVAGVVYMVFM ->ARGMiner~~~emrA~~~WP_053888540.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_053888540.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGSYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~mdtF~~~YP_859120~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~YP_859120~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~Escherichia coli acrA~~~WP_040232688.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_040232688.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPEVGVVTLKTEPLQITTELPGRTSAFRIAEVRPQVSGIILKRNFTEGGDIEAGVSLYQIDPATYQAAYESAKGDLAKAQAAANIAQLTVKRYQKLLGTKYISQQDYDSAQADAQQANAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVELVTSDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGTNPTAILVPQQGVTRTPRGDASALVIGADDKVETRQIVAAQAIGDKWLVTEGLKPGDRVIVSGLQKVRPGAQVKAQEVTADNNQPAASGSQPEQSKS ->ARGMiner~~~mdtA~~~WP_001774559.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~WP_001774559.1~~~aminocoumarin~~~unknown MKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVNTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~nalC~~~WP_033979566.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_033979566.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~tet(C)~~~WP_047722176.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_047722176.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGAWSRATST ->ARGMiner~~~mecI~~~EVV19723.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~EVV19723.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKHLKTLSIKYTKAVSIHLS ->ARGMiner~~~BLA1~~~WP_038813211.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_038813211.1~~~penam~~~unknown MIVLKNKKMLKIGMCVGILGLSITSLVTFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEVAVRYSDNTAGNILFHKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTAGNALPNHKRNILTKWMKGNATGDKLIRAGVPTNWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~arnA~~~WP_032944060.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032944060.1~~~peptide~~~unknown MKAVVFAYHDMGCQGVQALMDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSDEILSLAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQQRVAISPDDVALTLHHKLCQAARHLLEEALPAIKTGDYAELPQQEAEATCFGRRTPEDSFLDWNKPTAELHNQVRAVSDPWPGAFSYVGTQKFTVWSSRVCTNDIAAQPGTVISVSPLLIACVDGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTSKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAIGRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPQNEASIQELAEMLLSCFEKHPLRNHFPPFAGFRDVESSTYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~acrB~~~WP_053896703.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_053896703.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTNYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRTFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~tolC~~~CCC31832.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CCC31832.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGVSDTSYSGSNTHGPGSQYDDSNMGQNKIGLNFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYSANTAAPAVQPTAARTTSSKSGNPFHN ->ARGMiner~~~acrB~~~WP_025246873.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_025246873.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MSKYFIDRPIFAWVIAIIIMLAGALSILKLPIEQYPAIAPPTIRITATYPGADASTLQDSVTQIVEQNMNGIDHLMYMASDSDSSGTATITLTFESGADPDIAQVQVQNKLQLAMPLLPQEVQQQGVQVQKSSASFLMVAGFISDDKNMTQEDIADYVGSSIKDPINRTNGVGEVQLFGAQYAMRIWLDPNKLNNFQLTPVDVISALEVQNNQIAAGQLGGSPPVKGQQLNASIIVQTRLKTAEEFGKIQLKVNPDGSQVRLKDVATIALGGESYDIIARINGQPATGLGVKLATGANALDTANAVKAELARLQPNFPAGLKVVYPYDTAPFVKISITEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILGAFGYTINTLTMFACVLAIGLLVDDAIVVVENVERVMAEEGLSPIEATRKSMGQIQGALVGIALVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMILSVIVALILTPALCATLFKPIKKGAHGKTTGFFGWFNKKFDQSTHHYTDSIGHILNSTGRYLLIYLLIVVGMALLFIRLPTSFLPDEDQGVFLTMTLLPAGATQERTQKVLDEVTQYYLNNEKDNVRSVFTVNGFGFAGRGQNSGIVFVSLKDWGERSGADNKVPAITARANQAFSKIKDGIVLAFNLPAIVELGTATGFDFELIDENNLGHEQLTAARNQLLGMVAQHPDVLQGVRPNGLEDTPEYKLDVDHEKAEALGVSLSDINTTIGAALGGSYVNDFIDRGRVKKVYVQGDAPYRMLPQDINNWYVRGSDNQMVPLSAVTTSRWQYGSPRLERYNGLPSMEIQGEAAPGKSTGEAMALMEQLAGKLPTGIGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLVEATLEAVRMRLRPILMTSLAFILGVLPLAISIGAGSGSQNAVGTGVMGGMVTATLLAIFFVPVFFVVVRRRFGKTGHQDELEHGHPVDNPSPRP ->ARGMiner~~~Escherichia coli mdfA~~~EIM37061.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~EIM37061.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MINRSSSGNRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYNAGIEWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLTGVVWFIVTCLATLLAQNIEQFTLLRFLQGVSLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVAPWEGMFVLFAALAAISFFGLHRAMPETATRLGEKLSLKELGRDYKAVLQNGRFVAGALATGFVSLPLLAWIAQSPVIIISGEQLSSYEYGLLQVPIFGALIIGNLVLARLTSRRTVRSLIIMGGWPIAAGLIIAAVATVASSHAYLLMTAGLSIYAFGIGVANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIEVSKHAYAFGGNGLFSLFNLANGVLWVGLMVVFLKDKRVGNALQP ->ARGMiner~~~tetO~~~WP_063668083.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~WP_063668083.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMLQTTIAVKKSEQREILLGALKEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTCFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~ykkC~~~WP_014113527.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~WP_014113527.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MKWGLVVLAAVFEVVWVVGLKHADSALTWSGTAVGIIVSFYLLMKATNSLPVGTVYAVFTGLGTAGTVLSEIILFHEPIGWPKLLLIGVLLIGVIGLKLVTQDETEEKGGEA ->ARGMiner~~~tolC~~~WP_052974824.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_052974824.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINPYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~tolC~~~WP_001960927.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001960927.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSDQDQRESDLLSAGINFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDNAKNTSGEEYNDFKIGVNLKVPLYTGGKTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~macB~~~WP_063844178.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063844178.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSDEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKLLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mexY~~~WP_058137645.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_058137645.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVASILARPIRWMLVYTLVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYLSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~emrA~~~WP_025862145.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_025862145.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLRTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKGDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~sul1~~~WP_060614905.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_060614905.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLNPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~mexY~~~WP_034061818.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_034061818.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSMLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGSSPQIPTEQA ->ARGMiner~~~FosB~~~WP_016081961.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_016081961.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGSKLAYFNICGVWIALNEEAHIPRNEIHQSYTHIAFSIEREDFECLIQRLEENDVHILQGRERDIRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFYSALTGSKPPIGEG ->ARGMiner~~~macB~~~WP_047734138.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047734138.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_032650827.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032650827.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADSYGLRPVFFITASVLFLCFIVTLLCIRENFTPVAKKEMLHAREVLTSLKNPRLVLSLFVTTMIIQVATGSIAPILTLYVRDLAGNVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILIFALVISVLLLIPMSMVQTPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGAGISASFGFRAVFIVTAGVVLFNAIYSWFSLSRALRPVTE ->ARGMiner~~~tolC~~~WP_059295192.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_059295192.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASERLESAHRNVVQTVRSSYNNVNASISSINAYKQAVVSAQSSLDANEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVPTSPDSVAPENPQQDAAVDNFTPNSSAPLAQPAAARSTAPASSGTNPFRH ->ARGMiner~~~mexY~~~WP_016851701.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_016851701.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHNATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~lsaA~~~WP_002381421.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002381421.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDTEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~sul1~~~WP_031973547.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_031973547.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLAPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITISETLAKFRSRDARDRGLDHA ->ARGMiner~~~hmrM~~~WP_015741105.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_015741105.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVIFAQIAQTSMGVVDTIMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQVQQGFMLAGLVSVLIMIVLWNAGHIIRAMHNIDPLLADKAVNYLRALLWGVPGYLFFQVMRNQCEGLAKTTPGMAMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFFCMRYWVKRARSMRDIRLQPDASRFDWPVIRRLAQIGMPVALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSMSAAVTIRVGFRLGQGSALEAQTSARTGIAVGICLAVMTALFTVVFREPIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGVLRGYKDTRSIFFITFIAYWVLGLPSGYILGLTDWVVEPMGPAGFWFGFILGLTSAAIMMMWRMRYLQRQPSETILARAAR ->ARGMiner~~~cphA7~~~WP_043163862.1~~~carbapenem unknown +>ARGMiner~~~cphA7~~~WP_043163862.1~~~carbapenem~~~unknown MKGWIKCGLAGALVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYVQENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRQPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLSEYPDLPLVLPNVVHDGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAVPQS ->ARGMiner~~~macB~~~WP_047648187.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047648187.1~~~macrolide~~~unknown MRALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli ampC~~~WP_021578240.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_021578240.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQINLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~nalD~~~WP_058128275.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_058128275.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIALRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~tolC~~~WP_028017155.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_028017155.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGISDTTYSGSKTNTSQYDDSNMGQNKVGLNFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDRVAPENPDQVAAVDNFNANSSAPAAQPAAARSTKPASNSSNPFRN ->ARGMiner~~~emrA~~~WP_049117729.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_049117729.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVTGSVTKVWADNTDYVQKGDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~mdtG~~~WP_021549203.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_021549203.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVRKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~CTX-M-30~~~AIC64394.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64394.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAERHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~MexA~~~WP_033999032.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_033999032.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGIKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~macB~~~WP_049136608.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049136608.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLSVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDISTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAKQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWAQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli ampC~~~WP_028132670.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_028132670.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINSSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~CepS beta-lactamase~~~WP_029304181.1~~~cephalosporin unknown +>ARGMiner~~~CepS beta-lactamase~~~WP_029304181.1~~~cephalosporin~~~unknown MKQTRALPLLALGTLLLAPLALAAPVDPLKAVVDDAIRPVLKQHRIPGMAVAVLKGGQAHYFNYGLADVATGTKVNEQTLFEIGSVSKTYTATLGAYAVVKGGFKLDDKVSRHAPWLKGSAFDVVTMAELATYSAGGLPLQFPDEVDSSDTMRAYYRHWTPAYQPGSHRQYSNPSIGLFGYLAAGSLQQPFAQLMEQTLLPELGLHHTYLDVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTGSADLLAFVKANISGVDDKALQQAIALTHTGFYRVGEMTQGLGWESYAYPVSEQTLLAGNSPAVSLKANPVTQFETPATPGAMRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPIEARVSAAHAILSQLAP ->ARGMiner~~~macB~~~WP_048970096.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048970096.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEVEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtA~~~WP_000678812.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~WP_000678812.1~~~aminocoumarin~~~unknown MKGSNTFRWAIAIGVVVAAAAFWFWHSRSESPTAAPGVAAQAPHTAAAGRRGMRDGPLAPVQAATATTQAVPRYLSGLGTVTAANTVTVRSRVDGQLIALHFQEGQQVNAGDLLAQIDPSQFKVALAQAQGQLAKDNATLANARRDLARYQQLAKTNLVSRQELDAQQALVNETQGTIKADEANVASAQLQLDWSRITAPVSGRVGLKQVDVGNQISSSDTAGIVVITQTHPIDLIFTLPESDIATVVQAQKAGKALVVEAWDRTNSHKLSEGVLLSLDNQIDPTTGTIKIKARFTNQDDTLFPNQFVNARMLVDTEQNAVVVPAAAVQMGNEGHFVWVLNDENNVSKKRVKIGIQDNRNVVISAGLSAGDRVVTDGIDRLTEGAKVEVVEPQTTMADEKSPSRHEGQKGARA ->ARGMiner~~~lmrB~~~OAZ67878.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~OAZ67878.1~~~lincosamide~~~unknown MENAQPSKQYKVMPIMISLLMAGFIGMFSETALNIALTDLMKELHITPATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFIVSLAFSIIGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALLFGIAYMQNVSDITKPKIDVLSIILSTIGFGGIVFGFSNAGEGSGGWSSPTVIGSLTVGAIALVLFSIRQLKMKQPMMNLRAFRYPMFILGVVIVFICMMVILSTMLLLPMYLQSGLLLTAFTSGLILLPGGILNGFMSPITGRLFDKYGPKWLVIPGFVITATVLSFFSNINGASTALLIVALHTCLMIGISMIMMPAQTNGLNQLPPEFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQKNYMSTAKNPNDPSAYSHALIAGVQHAFIFAMIVAVIGLISAFFMKRVKVNHS ->ARGMiner~~~TEM-1~~~ANG14661.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG14661.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPITEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~lsaB~~~WP_018782107.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_018782107.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKITSSVEFNYFPYPVSDKNKFTHEILEEICPQAEDWEFLREISYLNVDAEAMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKMVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSAGWSHQVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLKFQSNELVVLADVSVKYDDQIVNEPISFIVEQGDRIVLDGKNGSGKSSILKLILGHPIQHTGSVNLGSGLIISYVQQDTSHLKGLLSVFIEEHEIDETLFKSILRKMDFDRIQFEKDIFHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISI ->ARGMiner~~~macA~~~WP_023328694.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023328694.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLTQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGHAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~mdtG~~~WP_054496344.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_054496344.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRVLLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKELLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~emrB~~~KMI34767.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~KMI34767.1~~~fluoroquinolone~~~unknown MSVMQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFVWSTVAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVIMTLQTLRGRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPFNPNAQQMYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWVSAGIFILLLSLVWFARPPFSAGGGGGGAH ->ARGMiner~~~bacA~~~YP_894098~~~peptide unknown +>ARGMiner~~~bacA~~~YP_894098~~~peptide~~~unknown MKNYIFVIKEALLVADWLIGIIMGAVEGLTEFLPVSSTGHMILTGHLIGFDDDRAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGVLGVLFHSAIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSTADIPLFATGFITAFVVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~sul1~~~WP_032002719.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_032002719.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRMVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITISETLAKFRSRDARDRGLDHA ->ARGMiner~~~y56 beta-lactamase~~~WP_054888161.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_054888161.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGLTLPLVTLTSPVWAAALSASLDNQLAELERTANGRLGVALINTANGSKVQYRGGQRFPFCSTFKLMLTTAVLGQSQSLLGLLDKHIRYHESDLLSYAPITRKNLAQGMTVSQLCAATIQYSDNTAANLLIKELGGLEAINQFARSIGDQTFRLDRWEPELNTALPNDPRDTTTPAAMAASIHKLVLGEALKTPQREQLVVWLKGNTTGDATIRAGVPTDWIVGDKTGSGDYGTTNDVAVIWPSQGAPLVLVLYFTQRQKDAEPRRDVLASATKIVLSHLS ->ARGMiner~~~vanD~~~AMN85478.1~~~glycopeptide unknown +>ARGMiner~~~vanD~~~AMN85478.1~~~glycopeptide~~~unknown MYKINVAVLFGGCSEEHTVSIKSAMELAANIDTEKYQPFYIGITKSGVWKLCEKPCLDWERYAEYPVVFSPGRNTHGLLIQKDGGYETQPIDVVFPIIHGKFGEDGSIQGLLELSGIPYVGCDIQSSVICMDKSLAYTTVKNAGIEVPDFQIIQDGDSPEIEDFEFPLFVKPARSGSSFGVNKVEKAEDLCTAINEAKKYDRKVLIEQAVSGSEVGCAVLGNGSDLIVGEVDQISLKHGFFKIHQEAQPEKGSENATIKVPADLTAEVRERIQETAKKIYQTLGCRGLARIDLFLCEDGQIVLNEVNTMPGFTSYSRYPRMMTAAGFTLSELIDRLIELALRR ->ARGMiner~~~smeC~~~NC_008702.1.4608931.p01~~~aminoglycoside;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~smeC~~~NC_008702.1.4608931.p01~~~aminoglycoside;cephalosporin;cephamycin;penam~~~unknown MTASVARLRLAPVLLAALVAGCAFTEPVARPDQPLPAQWTEQPGPAAATPLPDTWWQSFGSPALDALVAEALVASPDLQVQAERVLQAELALRQTRASLFPWLTLDADSGWRRADAGDRGASTVTETKTTSLGLSASYEVDLWGRVAANVGSARASLNATRYDRDSVRLSLAASVATTYFQLLTLQERLEIARQNLAIAERVLRVVEARYRNGAASALEVSQQRTTVLTQRAAIEPLEVSVRQTRSALAILLGRNPQDAAPEFERLEALAIPTVTPGLPVELLLRRPDLASVEASLAAASADIAAARAALLPSISLSAGGGVASSLLLSLADPGTTVSLSASLVQTIFDGGRLQAAVDIARSRQRELLESYRSAIITALKEVEDALGNASRDANQEAAQREILAEAQRALRLAELRYREGAADLLTVLDAQRTLFSAQDQLAQLRQARLTDAVGLYKALGGGWRAENSMAAGG ->ARGMiner~~~smeF~~~WP_005411087.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeF~~~WP_005411087.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MKSASLFLSIAAVLALAGCSTLVPKNTAVAPAIPAQWPAEAAQGEVADVAAVGWRDFFTDARLQQVIDQTLQNNRDLRVAVLNVERARGQYRVQRADRVPGVAVTGQMQRQGTDAGVNEQFTAGVGVAEFELDLFGRVRNLSEAALQQYFAVAANRRNAQLSLVAETATAWLTYGADAQRLKIADATLKTYEDSLRLAEARHERGGSSALELTQTRTLVETARTDAARLRGQLAQDRNALALLAGGQLDPALLPDSIEPQLLALAPPPAGLPSDVLLQRPDIMAAEHQLLAANANIGAARAAFFPSISLTGSIGSGSSELSNLFDSGTRVWSFLPKITLPIFQGGKLRANLAIANADRDIALAQYEKSIQVGFRETADALALNVSLDEQVSSQQRLVEAAEQANRLSQARYDAGLDSFVTLLDARRTAYNAQQTQLQAQLAQQANRITLYKVMGGGWHERS ->ARGMiner~~~blaF~~~WP_036388061.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_036388061.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGGVAPAFAAPIDDQLAELERRDNVLIGLYASNLDSGRTLTHRADQIFAMCSTFKGYAAARVLQLAERGEISLDNRVFVDADALVPNSPVTETRAGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAILAGDALSPSQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPDGQRLLLVMMTRSQAHDPKAENLRPLIGELTALVLPSLL ->ARGMiner~~~FosB3~~~WP_048787524.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_048787524.1~~~fosfomycin~~~unknown MIQSINHVTYSVSDIKASITFYKDILKANILVESDKTAYFTVGGLWLALNEEKDIPRNEIAYSYTHMAFTIDESEFDEWYQWYKDNNVNILEGRNRDVRDKNSIYFTDPDVHKLELRTGTLQDRLDYYKEEKPHMNFYK ->ARGMiner~~~FosB~~~WP_020451143.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_020451143.1~~~fosfomycin~~~unknown MANNNILGINHLLFSVSDLSVSISFYEKVFDAKWLVKAEKTAYFDLNGIWLALNEEKDIKRQEIHDSYTHIAFSIQQEDLPFWEKKLRDLGVNVLKGRKRHEGDKDSIYFSDPDGHKFELHTGSVFDRLQYYQNEKQHLSFHEGHIKALYDRNK ->ARGMiner~~~MexE~~~WP_024918051.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_024918051.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAATKDNATRNEPRG ->ARGMiner~~~macA~~~WP_065355664.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_065355664.1~~~macrolide~~~unknown MIIKGKFKKRYWLILAVLIVALIAVWKMLNAPLPNYQTLIVRKGSLEQSVLATGKLDALRKVDVGAQVSGQLKTLSVNIGDKVVKDQLLGVIDPEQAENQIKEVEATLMELRAQRKQAEAEWKLASVTFSRQQQLAKTQVVSRQDLDTAATDMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMRGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPNGVLRLEMTAQVHIQLGGVNDVLTIPLSALGDSVGDNRYNVRLLRNGEVKTREVVIGARNDTDVEIAKGLEAGDEVIIGEGKAGATK ->ARGMiner~~~mdtC~~~WP_021556954.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_021556954.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAISNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGVVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFDAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~macA~~~WP_000746440.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746440.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIIIAGLIALWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~Escherichia coli mdfA~~~ALD75936.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~ALD75936.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVAQYNAGLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLTGVIWFIVTCLATLLAQNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFILFAALAAISFFGLQRAMPETATRLGEKLSIKELGKDYKLVLRNVRFVAGALALGFVSLPLLAWIAQSPIIIISGEHLSSYEYGLLQVPIFGALIAGNLVLARLTSRKTVRSLIIMGGWPIAVGLIIAAAATVVSSHAYLWMTAGLSIYAFGIGVANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIELSKHAYLLGGNGLFSLFNLASGVLWLILMVIFLKDKRVGNSREG ->ARGMiner~~~CTX-M-3~~~AIC64431.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64431.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTASPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mdtH~~~WP_040117488.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_040117488.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGLALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEASLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~macB~~~WP_050068294.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_050068294.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQSVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVEALARE ->ARGMiner~~~mdtH~~~WP_023259051.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023259051.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTIRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~sdiA~~~WP_063156586.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_063156586.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRECSLRFQKLTCADEVYQELQRQTQALEFDYYALCVRHPVPFTRPKISVHTTYPPQWQAQYQSKNYFAIDPVLKPENFIQGHLPWTDELFADAEELWHSAREHGLRTGITQCLMLPNHALGFLSVSRTSMLESPLDHEEIELRLQLLVQMALTSLIRFEDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mdtH~~~WP_000092197.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092197.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVHEGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~oprA~~~AIS88824.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline unknown +>ARGMiner~~~oprA~~~AIS88824.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline~~~unknown MKAMMKPRARRRGARAARRPNGPRAWPLAVAAALVAGCTLAPRYERPAAPVPAHYWSTATGAPREAGPAAAGGPRAMPDARRGDAGRDARDARLDDWRAYFTDPALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFSGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGADAERLRLAQRRYDSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDECA ->ARGMiner~~~arnA~~~WP_000860261.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860261.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVVIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~tolC~~~WP_050141586.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_050141586.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFWH ->ARGMiner~~~macA~~~WP_002899678.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002899678.1~~~macrolide~~~unknown MKKKIVLIILIAILGSVEAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGANENEELIVSSSADGLATKMKLRF ->ARGMiner~~~vanB~~~WP_060475093.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_060475093.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFLVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMMAAAGITLPALIDSLITLALKR ->ARGMiner~~~Bacillus subtilis mprF~~~gi:12483631:dbj:BAB21440.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~gi:12483631:dbj:BAB21440.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPLLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~mdtP~~~WP_001606632.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001606632.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLNGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~MIR-16~~~WP_048027842.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-16~~~WP_048027842.1~~~monobactam;cephalosporin~~~unknown MMKKTLSCALLLSVASAAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVTRYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTNTASLLRFYQNWQPKWKPGTTRLYANTSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMVNMMPDSLQDSPLKHGIALAQSRYWRVGAMYQGLGWEMLNWPVDAQTVVGGSDNKVALAPLPAREVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~MexT~~~WP_023120513.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~WP_023120513.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNRNDLRRVDLNLLIVFETLMHERSVTRAAEKLFLGQPAISAALSRLRTLFDDPLFVRTGRSMEPTARAQEIFAHLSPALDSISTAMSRASEFDPATSTAVFRIGLSDDVEFGLLPPLLRRLRAEAPGIVLVVRRANYLLMPNLLASGEISVGVSYTDELPANAKRKTVRRSKPKILRADSAPGQLTLDDYCARPHALASFAGDLSGFVDEELEKFGRKRKVVLAVPQFNGLGTLLAGTDIIATVPDYAAQALIAAGGLRAEDPPFETRAFELSMAWRGAQDNDPAERWLRSRISMFIGDPDSL ->ARGMiner~~~macB~~~WP_023299711.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023299711.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDTNSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSGLAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~CTX-M-3~~~AIC64321.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64321.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQVLYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~macB~~~WP_053810605.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053810605.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLARLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPVSRQGGGLRARQQEEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSDTAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~adeB~~~WP_004662646.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_004662646.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIILFGLLSIPKLPIARFPSVAPPQVNISAVYPGATPKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAQISATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVETSSSGFLMLVGINSPNGQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAAKGQLITIPLSAEGQLGDVEQFKNISLKSKTSGSVIKLSDVANVEMGSQAYNFAILEDGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMQFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFSVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLPPKEATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGIIYQQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELILLKVIKHSIPMMAIFVVITGLTFAGMKYWPTAFMPEEDQGWFLTSFQLPSDATAERTRGVVNEFENSLKDNPDVKSNTTIMGWGFSGAGQNVGIAFTTLKDFKERTSSASEMTNAVNETMTHSKEGATMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDQLMEMAAKNKKFYMVWNEGLPQGDNISLKIDRAKLNVLGVKFADVSDIISTSMGSMYINDFPNQGRMQQVIVQVDAKSRMQLKDILNLKVMGSNGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGDAMREMENLIAKLPKGIGYEWTGISLQEKQSESQMAFLLALSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAVVAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLVEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAVFFVPVFFIFILGAVEKLFSSKQKKPS ->ARGMiner~~~mdtG~~~CDQ53892.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~CDQ53892.1~~~fosfomycin~~~unknown MRHLFLRRIISRLIFSLNLTCRAGQWNPMSSAETPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLLPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~mdtH~~~WP_062932041.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_062932041.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAMLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLTVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMLPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~hmrM~~~WP_021518728.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_021518728.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPALLFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVKPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~mdtH~~~WP_060572805.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_060572805.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADCFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGIMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~OprN~~~WP_038403311.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_038403311.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATAXLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~CTX-M-30~~~AIC64437.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64437.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKPDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILITYFTQPRPKAESRRDVLASAAKIVTDGS ->ARGMiner~~~Escherichia coli ampC~~~WP_001742585.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001742585.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQSLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~macB~~~WP_053271336.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053271336.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSPLMNILGCLDKATSGTYRVAGKDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTFLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexB~~~WP_046041460.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_046041460.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILRLPINQYPSIAPPAIAISVAYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGTMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRIWLDPAKLNNFSLTPIDVKTAIAAQNVQISSGQLGGLPALPGTQLNATIIGKTRLQTAEQFDKILLKVNKDGSQVRLKDVAEVGLGGENYSINAQFNGAPASGLAVKLATGANALDTAKALRTTIDSLKPFFPQGMEVVFPYDTTPVVTESIRGVVHTLVEAVALVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKPIRKGEHGTPKRGFFGWFNRNFDRGVRSYERGVGNMLAHKAPYLLAYLIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTAQRTQVVVDEMREFLLRPGKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLRPWDERNADNNVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMQARNQFLGMAAQSKILTQVRPNGLNDEPQYQLEIDDEKASALGITIADINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMGPEDLQKWYVRNATGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMLEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSIGQRKIADQDDATETPKEAG ->ARGMiner~~~mdtH~~~WP_001678389.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_001678389.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGPVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macA~~~WP_023307823.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023307823.1~~~macrolide~~~unknown MKKRKTVKKRYLIALVIAIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macB~~~WP_032445810.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032445810.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVLAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~aadK~~~WP_043856858.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_043856858.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNILPDNFQDYDISYFVTDMESFKENDQWLELFGTRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREVEDYFAKNDGLVEVLLDKDNFIKNKVIPNDRQYWVKKPSAREFDDCCNEFWMVSTYVVKGLARKEILFAIDHLNEIVRPNLLRMMAWHIASQQGYTFSIGKNYKFIKRYLSNKEWEELMSTYSMNGYQEMWKSLFTCYELFRKYSKVVSESLRYKYPDYDKGITKYTESIYNSLK ->ARGMiner~~~tolC~~~WP_021532755.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_021532755.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSTRTTTSNGHNPFRN ->ARGMiner~~~macA~~~WP_023167258.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023167258.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMTGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~acrB~~~WP_000492806.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_000492806.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MFSRFFVRRPVFAWVIAILIMLAGILAIRTLPVAQYPDVAPPTIKISATYTGASAETLENSVTQVIEQQLTGLDNLLYFSSTSSSDGSVSINVTFEQGTDPDTAQVQVQNKIQQAESRLPSEVQQTGVTVEKSQSNFLLIAAVYDTTDKASSSDIADWLVSNVQDPLARVEGVGSLQVFGAEYAMRIWLDPAKLASYSLMPSDVQSAIEAQNVQVTAGKIGALPSPDTQQLTATVRAQSRLQTVDQFKNIIVKSQSDGAVVRIKDVARVEMGSEDYTAIGKLNGHPSAGVAVMLSPGANALNTATLVKDKIAEFQRNMPQGYDIAYPKDSTEFIKISVEDVIQTLFEAIVLVVCVMYLFLQNLRATLIPALAVPVVLLGTFGVLALFGYSINTLTLFAMVLAIGLLVDDAIVVVENVERIMRDEGLPAREATEKSMGEISGALVAIALVLSAVFLPMAFFGGSTGVIYRQFSITIISAMLLSVVVALTLTPALCGSVLQHVPPHKKGFFGAFNRFYRRTEDKYQQGVIYVLRRAARTMGLYVVLGGGMALMMWKLPGSFLPTEDQGEIMVQYTLPAGATAARTAEVNRQIVDWFLINEKANTDVIFTVDGFSFSGSGQNTGMAFVSLKNWSQRKGAENTAQAIALRATKELGTIRDATVFAMTPPAVDGLGQSNGFTFELLANGGTDRETLLQMRNQLIEKANQSPELHSVRANDLPQMPQLQVDIDSNKAVSLGLSLNDVTDTLSSAWGGTYVNDFIDRGRVKKVYIQGDSEFRSAPSDLGKWFVRGSDNAMTPFSAFATTRWLYGPERLVRYNGSAAYEIQGENATGFSSGDAMTKMEELANSLPAGTTWAWSGLSLQEKLASGQALSLYAVSILVVFLCLAALYESWSVPFSVILVIPLGLLGAALAAWMRDLNNDVYFQVALLTTIGLSSKNAILIVEFAEAAVAEGYSLSRAALRAAQTRLRPIIMTSLAFIAGVMPLAIATGAGANSRIAIGTGIIGGTLTATLLAIFFVPLFFVLVKRLFAGKPRRQE ->ARGMiner~~~MexT~~~AHA22063.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~AHA22063.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MQPLAPGNEERHEPKRPAPRRSEPADRVRDPDARTQRDPRRRETVPRPAGQPAISAALSRLRTLFDDPLFVRTGRSMEPTARAQEIFAHLSPALDSISTAMSRASEFDPATSTAVFRIGLSDDVEFGLLPPLLRRLRAEAPGIVLVVRRANYLLMPNLLASGEISVGVSYTDELPANAKRKTVRRSKPKILRADSAPGQLTLDDYCARPHALVSFAGDLSGFVDEELEKFGRKRKVVLAVPQFNGLGTLLAGTDIIATVPDYAAQALIAAGGLRAEDPPFETRAFELSMAWRGAQDNDPAERWLRSRISMFIGDPDSL ->ARGMiner~~~FosB~~~WP_046945358.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_046945358.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKERKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIKRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~Escherichia coli ampC~~~WP_033553507.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_033553507.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPTWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~tolC~~~WP_046621562.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_046621562.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPNLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGVSNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPIPTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~OprN~~~WP_023115951.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_023115951.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTTAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~novA~~~WP_030081404.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030081404.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPDARTDADRPPAEVRRILRLFRPYRGRLAVVGLLVGASSLVGVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQGFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGITLQSGATAVSLGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEKPVRLEKIRGEIAFENVDFSYDEKNGPTLTGIDVTVPAGNSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEAAARAAQIHDHIATLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRAAERGTHEELLDRDGRYAALIRRDSHQVPVPSS ->ARGMiner~~~mdtH~~~WP_057066407.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_057066407.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYICGGWLFDMGKALAQPELPWMMLGIIGLITFLALGWQFSIKRPTRGMLEPDA ->ARGMiner~~~OXA-246~~~ACD85804.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-246~~~ACD85804.1~~~cephalosporin;penam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPYAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKNFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~macB~~~WP_047742322.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047742322.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRERGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKASVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~WP_032238204.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032238204.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFVMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEEGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~ykkC~~~WP_003218499.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~WP_003218499.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MKWGLVVLAAVFEVVWVVGLKHADSALTWSGTAVGIIVSFYLLMKATNSLPVGTVYAVFTGLGTAGTVLSEIILFHEPVGWPKLLLIGVLLVGVIGLKLVTQDETEERGGEA ->ARGMiner~~~mdtH~~~WP_050953967.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_050953967.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWVFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macB~~~WP_064794447.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064794447.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTRGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLARLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPSASRQGGGLRARQQEEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_002868903.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002868903.1~~~macrolide~~~unknown MKKKVILIVLIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVPNKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLAPKMKLRF ->ARGMiner~~~tolC~~~WP_049194289.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049194289.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQNLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~macA~~~WP_047354351.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047354351.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELHAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAQ ->ARGMiner~~~Escherichia coli ampC~~~WP_032291754.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_032291754.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWGQINLKPLDINEKTLQQGIQVAQSRYWQTGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKVITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~mdtH~~~WP_022645518.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_022645518.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLAVGWQFSQKRTARRLLERDA ->ARGMiner~~~sdiA~~~WP_016232141.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_016232141.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRSSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAVATGLI ->ARGMiner~~~macA~~~WP_020843768.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_020843768.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMLIWRKLNAPLPQYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPEQAENQIKEVEATLMELNAERQQAVAELKLARVTLTRQQQLAKTQVVSQQDLDTAVTEMAVKQARIGAIDAQIKRNQASLNTAKTNLEYTRIVAPMAGEVTQVTTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQKAWFTIPGDPQTRYEGALKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGDNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGEGRPGATP ->ARGMiner~~~cmeA~~~WP_002857601.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002857601.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIENKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLFKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~nalC~~~WP_053813481.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_053813481.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNEASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDRADQPRPAATVRATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKTFYEQGPQQSYLLLTGRLAAVAGEVAEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLALSVDIIACYLEHGARRPAAG ->ARGMiner~~~mepA~~~WP_000651046.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_000651046.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVALPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIVYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMSNKGRMKDVIKTVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~emrB~~~WP_047499457.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_047499457.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRFGEVRLFMWSTIAFVIASWACGMSTSLSMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGAAVVLMTLQTLRGRETKTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIIILTVVAVVAISFLIVWELTDEHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPYNPNAQAMYDKLQDLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~QnrS2~~~WP_047885124.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrS2~~~WP_047885124.1~~~fluoroquinolone~~~unknown METYNHTYRYHNFSHKDLSALTFTACTFIRCDFRRANLRDTTFVNCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIELRECDLKGANFSRANFANQVSNRMYFCSVFITGSNLSYANMERVCLEKCELFENRWIGTHLTGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIAAWQQEQLLEALGIVVFPD ->ARGMiner~~~tolC~~~WP_046093062.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_046093062.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGVSDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDANEAGYAVGTRTIVDVLDATTTLYEAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~msbA~~~WP_046399693.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_046399693.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVRIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~sdiA~~~WP_021467168.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_021467168.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMAAGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWNDSLFRDAPALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPGGYPEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~nalD~~~WP_023912825.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_023912825.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDCEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~macB~~~WP_000188173.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188173.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tetX~~~AIW80586.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AIW80586.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRQLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLTSPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~macB~~~WP_059267998.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059267998.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGVERKRRLARAQELLQRLGLEERVDYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMTILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPATENMNVVAGTESIVKMSSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQRQPWVASATPAVSQNLRLRYSNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNSRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFALQLFLPGWEIGFSPLALLLAFLCSTVTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~sdiA~~~WP_061380279.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_061380279.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKVSLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mdtE~~~WP_001456684.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001456684.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLPACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~macB~~~WP_032291313.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032291313.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRGLAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_043876036.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_043876036.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGVSNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPIPTSADSVARENPQQDATADGDGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~mdtH~~~WP_063855477.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063855477.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLCDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~mdtG~~~WP_023184847.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023184847.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTDHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPSLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRKLAIE ->ARGMiner~~~TEM-1~~~ANG14767.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG14767.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKVLESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAVTMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtH~~~WP_058664322.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_058664322.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLLIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~tet(41)~~~NC_009085.4918440.p01~~~tetracycline unknown +>ARGMiner~~~tet(41)~~~NC_009085.4918440.p01~~~tetracycline~~~unknown MTHSTHISIYMGILASLYAAMQFIFSPLLGALSDRWGRRPVLLISLAGSAVNYLFLTFSHSLILLLVGRIIAGITSANMAVASAYIVDVLHENNRAKYFGLINAMFGAGFIIGPVLGGFLSEYGLRLPFFAAAILTGLNLLSAYFVLPETRRVTSEGKQLSTLNPFKIFAGISSIRGVLPFVMTFFIFSAIGEVYGVCWALWGHDTFQWSGFWVGLSLGAFGLCQMLVQIFIPSHASRLLGNRNAVLIGIACSCLALAVMAFAQSGWMIFAIMPIFALGSMGTPSLQALASQKVSADQQGQFQGVITSTVSLASMIAPLFFSTLYFQFQKKWPGAIWLSVILIYLITLPIILYSTQPVEQQR ->ARGMiner~~~BcI~~~WP_026585721.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_026585721.1~~~cephalosporin;penam~~~unknown MKLWKTIFSNLKLEKVAPLLLVSCAALVGCNDNNLDASQSDQKKEKTEIKADFAKLEDQFDAKLGVYAVDTGTNQTVTYRPDERFAFASTIKALTVGVLLQQKSIEDLNQRITYTRDDLVNYNPITEKHVDTGMTLKELSDASLRYSDNTAQNLILKQIGGPDSLKKALREIGDDVTNPERYEPELNEVNPGETHDTSTAKALAKSLQAFALEDTLPKEKRELLIDWMKRNTTGDALIRAGVPEGWEVADKTGSASYGTRNDIAIIWPPKGDPIVLAILSSRDQKDAKYDDKLIAEAAKEVIKAFNATSK ->ARGMiner~~~macA~~~WP_029487409.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_029487409.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVFGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~Escherichia coli ampC~~~WP_001552245.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001552245.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSKFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINDSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~FosB3~~~WP_000920235.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_000920235.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILFGKLLLTGKKTAYFKLAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~APH(6)-Id~~~WP_013263783.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_013263783.1~~~aminoglycoside~~~unknown MFMPPVFPAQWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPCALLPIRDRLAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCFDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWKADGEEEQRDLAIEPANKQVRQTSY ->ARGMiner~~~cmeB~~~WP_002867772.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002867772.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMVFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~mdtP~~~WP_000610566.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610566.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~msbA~~~WP_020977391.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_020977391.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNFPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~hmrM~~~ESM81938.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~ESM81938.1~~~fluoroquinolone;acridinedye~~~unknown MNEARQLLALAIPVIVAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMVVLWNAGHIIRAMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYVFIYGHFGMPELGGVGCGVATAAVYWVMFFSMLTFVKRARSMRDIRNENRFSTPDWSIMTRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVNVAGHQIALNFSSLMFVLPMSLAAAVTIRVGFRLGQGSTLDAQTAARTGLGVGVCMAICTALFTVALREQIALLYNDNPEVVALASHLMLLAAIYQISDSIQVIGSGVLRGYKDTRSIFFITFIAYWVLGLPCGYILALTDLVVDRMGPAGFWMGFIIGLTSAAIMMMLRMRFLQRQPSTIILQRAAR ->ARGMiner~~~CTX-M-12~~~AIC64382.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-12~~~AIC64382.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDILASAAKIVTDGL ->ARGMiner~~~mdtH~~~WP_023620180.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023620180.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGALLFILCALFNALFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALSQPELPWVMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~mecR1~~~WP_031906620.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_031906620.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLITFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~mecA~~~WP_046377063.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_046377063.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQKWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~hmrM~~~WP_001720154.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001720154.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAGYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~emrB~~~WP_004866805.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_004866805.1~~~fluoroquinolone~~~unknown MQPQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFAIASWACGMSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVVMTLQTLRSRETRTEQRRIDGVGLALLVIGIGSLQVMLDRGKELDWFASNEIIVLTIVAVIAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPYNPNAQQMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWFSAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~tolC~~~WP_048974927.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048974927.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSNTPAAQPAAARTTTSASKGNNPFRN ->ARGMiner~~~norA~~~WP_053013188.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_053013188.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSVFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~bcr-1~~~WP_001522010.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_001522010.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAMMGIAVLMLSLFILKETRPAAPAASDKSRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGVTLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~bcrA~~~WP_000074577.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074577.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGENLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVHKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEIRKLIHSLAQERNITILISSHILAEIEQLVDRIGIIHEGKLLEEVSLDTLRKANRKYIEFQVNNDDKAVMLLENHLQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNDIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~mdtO~~~WP_021538207.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021538207.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIASLFLIYKWSYGEPLIRLIIAGPILMSCMFLMRTHRLGLVFFAVAIIAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHSITEGQCWQSDWRISESEAMTARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLHIRIGLHAAFNACEEMCQRVVLERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPAKEQAQGATQ ->ARGMiner~~~mgrA~~~WP_031838239.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_031838239.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKCERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~macA~~~WP_020975044.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_020975044.1~~~macrolide~~~unknown MKNKIVLIVVILALFGVGAYFVFFNSGEKITYLTQKVKKTNISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGSYVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNFYALKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDQMEVRMEIAEADVSKIKIGTELDFSLLSNPQKTYQASIASIDPADTQVSDSSTSSSSSSSGSSSSSSNNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKDVIAVPTYTIKNDAKGYYVEILQDQKAVKKYVKLGIKDSVNTQILEGLSEGELLVVSSSGDSVAPKLRLRF ->ARGMiner~~~macA~~~WP_047926220.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047926220.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQAAYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSMDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~bacA~~~Q97KF6~~~peptide unknown +>ARGMiner~~~bacA~~~Q97KF6~~~peptide~~~unknown MYTNLIFIFKIILEGIIEGVTEFLPVSSTGHMIILGSIIGFKEGAKPVSLYGAEYIHMFEIIIQLGAILAIVVLYWDKIFSALKPSNLFPSMKEHEKSGIGVVGEFFVKGYNTMPGFKFWTNIVVACIPAIVIGLPFQKKIDKLLFFPAPVAAALMVGAVWMIFAENKYRKRAKIKSVDEITIKQAIVIGCFQCLALWPGMSRSASTIIGAWIVGVATVAGAEFSFFLAIPMMLGASLLFLIKNSVVLSSVQILGLAVGFIVAFIVALVVVDRFISFLKKKPMRIFAVYRLAIGIIVLVLGFTKVIS ->ARGMiner~~~mdtH~~~KLN45834.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~KLN45834.1~~~fluoroquinolone~~~unknown MLVVLGFFVVFPFISIRFVDQLGWAAVVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVIGMLLRASGFAVMAVAHDPWVLWLSCVLSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLQYDFHYVCWAGAVVFIIAAICNAWLLPAYRISTVRTPIKEGMGRVLKDKRFVTYVVTLAGYFMLSVQVMLMFPIVVNELAGTPTAVKWMYAIEAMISLTLLYPIARWSEKHFRLEQRLMAGLFLMSLSMFPIGMTTSLNTLFALICLFYLGTVTADPARETLSASLADPRARGSYMGFSRLGLALGGAVGYTGGGWMYDIGHQWNMPQLPWFLLGIIGFITLWALHKQFNRKKIETVMLSGQ ->ARGMiner~~~vanRF~~~WP_057277726.1~~~glycopeptide unknown +>ARGMiner~~~vanRF~~~WP_057277726.1~~~glycopeptide~~~unknown MENIKILIADDDVEIADLVAIHLEKEGYRVIKVSDGQETIDVIQTQPIDLLILDIMMPKMDGYEVTRRIREKHNMPIIFLSAKTSDFDKVQGLVIGADDYMTKPFIPIELVARVNAQLRRFMKLNQPKYKQDTNLEFGGLTISSEQRTVTLYGKNIELTPKEFKILYLLASNPNKVYNAEDIFQKVWGDAYYEGGNTVMVHIRTLRKKLEEDKRKNKLIKTVWGVGYKFNG ->ARGMiner~~~Escherichia coli ampC~~~WP_042059160.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_042059160.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLCFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~acrB~~~WP_001132474.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132474.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGTAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~mecA~~~WP_031899128.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031899128.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMANYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~arnA~~~WP_003027650.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_003027650.1~~~peptide~~~unknown MKAVVFAYHDMGCQGVQALLDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSDEILSLAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQQRVAISPDDVALTLHHKLCQAARQLLEEALPAIKTGDYAEHPQQEAEATCFGRRTPEDSFLDWNKPAAELHNQVRAVSDPWPGAFSYVGTQKFTVWSSRVCKNDRTAQPGTVISVSPLLIACADGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTAKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAISRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPENEASIQELAEMLLTCFEKHPLRNHFPPFAGFRDVESSSYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~macA~~~WP_065101859.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_065101859.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARIDTDVVVVKGLEEGEEVIISESLPGAAK ->ARGMiner~~~lrfA~~~AEA94209.1~~~fluoroquinolone unknown +>ARGMiner~~~lrfA~~~AEA94209.1~~~fluoroquinolone~~~unknown MIDRKKVILYTCCMSLFVVTMDVTVVNVALPSIQSDFHTNLSTLQWVTDGYTLMVASLLLLSGSTADRIGRKRVLQLGLACFGLASFLCGISQTPGQLIAFRMLQGIGGSMLNPVAMSIITQVFTEKLERAKAIGLWGSVTGISLGMGPIIGGLIVSYFSWRYVFFVNVPIIAAAIILTQKFVPESKVEKTAKNDFVGQALMILFLFSSIYSIIGLPRKGLFAPDILSTGIIGCLAIVIFFIYEYNIDNPLINPRFFLSIPFTSASFLAIFGFIIYNGYLFLNTLYLQEMRGFSPLEAGLSTIPLAFVSFLVAPRAGEMVGRIGTKRPIMLCGISMLAVSFLQLFVTKTTPMIILFVIYIFLGIGFGMLNSPITITAIEGMPLSQSGTAAAIAVTCKQIGNSLGVALPSLLITKPITSSLTRTPFTNVWLLFGCCAIAIIFLSYLSNSPLAKKSLRRVRFYF ->ARGMiner~~~mdtE~~~WP_001472475.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001472475.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVPVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~tolC~~~WP_042966513.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_042966513.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLRQDLAREQIRQAQDGHLPTLDLTASTGISDTSHSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mdtF~~~WP_001705510.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001705510.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGNPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~macB~~~WP_001394018.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001394018.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIVAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tetX~~~AMP53609.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP53609.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSRRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~MexF~~~WP_041118420.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_041118420.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKITLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYALLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAPPAPTATSFQLSVNTQGRLVTEEEFENIIIRSGEDGEITRLKDIARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIEISNEVRAKMAELKQSFPQGMDFSIVYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLNPVEATKRAMREVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKEHHAPKDRFSKILDKLLGGWLFKPFNRFFDRASHGYVSTVRRVIRGSGIALFLYAGLMVLTWFGFAHTPTGFVPAQDKQYLVAFAQLPDAASLDRTEDVIKRMSDIALKQPGVESAVAFPGLSINGFTNSPNNGIVFVTLKPFDERKDPSMSAGAIAGALNGKYADIQDAYMAIFPPPPVQGLGTIGGFRLQVEDRSGLGYDELYKEVQNVITKSRSVPELAGLFTSYQVNVPQVDAAIDREKAKTHGVAISDIFDTLQIYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNKGEMIPLATFIKVSDTAGPDRVMHYNGFVTAEINGAAAPGYSSGQAEAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIVSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVISSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERSEARKAARALQLEAQQ ->ARGMiner~~~GES-5~~~ALZ45598.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GES-5~~~ALZ45598.1~~~carbapenem;cephalosporin;penam~~~unknown MRFIHALFLAGIAHSASASENLTFKTDLEKLEREKAAQIGVAIVDPQGQIVAGHRMAQRFAMCSTFKFPLAALVFERIDSGTERGDRKLSYGPDMIVEWSPATERFLASGHMTVLEAAQAAVQLSDNGATNLLLREIGGPAAMTQYFRKIGDSVSRLDRKEPEMSDNTPGDLRDTTTPIAMARTVAKVLYGGALTSTSTHTIERWLIGNQTGDATLRAGFPKDWVVGEKTGTCANGGRNDIGFFKAQDRDYAVAVYTTAPKLSAEQRDELVASVGQVITQLILSTDK ->ARGMiner~~~macA~~~WP_048970095.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_048970095.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKSGAAQ ->ARGMiner~~~Escherichia coli acrA~~~WP_057777625.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_057777625.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLHLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~mdtN~~~YP_672170~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~YP_672170~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGIDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~arr-1~~~AL939114.1.orf1.gene.p01~~~rifamycin unknown +>ARGMiner~~~arr-1~~~AL939114.1.orf1.gene.p01~~~rifamycin~~~unknown MDEVLDEGPFFHGTKADLRVGDHLTAGFPSNYRPEILMNHIYFTALRDGAGLAAELAAGDGEPRVYAVEPTGEFENDPNVTDKKFPGNPTRSYRSTEPLRVVGEVVDWTRQTPEALRTWRERLDAMRLEGRAEIIN ->ARGMiner~~~emrB~~~WP_001429309.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001429309.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFSAGGGGGGAH ->ARGMiner~~~emrK~~~WP_039022713.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_039022713.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLAVVLFIAFSGAYAYWSMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKNTLISSKAALNAAIQAYKANKALVMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTKNEDIAEMPELASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~macA~~~WP_002928665.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002928665.1~~~macrolide~~~unknown MKKKVILIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIANKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLDYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLATKMKLRF ->ARGMiner~~~vanSB~~~AKJ75213.1~~~glycopeptide unknown +>ARGMiner~~~vanSB~~~AKJ75213.1~~~glycopeptide~~~unknown MERKGIFIKVFSYTIIVLLLLVGVTATLFAQQFVSYFRVMELQQTVKSYQPLVELIQNSDRLDIQEVAGLFHYNNQSFEFYIEDKEGSVLYATPNANTSNSFRPDFLYVVHRDDNISIVAQSKAGVGLLYQGLTIRGIVMIAIMVVFSLLCAYIFARQMTTPIKALADSANKMANLKDVPPPLERKDELGALAHDMHSMYVRLKETIARLEDEIAREHELEETQRYFFAAASHELKTPIAATSVLLEGMLENIGDYKDHSKYLRECIKMMDRQGKIISEILELVSLNDGRIVPIAEPLDIGRTVAELLPDFQTLAEANNQRFVTDIPAGQIVLSDPRLLQKALYNVILNAVQNTPQGGEVRIWSEPGAEKCRLFVLNMGVHIDDTALPRLFTPFYRIDQARSRKSGRSGLGLAIVQKTLDAMSLQYALENTSDGVLFWLDLPLTSTL ->ARGMiner~~~vanB~~~WP_002317267.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~WP_002317267.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQIIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTMPGFTSYSRYTRMVAAAGITLPALIDSLITLALKR ->ARGMiner~~~TEM-1~~~ANG28066.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG28066.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHFTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtM~~~WP_001509399.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001509399.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRVGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPGILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNSGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~sul1~~~WP_052924616.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_052924616.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGQLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~bacA~~~P67390~~~peptide unknown +>ARGMiner~~~bacA~~~P67390~~~peptide~~~unknown MFIIELIKGIILGVVEGLTEFAPVSSTGHMILVDDMWLKSSEFLGSQSAFTFKIVIQLGSVFAAAWVFRERFLEILHIGKHKHVEGENDQQRRSKPRRLNLLHVLVGMVPAGILGLLFDDFIEEHLFSVPTVMIGLFVGAIYMIIADKYSVKVKNPQTVDQINYFQAFVIGISQAVAMWPGFSRSGSTISTGVLMKLNHKAASDFTFIMAVPIMLAASGLSLLKHYQDIQIADIPFYILGFLAAFTVGLIAIKTFLHLINKIKLIPFAIYRIVLVIFIAILYFGFGIGKGI ->ARGMiner~~~emrB~~~WP_012134799.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_012134799.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFMWSTVAFAIASWACGVSNSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIIILTVVAVIAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREAMHHSQLTESVNPYNPNAQAMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~arnA~~~WP_023356525.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_023356525.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKSFYGSVARLAAERGIPVYATDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWLGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macA~~~WP_057045985.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_057045985.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSVERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~vanYA~~~WP_000732308.1~~~glycopeptide unknown +>ARGMiner~~~vanYA~~~WP_000732308.1~~~glycopeptide~~~unknown MKKLFFLLLLLFLIYLGYDYVNEALFSQEKVEFQNYDQNPKEHLENSGTSENTQEKTITEEQVYQGNLLLINSKYPVRQESVKSDIVNLSKHDELINGYGLLDSNIYMSKEIAQKFSEMVNDAVKGGVSHFIINSGYRDFDEQSVLYQEMGAEYALPAGYSEHNSGLSLDVGSSLTKMERAPEGKWIEENAWKYGFILRYPEDKTELTGIQYELWHIRYVGLPHSAIMKEKNFVLEEYMDYLKEEKTISVSVNGEKYEIFYYPVTKNTTIHVPTNLRYEISGNNIDGVIVTVFPGSTHTNSRR ->ARGMiner~~~MexF~~~WP_057445338.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_057445338.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTDLDNAQVQVQNRVTRSEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVSEEEFENVVVRAGADGEITRLKDIARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVAATHKAMAEVTGPIIATALVLCAVFVPAAFISGLSGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRMLGSWLFRPFNRFFEKASNGYVGTVARVIRSSGIALLVYAGLMVLTWMGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVQDAIAFPGLSINGFTNSPNNGVVFVTLKPFDERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAAPGYSSGQAQAAVEKLLREELPTGMIYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARVNSQQNLPAEMH ->ARGMiner~~~FosB~~~WP_003326305.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_003326305.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSNLERSIEFYEKVFTAKLLVKGRKTAYFDLKGLWLALNLEVDIPRNEISKSYTHIAFTIDPLDFDQMHKKLINLNVNILPGRPRNKQDQKSIYFTDPDGHKFELHTGTLQDRLNYYKNEKPHMKFYI ->ARGMiner~~~arr-2~~~NC_010410.6003052.p01~~~rifamycin unknown +>ARGMiner~~~arr-2~~~NC_010410.6003052.p01~~~rifamycin~~~unknown MLCSQIPTIKGLKMVKDWIPISHDNYKQVQGPFYHGTKANLAIGDLLTTGFISHFEDGRILKHIYFSALMEPAVWGAELAMSLSGLEGRGYIYIVEPTGPFEDDPNLTNKKFPGNPTQSYRTCEPLRIVGVVEDWEGHPVELIRGMLDSLEDLKRRGLHVIED ->ARGMiner~~~mdtE~~~WP_001081997.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001081997.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENATAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~macA~~~WP_047362787.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047362787.1~~~macrolide~~~unknown MNLKGKRRTLFLLLALVIVAGGFWLWQVLNAPVPHYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVMKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~mdtH~~~WP_044711580.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_044711580.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYVGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSLKRPTRGMLEPDV ->ARGMiner~~~macA~~~WP_001201741.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201741.1~~~macrolide~~~unknown MRAKGKKFKKRYLAIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~cmeA~~~WP_002858646.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002858646.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIENKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQNGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~tolC~~~WP_052908339.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_052908339.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~tolC~~~WP_049113405.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049113405.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDAKDQNSDVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNATSSVSNNSYSGSKNTTQDRDIGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTNGSNPFRQ ->ARGMiner~~~macB~~~WP_016150620.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_016150620.1~~~macrolide~~~unknown MTALLELSHIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPPQKPSGGRDIAEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPAKVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_044713717.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_044713717.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTNSSQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTMPDTVAPQNPQQDAAVNDFNGTGNLPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~macB~~~WP_021553483.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_021553483.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIETRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli ampC~~~WP_032230392.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_032230392.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQDLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVDAAWQILNALQ ->ARGMiner~~~macA~~~WP_001615120.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001615120.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKHAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLDAGDEVVIGEAKPGAAQ ->ARGMiner~~~Klebsiella pneumoniae OmpK36~~~WP_020689704.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK36~~~WP_020689704.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MMKRNILAVVVPALLVAGAANAAEIYNKDGNKLDLYGKAVGLHYFSDNDGNDGDKTYARLGFKGETKINDQLTGYGQWEYNFQGNNSEGADAQSGNKTRLAFAGLKFGDAGSFDYGRNYGLVYDAIGITDMLPEFGGDTGVSDNFFSGRTGGLATYRNSGFFGLVDGLNFGVQYLGKNERTDALRSNGDGWATSLSYDFDGFGIVGAYGAADRTNAQQNLQWGKGDKAEQWATGLKYDANNIYLAALYGEMRNAARLDNGFANKTQDFSVVAQYQFDFGLRPSIAYYKSKAKDVEGIGDEDYINYIDIGATYYFNKNMSTYVDYQINQLKDDNKLGINNDDTVAVGLVYQF ->ARGMiner~~~arnA~~~WP_032201428.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032201428.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGITVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~acrF~~~WP_001273297.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~WP_001273297.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MANFFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSLSATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRLKDPEEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLPPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGFFGWFNTRFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMAVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIDQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAHGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVISRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~sdiA~~~WP_023566984.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023566984.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRCTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPESWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~mdtC~~~CP001918.1.gene3441.p01~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~CP001918.1.gene3441.p01~~~aminocoumarin~~~unknown MKFFALFIYRPVATILISLAITLCGVLGFRLLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVNEMTSSSSLGSTRIILEFSFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGQLYDFASTQLAQTISQINGVGDVSVGGSSLPAVRVGLNPQALFNQGVSLDDVRSAISSANVRRPQGAIESNSHRWQIQTNDELKTAAEYQPLIIHYNNGAAVRLSDVASVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIETVNSIRARLPELQKTIPAAIDLQIAQDRSPTIRASLEEVEQTLVISVGLVILVVFLFLRSGRATLIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENISRHLEAGVKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLIISLTLTPMMCGWMLKRSKPHSQPRRKGFGRFLLAMQEGYGKSLKWVLNHTRLVGLVLVGTIALNVWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIREDKAVDNVTGFTGGSRVNSGMMFITLKPRGERNETAQQVIDRLRMKLAKEPGANLFLMAVQDIRVGGRQANASYQYTLLSDDLAALREWEPKIRKALAALPQLADVNSDQQDNGAEMALTYDRETMSRLGINVEAANSLLNNAFGQREISTIYQPMNQYKVVMEVDPRYTQDISALDKMFVINNDGKAIPLSYFASWRPANAPLSVNHQGLSAASTISFNLPTGSSLSEASEAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILILAAIATVYIVLGVLYESYVHPLTILSTLPSAGVGALLALELFGAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRNGNLTPEEAIFQACLLRFRPIMMTTLAALFGALPLVISGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKNRTTVTG ->ARGMiner~~~MexD~~~WP_062573631.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_062573631.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSKFFINRPNFAWVVALFISLAGLLVIPALPVAQYPSVAPPQISITASYPGASAKVLVESVTSIIEESLNGAKGLLYYESTNNSNGVAEVLVTFEPGTKPDMAQVDVQNRLKKAEARMPQAVLTQGLKVEQASSGFLLIYALTSKAGDRGDTTALADYAARNINNELLRVPGVGKLQFFASEAAMRVWVDPQKLVGYGLSIDDINNAIRGQNVQVPAGSFGSTPGASEQELTATLAVQGTLDTPEAFAGIVLRANPDGSSVRLGDVARLAIGSENYNLSSRLDGHPAVAGAVQLAPGANAIQTATLVKERLAELAQFFPEGVEYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMIMKLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERLMAEEGLSPVDATIKAMGQVSGAIIGITLVLAAVFLPLAFMSGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPVPHGHHEKGGFFGAFNRAFVRVTERYSVMNSALVARAGRWMLAYVGILVVLGYSYLRLPEAFVPSEDLGYSIVDVQLPPGASRVRTDHTAEALEQFLLSREATASSFIVSGFSFSGQGDNAALAFPTFKDWSVRGPEQSAEAETAAINAQFAANGDGTITAVMPPPIDGLGNSGGFALRLMDRGGLGREALLAARDQLLARANGNPVILYAMMEGLAEAPQLRVQIDREKARALGVSFETINSTLATAFGSAVINDFTNAGRQQRVVVQAEQGERMTPESVLRLYAPNTGGEQVPFSAFVTTKWEEGPVQLVRYNGYPSIRIAGDAAPGHSTGQAMAEMERLVSELPPGIGYAWTGLSYQEKVSSGQATALFALAILVVFLLLVALYESWAIPLTVMLIVPIGALGAVWAVMLTGLPNDVYFKVGLITIIGLAAKNAILIVEFAKELWEKGYSLSDAAIEAARLRFRPIVMTSMAFILGVVPLAIATGAGAASQRAIGTGVIGGMLSATLLGVVFVPICFVFVLKLLKRKPAPVQHATEVTQ ->ARGMiner~~~mexH~~~WP_057382159.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_057382159.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRGVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPESLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~tolC~~~AAN82225.1:AE016766_313~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~AAN82225.1:AE016766_313~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MIALNTASPQGMQMKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQGGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLXAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mdtE~~~WP_001510469.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001510469.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQFNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~novA~~~WP_018105258.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_018105258.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPKDDAQPPAELRRILRLFRPYRGRLAVVGLLVGASSLVSVASPFLLREILDTALPQGRTGLLTLLALGMILTAVMNSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQGFAEESERLVDLEVRASMAGRWRMSTIGIVMAAMPAVIYWAAGMALQSGGPAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKVRGEVRFENVDFSYDEKSGPTLSGVDVTVPAGAALAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATDEEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEFAVQEAIDALSAGRTTITIAHRLSTVRDADQIVVLDGGRTAERGTHEELLRLDGRYAALVRRDTEMAPVAG ->ARGMiner~~~sul1~~~WP_031996364.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_031996364.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARGSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~mefA~~~WP_047387591.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_047387591.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGIVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEYFNGTPVHISITEISFAFGMLAGGLLLGRLGSFEKRVLLITSSFFIMGASVAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCPMITEVRKLDLK ->ARGMiner~~~patB~~~WP_003769095.1~~~fluoroquinolone unknown +>ARGMiner~~~patB~~~WP_003769095.1~~~fluoroquinolone~~~unknown MSQFDEVIPRIGTNSEKWDGAEELFGRKDIIPMWVADMDFRAPKPVLDAFQRQIDHGIFGYSTKSAALVEAVIDWNKEQHQFEIDPSTLFFNGAVVPTISLAIRSLTSEGDAVLMVSPIYPPFFNVTKATERKVVMSPLIYENHQYRMDFNDLEKRMKEENVKLFLLCNPQNPGGRCFTKEELVELANLCEKHQIPIVSDEIHADLVMKNHKHVPMMVAAPFYKDQIITLMAATKTFNLAAIKASYYIITNKDYQAKFAAEQKYATTNGLNVFGIVGTEAAYRDGAAWLNELKEYIYRNYEYVKDAIEKEVPEVGVTDLEATYLMWLDCRALPKDEKTIYTDLIEAGVGVQMGSGFGHSGKGFVRLNIACPKETLEKGVKLLIQGLKK ->ARGMiner~~~mdtF~~~WP_032281784.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032281784.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGTPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~acrB~~~CP000647.1.gene443.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~CP000647.1.gene443.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLSILKLPVAQYPTIAPPAISITAMYPGADAETVQNTVTQVIEQNMNGIDHLMYMSSNGDSTGTATITLTFESGTDPDIAQVQVQNKLALATPLLPQEVQQQGISVEKASSSFLMVVGVINTNGTMNQDDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISALKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTNTEEFGNILLKVNQDGSQVRLRDVAKIELGGESYDVVAKFNGQPASGLGIKLATGANALDTANAIRAELAKMEPFFPSGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGSHGATTGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLVLYLIIVVGMAWLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTNYYLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSQRPGEENKVEAITARAMGYFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQGGLGHEKLTQARNQLFGMVAQHPDVLTGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYIMSEAKYRMLPEDIGKWYVRGSDGQMVPFSAFSTSRWEYGSPRLERYNGLPSLEILGQAAPGKSTGEAMALMEELAGKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATILAIFFVPVFFVVVRRRFSKKSEDIEHSHQVEHH ->ARGMiner~~~adeA~~~NC_009085.4919119.p01~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~NC_009085.4919119.p01~~~glycylcycline;tetracycline~~~unknown MDSMQKHLLLPLFLSIGLILQGCDSKKVAQAEPPPAKVSVLSIQPQSVNFSENLPARVHAFRTAEIRPQVGGIIEKVLFKQGSEVRAGQALYKINSETFEADVNSNRASLNKAEAEVARLKVQLERYEQLLPSNAISKQEVSNAQAQYRQALADVAQMKALLARQNL ->ARGMiner~~~macB~~~WP_039020462.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_039020462.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVAMLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVINTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_023582059.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023582059.1~~~fluoroquinolone~~~unknown MALVTQARTLGKYFLLIDNMLVVLGFFVVFPLISIRFVEQLGWAGVIVGFALGLRQLVQQGLGIFGGAIAGRFGAKPMIITGMLLRASGFALMAMADQPWILWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLQYDFHLVCWVGAGVFVIAALFNALLLPAYRISTTRTPIKEGLKRVFLDKRFVSYVLTLTGYFVLSVQVMLMFPIIVNDIAGTPTAVKWMYAIEALLSLTLLYPIARWSEKRFKLEQRLMAGLFLMSISMFPVGMIHSLQSIFLIIGLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWMYDLGNQFNMPELPWFLLGTVGLITLYALHRQFNRKKIETAMLTP ->ARGMiner~~~FosA2~~~WP_063138543.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063138543.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVAEADFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~sdiA~~~WP_001157173.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001157173.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mfpA~~~WP_057352661.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_057352661.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGGRVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~sdiA~~~WP_023137663.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023137663.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSVSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mdtG~~~YP_002408074~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~YP_002408074~~~fosfomycin~~~unknown MSPCENDPPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~mdtP~~~ESE85345.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~ESE85345.1~~~nucleoside;acridinedye~~~unknown MIRTSSRLFLCCLLGSATALSGCALIRSDSAPHQQLQPEQIKLANDIHLASSGWPQAQWWRQFNDPQLNAIIQQTLAGSHTLAEAKLREKKAQSQAELLEAGSQLQVAALGMLNRQRASANGFLGPYALDAPKLGMDGPYYTEATIGLFAGIDLDFWGVHRSAVAAALGAQNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLQQTHDVVDYAIQAHQSKVAHGLEAKVPYHGARAQMLAIDKQIAAVKGQIKETRESLRALMGTDAMPDIKPTSLPQVNTGIPSTLSYELLARRPDLQAMRWYVQASLNQVDAARALFYPSFDIKAFFGLDSIHLDNLFKNTSKQINFIPGLRLPLFDGGRLNANLASTRAASNILIERYNQSVLNAVRDVAVNGTRLQTLNDERAMQAQRVDATRYTQASAEAALKQGLGSRLQATEARLPVLSEQVSLLMLDTQRIIQSIQLIKSLGGGYQAAKTDQG ->ARGMiner~~~MexB~~~WP_047526514.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_047526514.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGTMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRIWLDPAKLNNYNLTPIDVKTAIAAQNVQISSGQLGGLPALPDTQLNATIIGKTRLQTAEQFNKILLKVNKDGSQVRLKDVAEVGLGGENYSINAQFNGAPASGLAVKLANGANALDTAKALRKTIDNLKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRNFDRGVRRYERGVGSMLANKAPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTAQRTQVVVDEMREFLLRPGKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLRPWHERNADNNVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMQARNQFLGMAAQSKVLSQVRPNGLNDEPQYQLEIDDEKASALGITIADINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGSRMSPEDLKKWYVRNSAGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEAIAQKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSIGQRKNADQDDATETPKEAG ->ARGMiner~~~msbA~~~WP_050958684.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_050958684.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVVHRLSTITHADKIVVIENGHIVETGTHRELMSKQGAYEHLYSIQNL ->ARGMiner~~~macA~~~WP_001124219.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124219.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~FosB~~~WP_059282224.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_059282224.1~~~fosfomycin~~~unknown MIKGVNHFCFSVSDLDLSITFKERVLEARLLVKGRSTAYFDLNGIWLALNVEKDIPRNEINESYTHIAFTVEENDLNILHKRLIDWNVSILQGRDRDEKDRNSIYFADPDGHKFEFHSGTLEERVEFYKNEKNIWSFINTR ->ARGMiner~~~TEM-1~~~ANG15041.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG15041.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGILAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~cmeA~~~WP_002920108.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002920108.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIENKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQDQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGVQ ->ARGMiner~~~bcrA~~~WP_000103968.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000103968.1~~~peptide~~~unknown MSTIIKTVNLTKIYGNQKSVDNLNLNVHQGQIYGFIGRNGAGKTTTIRMLLGLITPTSGKIEIFGEDLAQNQKEILRRIGSIVEVPGFYENLTAKENLLINAKIIGLHKKNAIEEVLEIVGLQHETKKRVGKYSLGMKQRLGIARSLLNYPELLILDEPTNGLDPIGIKEMRKLIKSLAVERNITILISSHILAEIEQLVDHMGIIHEGKLLEEVALDTLRKTNRQYLEFQVNNDNKAAMLLESQFHISDYEVHNEGNIRIYSHFGQQGKINKAFVQNDIEVLKIMMSESRLEDYFTKLVGGGTIG ->ARGMiner~~~Escherichia coli acrA~~~WP_061182054.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_061182054.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQAAYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELGNGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~macA~~~WP_043954064.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_043954064.1~~~macrolide~~~unknown MKLKGKRRTVFIVLAVVILLAVFWLWGKLNAPVVQYQTLIVRPGELQQSVLATGKLDALRKVDVGAQVNGQLKTLLVNIGDKVKKDQLLGVIDPDQAENQIKEVDATLMELRAQRRQAQAELKLAQVTLARQQQLVKRNLISRQDLDTSATDVAVKEAQIGTIDAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAVQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPGTRYEGELKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLTGLKNVLTIPLAALGDPVGDNRYKVKVLRNGETREREVMLGARNDTDVVVVKGLDAGEEVVIGELNRGAAQ ->ARGMiner~~~FosB~~~WP_053593902.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_053593902.1~~~fosfomycin~~~unknown MTIQNINHLLFSVSNLEKSIAFYEKVFDAKLLVKGKSTAYFDVNGLWLALNVEQDIPRNEIHQSYTHIAFSIQEDDYDKMYEKLIQLNVNILEGRQRDVRDKKSIYFTDPDGHKFEFHTGTLQDRLDYYKKEKMHMEFFDVL ->ARGMiner~~~emrA~~~WP_046877644.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_046877644.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGNKKGKRKGALLLLTLLFIIVAVAYGIYWFLVLRHFEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAQTQLAASVRQTRQQMINSKQLQASIDVKKTALSQAQTDLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTKIVSPISGYVSRRSVQPGAQISTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRGGEMLASQVRSSPVYESNAREIGLEPVNKLINDIIQANAG ->ARGMiner~~~FosB~~~WP_045925315.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_045925315.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEEDIPRNEIHKSYTHTAFSIDPSDFDAIHQRLENLNVNILNGRPRDQQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMTFYI ->ARGMiner~~~emrA~~~WP_023228045.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_023228045.1~~~fluoroquinolone~~~unknown MSVNAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061737137.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061737137.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSIWESLSKVMRVIRHK ->ARGMiner~~~mdtM~~~WP_023213580.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_023213580.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTMMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~tolC~~~WP_032973962.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032973962.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGVDSTAKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDNFKTTKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTSGSAASRYADSKIGQNSVGLSFNLPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTAPESVAPENPEQDAAVNNMANGGGNAPAMQPAAATRSSNSNSGNPFRQ ->ARGMiner~~~vanXO~~~WP_020417884.1~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~WP_020417884.1~~~glycopeptide~~~unknown MNDDFAYVDEFVPGIRWDAKYATWDNFTGKPVDGYLANRIVGTRALCAALEQARDKAASLGSGLLLWDGYRPQRAVDCFLRWSGQPEDGRTKPRHYPNIDRADMVEKGYVAAKSGHTRGSTVDLTLYHLATGELAAMGGRHDLMDPVSRHHATEIAPAELQNREHLRSIMEDCGFDRYDREWWHYTLRNEPYPDVYFDFPIT ->ARGMiner~~~ceoB~~~YP_838977~~~fluoroquinolone;aminoglycoside unknown +>ARGMiner~~~ceoB~~~YP_838977~~~fluoroquinolone;aminoglycoside~~~unknown MNISKFFIDRPIFAGVLSVIILLGGVIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDNRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRGLSAEDVVQSIREQNVQVAAGVIGASPSLPGTPLQLSVNARGRLQTEDEFGDIVVKTTPDGGVTHLRDIARIELDASEYGLRSLLDNKPAVAMAINQSPGANSLQISDEVRKTMAELKQDMPAGVDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASLIPLIAVPVSIIGTFSLLLAFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIEGGMNARQATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRGFNKVFHRGAENYGRGVRGVLSRKTLMLGVYLVLVGATVLVSKVVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGSIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFSERHGKALSAGAIAGALNQKYSAMKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYAKLSDATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVPVTDVFNTMQVYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNDKGEMVPLSSLVTVTPTFGPEMVVRYNGYTAADINGGPAPGFSSGQAQAAVERIAHETLPRGVRFEWTDLTYQQILAGDSAMYVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGRTPLEAAIEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAGKDSTGYGVPAPDA ->ARGMiner~~~sdiA~~~WP_001154266.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154266.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIAMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~BcI~~~WP_065223756.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_065223756.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~acrE~~~WP_024241369.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_024241369.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISKGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~mdtF~~~YP_001464983~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~YP_001464983~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~sul2~~~WP_024439711.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_024439711.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSVLRALAGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~TEM-1~~~ANG35535.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG35535.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEALPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~WP_032330172.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032330172.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDILSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYRGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mdtP~~~WP_032185696.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032185696.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAADAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~mdtF~~~WP_032265527.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032265527.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPKIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mexY~~~WP_061188681.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061188681.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGVPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSDAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~lsaA~~~WP_002397878.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002397878.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFTPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVRPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~acrF~~~WP_006902972.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~WP_006902972.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MANFFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSNSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRLKDPEEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLSPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGFFGWFNTRFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMAVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIDQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAPGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVISRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~macA~~~WP_058679282.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_058679282.1~~~macrolide~~~unknown MNLKGKRRKWFLLLAIVVVAAAYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGDEVVTSETLPGAAK ->ARGMiner~~~lsaB~~~WP_040119491.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_040119491.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKITSSVEFNYFPYPVSDKNKFTHEILEEICPQAEDWEFLREISYLNVDAEAMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKMVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSAGWSHQVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLKFQSNELVVLADVSVKYDDQIVNEPISFIVEQGDRIVLDGKNGSGKSSILKLILGHPIQHTGSVNLGSGLIISYVQQDTSHLKGLLSDFIEEHEIDETLFKSILRKMDFDRIQFEKDIFHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISI ->ARGMiner~~~emrB~~~WP_059215907.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_059215907.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLIIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRIGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPYNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGAGGAH ->ARGMiner~~~macA~~~WP_001124223.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124223.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKVTRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQQGQSADKASSTPSAERKYQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~TEM-1~~~ANG24357.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG24357.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSVQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATLDERNRQIAEIGASLIKHW ->ARGMiner~~~arnA~~~WP_001567765.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001567765.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSCVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~TEM-1~~~ANG17861.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG17861.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSLQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mecR1~~~WP_064125810.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_064125810.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDAMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~mdtH~~~WP_000092210.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092210.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGVSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~FosB~~~WP_053481854.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_053481854.1~~~fosfomycin~~~unknown MTIQNINHLLFSVSNLEKSIAFYEKVFDAKLLVKGRTTAYLDVNGLWLALNVEKDIPRNDIHQSYTHIAFSIQEDDFDKMYEKLVHHNVNILEGRQRDVRDRKSIYFTDPDGHKFEFHTGTLQDRLDYYKKEKTHMEFFNV ->ARGMiner~~~mgrA~~~WP_047172742.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_047172742.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEPLNLKEQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWGESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEAIRPELDTACQDVAVASSLDQDESKELNRLLSKVIDAFTEEKAK ->ARGMiner~~~mdtM~~~WP_001690582.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001690582.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGPSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWMWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~emrB~~~WP_047414775.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_047414775.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRFGEVRLFMWSTIAFVIASWACGMSTSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGAAVVLMTLQTLRGRETKTEHRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIIILTVVAVVAISFLIVWELTDEHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPYNPNAQAMYDKLQDLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGAH ->ARGMiner~~~FosB~~~WP_049628244.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_049628244.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEEDIPRNEIHKSYTHTAFSIDPSDFDAIQQRLENLNVNILNGRPRDQQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMTFYI ->ARGMiner~~~tolC~~~WP_024551355.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_024551355.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRRSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDSDGVNSSVTSGSLQLTQTIFDMSRWRALTLQEKTAGVQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVSGNYYPQLASLNVDTFKTNKPDNVNALLKEAENRNLTLLQARLSQDLAREQIRYAETGHLPTLGLTASSSVSDTSYSGSATRGNAISSSSYADRNIGQNSVGLSFNLPIYSGGSVTSQVKQAQFNFVSASEQLESAHRSVIQTVRSSWNNINASISSIKAYEQAVVSAQSSLDASEAGYAVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKAALGTLNEQDLVALNTTLGKPIPTSPESVAPENPQQDAAVDSFTANVNAAANGEGNDAAMSTAQPAASVQPAAASRTSGANPFRQ ->ARGMiner~~~emrA~~~NC_011586.7046576.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~NC_011586.7046576.p01~~~fluoroquinolone~~~unknown MTDAQSNVQETVPTTSASDDNMQNKRKKFLGFFALILLIAAILYAIWALFLNHSVSTDNAYVGAETAQITSMVSGQVAQVLVKDTQTVHRGDVLVRIDDRDAKIALAQAEAELAKAKRQYKQTAANSSSLNSQVVVRADEINSAKAQVAQAQADYDKAALELNRRAQLAASGAVSKEELTKAQSAVETAKAGLELAKAGLAQATSSRKAAESTLAANEALIQGVSETSTPDVQVAQAHVEQAQLDLERTVIRAPVDGVITRRNIQVGQRVAPGTSMMMIVPLNDLYVDANFKESQLKKVRPGQPVTLTSDLYGDDVEYHGKVVGFSGGTGSAFALIPAQNATGNWIKVVQRLPVRIALDPKELAEHPLRVGLSMEAKVDLSAK ->ARGMiner~~~mecA~~~WP_000721304.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_000721304.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIEKLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQKWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLEKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~norA~~~WP_031769406.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031769406.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIAGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~lsaB~~~WP_008177752.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_008177752.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPGSFDPIFEGVNFQLDTDWKLGFIGRNGRGKSTFFQLLLGKYEYSGKINASVDFTYFPYPVADRNKYTYEIFEEICPQAEDWEYLREISYLHVDAEVMYRPFYTLSNGEQTKVLLAALFLTEGKFLLIDEPTNHLDTDARKIVANYLKKKKGFILISHDRTFLDGCVDHILSINRANIDVQSGNYSSWKLNFDRQQEHEEATNHRLQKDIERLKHSSKRSAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKHIESRQQKAIDEKSKLLKNVEKTESLKLEPLAFQSKELITLTDVSVRYDDHMINQPLSFKVEQGDRIVLDGKNGSGKSSVLKLIHGNDIQHTGTIHVGSGLIISYVQQDTSHLKGSLSDFIEEHEIDETLFKSILRKMDFDRIQFEKDIAHYSGGQKKKLLIAKSLCDKAHLYIWDEPLNFIDIYSRLQIEELIQSFNPTMVFVEHDQVFQQTVATKIISM ->ARGMiner~~~mdtG~~~WP_015405989.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_015405989.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGCVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELVGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~sdiA~~~WP_047173109.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_047173109.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCSLRFQELTNTDEVYRELERQTQALEFDYYALCVRHPVPFTRPKISLQTTYPKPWMAQYQSANYFAIDPVLKAENFIQGHLPWTDALFAEAQELWHSAQDHGLRAGITQCLMLPNHALGFLSVSRTSIQEGPFAREEIELRLQMLVQMALTSLMRFEDGMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~macA~~~WP_016139267.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_016139267.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIVIIALLAWKFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGEDTKRYATLRQIEPAPDSISSDSNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSTNKASSTPSAERKHQGNGARLERLNLTAEQKQLIEQGQLTLSVVRILQADGTTKPTQILVGINNRVNAQVLSGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~nalC~~~WP_012074629.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_012074629.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNEASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATVRATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKTFYEQGPQQSYLLLTGRLAAVAGEVAEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLALSVDIIACYLEHGARRPVAG ->ARGMiner~~~macA~~~WP_023136812.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023136812.1~~~macrolide~~~unknown MRAKGKKFKKRYLAIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~FosB3~~~WP_056935383.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_056935383.1~~~fosfomycin~~~unknown MIQSINHVTDSVSDIKTSIAFYKDILKAEILVESGKTAYFTLGGLWLALNEEKNIPRNEIQYSYTHMAFTIDESEFGDWYQWLKDNNVNILEGRNRDVRDKQSIYFTDPDGHKLELHTGTLQDRLEYYKEEKPHMKFYI ->ARGMiner~~~sdiA~~~WP_024232693.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_024232693.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVDFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~macB~~~WP_049595067.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049595067.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVVGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~NC_007622.3793623.p01~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~NC_007622.3793623.p01~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIIYIGLILFSVSEFMFAIGQNFLILMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKVSTNGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVIIVLIEKQHRSKLKEQNM ->ARGMiner~~~macA~~~WP_050159131.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050159131.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVCGGWHYLKPEPQASYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLDMEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSAPTIIQLANLDTMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~emrB~~~WP_052939549.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_052939549.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESITAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLAWFAKPPFGAGGGGGGAH ->ARGMiner~~~EXO beta-lactamase~~~WP_053802131.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_053802131.1~~~penam~~~unknown MRKPTSSLTRRSVLGAGLGLGGALALGSTTASAASAGTTPSENPAAVRRLRALEREHQARIGVFALNLATGASLLHRAHELFPMCSVFKTLAAAAVLRDLDHDGSQLARVIRYTEADVTKSGHAPVTKDHIDTGMTIQDLCDATIRYSDNCAANLLLRELGGPTAVTRFCRSLGDPVTRLDRWEPELNSGEPDRRTDTTSPYAIARTYQRLVLGNALNRPDRALLTDWLLRNTTTLTTFRTGLPKGWTVADKSGGGDTYGTRNEAAITWTPDGAPVLLTALTHKPSLPTAPGDTPLIIKLATVLSEAVAPA ->ARGMiner~~~pmrA~~~CP003583.1.gene1005.p01~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~CP003583.1.gene1005.p01~~~fluoroquinolone~~~unknown MEIHWRKNLVIAWIGCFFTGASISLVMPFIPVYVEQLGTPKDQIELFSGLAISVTAFASAVVAPIWGNLADRKGRKLMMIRAAAGMTLTMGSLAFVPNAYWLLIMRFWNGILSGYIPNATAMIASQAPREKSGWALGTLSTGAIAGNLIGPSMGGALAQWFGMENVFLITGALLMITTVLTIFLVKEDFHPIEKKDLISTKEIFSKMDHLSILIGLFITTLILQIGITTISPILTLYIRELSGSTENILFVSGLIVSIAGVSAVFSSPKLGKLGDKIGNQKVLIGGLILSFCCYLPMAFVTTPLQLGILRFILGFSTGALMPSVNTLISKITPQEGVSRIYSYNQMFSNFGQVLGPMLGSTVAHAYNYSAVFIVTSLFVLSNILLSLFNFRKVLRSRL ->ARGMiner~~~acrB~~~YP_001721930~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~YP_001721930~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVIAIIIMLAGALAIMKLPVAQYPTIAPPAITIAANYPGADATTVQNTVTQVIEQNMNGIDNLLYMSSSSDSSGNVQLTLTFNSGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVAGFISEDGTMQQEDIADYVGSNIKDPISRTPGVGDVQLFGSQYAMRIWMDPHKLNNYKLTPVDVINAIKIQNNQVAAGQLGGTPPVPGQELNSSIIAQTRLTNAEEFSQILLKVNTDGSQVRLKDVAIVKLGAESYNIIARYNGKPAAGIGIKLATGANALNTSAAVKAELAKLQPFFPSGLTVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMQEEGLPPKEATKKSMEQIQGALVGIALVLSAVFVPMAFFGGATGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPIKKGDHGPKTGFFGWFNNMFEKSTHHYTDSVANILRSTGRYLVIYLAIVIGMAVLFMRLPSSFLPEEDQGVFLTMVQLPAGATQERTQKVLNQVTDYYLDKEKNVVNSVFTVNGFGFSGQGQNTGLAFVSLKNWDERKGEQNKVPAIVSRASAAFSKIKDGMVFAFNLPAIVELGTATGFDFQLIDQGNLGHQQLTDARNQLLGMAAQHPDMLVGVRPNGLEDTPQFKVEVDQEKAQALGVAISDINTTLGSAMGGSYVNDFIDRGRVKKVYVQADAPFRMLPDDIDKWYVRNNMGQMVSFATFSTAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMDLMQELAAKLPSGVGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAATLRGLENDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVESTLESVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPLFFVVVRRRFSRKTDDIEHSHPVNTPVK ->ARGMiner~~~smeR~~~WP_016168184.1~~~aminoglycoside;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~smeR~~~WP_016168184.1~~~aminoglycoside;cephalosporin;cephamycin;penam~~~unknown MKHIMLVEDEVELAQLVRDYLEAAGFEVSLFHDGQEAYDSFMQRKPSLMILDLMVPRMDGLTICRKVREQSDLPIIMVTARTEEIDRVLGLNMGADDYICKPFSPKELVARVQAVLRRLDRKAEPEENGLFRVDKAQQRIWYQQKTLNLTPTEFRLLELFLEHVGQVYSRVQLLDHINPDSFDVADRVIDSHIKNLRRKISDAAETGNRHEWIQAVYGVGYRFEYPED ->ARGMiner~~~mdtH~~~WP_065697214.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_065697214.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMASSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAAGQPELPWLMLGAIGVITFMALWWQFSPKRSASGMLEPRT ->ARGMiner~~~MexE~~~WP_034050091.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_034050091.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSRFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~acrE~~~WP_042936548.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_042936548.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNQQPHAQAPQVTVHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGLSLYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGVDPQAILVPQQGVTRTPRGDASVMLVNDKNQVETREVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEVERAAPAVQ ->ARGMiner~~~arnA~~~WP_001649055.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001649055.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNPPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mepA~~~WP_042903632.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_042903632.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSEPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMSAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~macA~~~SBW26801.1~~~macrolide unknown +>ARGMiner~~~macA~~~SBW26801.1~~~macrolide~~~unknown MKLKGKIKKRYILFAIIIIVAIIALWRTLNAPLPQYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAEAEWKLARVTLSRQQQLAKTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLHPGQKAWFTVLGDPQTRYEGKLKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTDVKNVLTIPLSALGDPIGNNRYNVRLLRNGETREREVIIGARNDTDVEIVKGLEEGDEVITGEGNAGAAK ->ARGMiner~~~tolC~~~WP_033560214.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_033560214.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVMQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~FosB~~~WP_032866153.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_032866153.1~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHQRLKNLNVSILNGRPRDKQDHKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~mepA~~~WP_000651053.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_000651053.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIVYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQDIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPALFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~mdtN~~~WP_063085435.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_063085435.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLKEGFVSAEDVDRAKTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~cmeA~~~EFC32139.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~EFC32139.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKSFQKNTVLVLSVAFLLTACSKEETPKIQMPPQPVTTMSVKSEDLPLSFTYPAKLVSDYDVIIKPQISGVIVSKLFKAGDRVKKGQTLFIIEQDKFKAGVNSAYGQTLMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKANLVSARAQLANARIDLDHTEIKAPFDGTVGDALINVGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDNIHANLNLNGEIVKGKLYFIDSVIDAKSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQDQNEVYVYTIVNNKVTKTPVHISYQDNEYAIISSGLKDSDKVILDNFKKIRIGSDVQEVGSK ->ARGMiner~~~mepA~~~WP_031908504.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031908504.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAYYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~macA~~~WP_032658226.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032658226.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~macB~~~WP_047645766.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047645766.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGRTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosA2~~~WP_022650325.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_022650325.1~~~fosfomycin~~~unknown MLQSLNHLTIAVSDLQKSVTFWHALLGLTLHARWNTGAYLTCGELWVCLSYDEARRYVPPQESDYTHYAFTVAEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~macA~~~WP_045887634.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045887634.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVAVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~mdtH~~~WP_050955144.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_050955144.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFHLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~TEM-207~~~ANG10976.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG10976.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALTPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIVAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~sdiA~~~WP_001157174.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001157174.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSVSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNPPNKTQIACYAAATGLI ->ARGMiner~~~mdtH~~~WP_000092193.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092193.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVFFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~FosB~~~WP_009589881.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_009589881.1~~~fosfomycin~~~unknown MNLQAINHLCFSVSHLERSIVFYRDVFGAKLLVRGRKLAYFDLNGLWIALNEEDVDRTTANRTYTHIAFTIDEQDVEPTLVRLQSLQVEILPGRARDEKDKKSIYFLDPDGHMFEFHTGGLQDRLDYYRADKEHMTFYDTE ->ARGMiner~~~acrB~~~WP_023296042.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_023296042.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDIIAKFNGKPASGLGIKLATGANALDTATAIRAELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAIFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGGHGEHKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYIIIVVGMAFLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTDYFLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGAENKVEAITGRAMGTFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQYKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAQYRMLPNDINNWYVRGSNGQMVPFSAFSTSRWEYGSPRLERYNGLPSMEILGQAAPGRSTGEAMNLMEELASKLPAGVGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDVEHNHSVEHH ->ARGMiner~~~mfpA~~~WP_031735957.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_031735957.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAAHGVCLAGG ->ARGMiner~~~CTX-M-14~~~ADU56215.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-14~~~ADU56215.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVRQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLGHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~adeB~~~WP_049080940.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_049080940.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLNPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNTSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYDWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~Escherichia coli ampC~~~WP_001744646.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001744646.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKSYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPVWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPHAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~FosB~~~WP_036641854.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_036641854.1~~~fosfomycin~~~unknown MNLQVINHLCFSVSDLDRSIAFYRDVFQAKLLVKGRKLAYFDLNGLWIALNEEDVDRSTANRTYTHIAFSMEEHDYEPTLLRLEALQVEILRGRSRDEKDKKSIYFLDPDGHMFEFHTGGLQDRLDYYRADKDHMTFYETE ->ARGMiner~~~tolC~~~WP_001399403.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001399403.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSITAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~lsaB~~~WP_057240777.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_057240777.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFFNLLLENYEYSGEIISSVEFNYFPYPVSDKNKYTHEILEEICPQVEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAGLFLNEGQFLLIDEPTNHLDTDARKIVSDYLKKKKGFILISHDRMFLDGCVDHILSINRANIEVQNGNYSSWKLNFDRQQEHEEAKNQRLQKDIGRLKQSSKRATGWSNQVESSKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLEARQQKAIEEKSKLLKNVEKTESLKLEPLQCQSNELINLTDISVKYNDQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGKPIQYTGSMNLSSGLIISYVQQDTSHLKGRLSDFIEEYEIDETLFKSILRKMDFDRIQFEKDISYYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRIQIEELIQSFSPTMVIVEHDQAFQQTVATKIISM ->ARGMiner~~~APH(6)-Id~~~WP_057109591.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_057109591.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTXY ->ARGMiner~~~mdtH~~~WP_008500823.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_008500823.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFMLCALFNGLFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~arnA~~~WP_000860276.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860276.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKYGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~amrB~~~WP_006414163.1~~~aminoglycoside unknown +>ARGMiner~~~amrB~~~WP_006414163.1~~~aminoglycoside~~~unknown MARFFIDRPVFAWVIALFIMLGGAFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTALIEREMNGAPGLLYTSATSSAGMASLYLTFRQGVNADLAAVEVQNRLKTVEARLPEPVRRDGIQVEKAADNIQLVVSLTSDDGRMTGVQLGEYASANVVQALRRVDGVGRVQFWGAEYAMRIWPDPVKLAGHGLTASDIAAAVRAHNARVTVGDIGRSAVPDSAPIAATVFADAPLKTPADFGAIALRSQADGAALYLRDVARIEFGGSDYNYPSYVNGKVAVGMGIKLAPGSNAVATEKRVRAAMDELSAYFPPGVKYQIPYETSSFVRVSMNKVVTTLIEAGVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGVMYAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEERLAPYDATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFALSLAVSIAFSAFLALSLTPALCATLLKPVDDGHHDKRGFFGWFNRFVARSTQRYATRVGAMLNKPLRWLVVYGALTAVAALMLTRLPSAFLPDEDQGNFMVMVIRPQGTPLAETMQSVREVESYLRRKEPAAYTFALGGFNLYGEGPNGGMIFVTLKNWNARQASRDHVQAIVARVNERFAGTPNTTVFAMNSPALPDLGSTGGFDFRMQNRGGLDYAAFSAAREQLLAAGAKDAALTDLMFAGTQDAPQLKLDIDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVIVQADGQHRLDPDDVKKLRVRNARGEMVPLAAFATLHWTLGPPQLTRYNGYPSFTINGSAAPGHSSGEAMAAIERIAATLPAGIGHAWSGQSFEERLSGAQAPLLFALSVLVVFLALAALYESWSIPLAVMLVVPLGVIGAVLGVTLRAMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLVAQRMSLAEAALEAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQTAIGTGVLGGVIAATVLAVFLVPLFFVVVGRLFGFGTRRRGSAPAVNVEGSR ->ARGMiner~~~Escherichia coli mdfA~~~Y08743.gene.p01~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~Y08743.gene.p01~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMNAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~vanTE~~~WP_010773049.1~~~glycopeptide unknown +>ARGMiner~~~vanTE~~~WP_010773049.1~~~glycopeptide~~~unknown MKHRANGIDIFRIFAAIMVVAIHTFPFQSIAPFLDEVITLTVFRVAVPFFFMITGYFLLGRLSLNFSYNNSQRVKKYLYKIGMIYLYSILLYFPLSLLNGTISLKMNILLKVLIFDGSFYHLWYFPAIIIGTILVSLLLRSVGFKLTVAFSICLYLVGLGGDSWYGIVSQIPLLNKLYSFIFSWTDYTRSGLFFAPIFLCLGILVYRKNKKLTALKGLNLLFYVFIIGMIIESIFLHRFTNIKHDSMYLLLPLGIFILFLMLLKWQPKLKVKESADLTLLVYIIHPLVIVIVHSISNYIPILKNSLLNFLLVVVCSFILAQFLLNLKRKRRVSKQKILFERASKEISASAIHHNINEVRKIIPENTNIMGVVKANAYGCGMVEVAHELEKNGISFFCVATIEEAIALRKSGNQGEILILGYTHPDRIHDIKKYDLTQSIVSEEHGKMLNLKKIPIRCHLQVDTGMHRLGVTPNVTIIQQMYRLSNLKIEGIYSHLGSSDSLELESIVRTNAQIFLFNNILSDLKQMGISYGYTHIQSSYGILNYPELNFDFVRVGILCYGFLSDYNIPTKITIDLQPIVKVKASLITKRVVDAGEYVGYGLGAKVEKRTRIGVVSIGYADGIPRTLSNAKLTLEFKGQPIKQIGNICMDMMIVDLTEVEDISVNDELIVLPNISKIADEEQTITNELLSRLGSRLGVGLS ->ARGMiner~~~mdtN~~~WP_024257193.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_024257193.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYVSADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKSEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEAGIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~emrA~~~WP_025757133.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_025757133.1~~~fluoroquinolone~~~unknown MSANAESTTPQQPANKKGKRKSALLLLTLLFIIIAVAYGIYWFLVLRHAEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAKTALASSVRQTRQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGSANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMVLGTSLENQPAVQQAATEVRNAWLALQRTKIVSPMTGYVSRRSVQPGAQISPSTPLMAVVPADNLWVDANFKETQLAHMRIGQTATVVSDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLADHPLRIGLSTLVTVDTANRDGQILASQVRSSPAYESNAREISLDPVNKLIDDIVKANAG ->ARGMiner~~~mexH~~~WP_047941943.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_047941943.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAXPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~macA~~~SBY98128.1~~~macrolide unknown +>ARGMiner~~~macA~~~SBY98128.1~~~macrolide~~~unknown MKLKGKRRTVWWLLAIVVLGLAVWGWRILNAPLPHYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTVLVKAQISEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~APH(6)-Id~~~WP_046033939.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_046033939.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~hmrM~~~WP_004143852.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_004143852.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQMAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARTMRDIRCAERFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMRKQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~macA~~~WP_057069952.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_057069952.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLEATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~arnA~~~WP_048211088.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_048211088.1~~~peptide~~~unknown MKAVVFAYHDMGCQGVQALLDAGYDIAAIFTHADNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERIAQLAPDVIFSFYYRHLLSDEILRLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQQRITISPDDVALTLHHKLCQSAREVLAQALPAIKAGDFQEYPQQEADATCFGRRTPEDSFIDWNKPCAQLHNMVRAVSDPWPGAFSYAGTQKFTIWSSRQSANTSAALPGTVISVSPLLIACADGALEIITGQAGDGITMQGSQLAQVLGLVAGSRLNSQPVSASKRRTRVLILGVNGFIGNHLTERLLREDNYEIYGLDIGSDAIERFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKDFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALFRIIENDGGRCDGEIINIGNPDNEASIQELAEMLLSCFEKHPLRQHFPPFAGFRDVESSSYYGKGYQDVEHRKPSIRNAKRCLNWEPTIDMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~emrB~~~WP_046893653.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_046893653.1~~~fluoroquinolone~~~unknown MQAPLTGAKLAWMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFAISSWLCGISNSLGMLIFFRVIQGLVAGPLIPLSQSLLLNNYPPAKRTMALALWSVTIVIAPICGPILGGYISDNYHWGWIFFINVPFGIVIMMLTAKTLEGRETKTEIRPIDTVGLILLVVGVGCLQIMLDQGKELDWFNSTEIIVLTVIAVIAIAFLIVWELTDDHPVVDLSLFKSRNFTIGCLSLSLAYMLYFGTIVLLPQLLQGVFGYTATWAGLASAPVGILPLLITPLIGKYAHKVDYRIIVTFSFIMYTVCFYWRAYTFEPGMDFAAAAWPQFVQGLAIACFFMPLTTITLSGLPPEKMASASSLSNFTRTLAGAIGTSITTTLWTQRESMHHANFAEHINPFSPDTQQMYHTLGEMGMSQQQSSAYIAKVITDQGLIISANEIFWLSAGVFLVLMLLVWFARPPFTAGGGGGGAH ->ARGMiner~~~tolC~~~ACM48572.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~ACM48572.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPALDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~gadX~~~WP_001191047.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~gadX~~~WP_001191047.1~~~macrolide;fluoroquinolone;penam~~~unknown MQSLHGNCLIAYARHKYILIMVNGEYRYFNGGDLVFADASQIRVDKCVENFVFVSRDTLSLFLPMLKEEALNLHAHKKVSSLLVHHCSRDIPVFQEVAQLSQNKNLRYAEMLRKRALIFALLSVFLEDEHFIPLLLNVLQPNMRTRVCTVINNNIAHEWTLARIASELLMSPSLLKKKLREEETSYSQLLTECRMQRALQLIVIHGFSIKRVAVSCGYHSVSYFIYVFRNYYGMTPTEYQERSAQRLSNRDSAASIVAQGNFYGTDRSAEGIRL ->ARGMiner~~~arnA~~~WP_001718557.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001718557.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVTRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNTQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_038641842.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_038641842.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPPHKSSGGREISGSTVKTVSGWSQFISGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLSLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrB~~~WP_032270313.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032270313.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAY ->ARGMiner~~~mdtH~~~WP_038269359.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_038269359.1~~~fluoroquinolone~~~unknown MSSVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIRFVEQLGWAAVIVGFALGLRQLIQQGLGIFGGAIADRFGAKPMIVTGMLLRASGFALMAMASEPVILILSCALSALGGTLFDPPRAALVIKLTRPHERGRFYSLLLMQDSAGAVIGALIGSWLLQYNFNIVCWIGAGVFVLAAIANAWLLPAYRISTIRSPIKEGMIRVIKDRRFFYYVLTLTGYFILSVQVMLMFPIVIHEISGSHTAVKWMYAIEAAISLTLLYPIARWSEKYFRLEQRLMMGLFLMSFCMFPIGWIDQLHLLFALIALFYLGTVTAEPAREILSAGLADPRARGSYMGFSRLGLALGGVFGYTGGGWLYDTGHALNMPQLPWIFLGLIGLLTIYALHRQFNQKKIEPVTISRH ->ARGMiner~~~FosB3~~~WP_064213780.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_064213780.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLEKRLNYYKEAKPHMTFYK ->ARGMiner~~~AAC(3)-Id~~~WP_039110078.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Id~~~WP_039110078.1~~~aminoglycoside~~~unknown MSVKVIQLTEKDAGLMQSINAMFGEAFDDKEHYSSNKPTSSYLKKLLGSSSFIALAAVHEEKVIGAIAAYELQKFEQQRSEIYIYDLAVAATHRRAGVATALIHKLKAIGAERGAYVIYVQADKGVEDQPAIELYKKLGTIEDVFHFDIAVEGNKNHA ->ARGMiner~~~bcr-1~~~WP_001626312.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_001626312.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAIMGIAVLMLSLFILKETRPAAPAASDKSRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLTVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSSLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~FosB~~~KFX31740.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~KFX31740.1~~~fosfomycin~~~unknown MNSYINHLTFSVSDLEKSIHFYQNVFGAKLLVKGRNLAYFDLNGIWLALNVQRDIPRNEIQHSYTHIAFSVKEEDFDSVVQKLKELGVNILPGRERDERDKRSVYFTDPDGHKFEFHTGTLNDRLSYYKSEMHHMQFFD ->ARGMiner~~~Escherichia coli ampC~~~WP_057109686.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_057109686.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGKQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVDAAWQILNALQ ->ARGMiner~~~novA~~~WP_053631154.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_053631154.1~~~aminocoumarin~~~unknown MPHDEPKWTPSKDPLDPTRPAPAEQPRELRRIVALFRPYRGRLAVVGLLVCASSLVGVASPFLLREILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTLVSLLLLPVFVWISRRVGHERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTSAFSAESEKLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQTGAPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERAEPVRLDRAKGEVTLEDVHFTYDSENGPTLSGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVSGGRVAIDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEITEAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDHLSAGRTTITIAHRLSTVRDADQIVVLDGGRIAERGTHEELLKADGRYAALVRRDRDAALAPEPPEDAQLAPVNV ->ARGMiner~~~cmeB~~~WP_002875289.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002875289.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFNINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMIGIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~emrB~~~CDQ52675.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~CDQ52675.1~~~fluoroquinolone~~~unknown MSVMQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVTGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSRQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~bcr-1~~~WP_039026304.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_039026304.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGTGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~tolC~~~WP_000735303.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735303.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLTLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTRGANSAQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYTPDSPAPVVQPASVRTNTSTGKNPFRN ->ARGMiner~~~mdtP~~~WP_001464886.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001464886.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFPQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~acrE~~~WP_004150001.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_004150001.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNQQPHAQAPQVTVHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVAAARQADADVIATKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGVDPQAILVPQQGVTRTPRGDASVMLVNDKNQVETREVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEVERAAPAVQ ->ARGMiner~~~tolC~~~WP_032473590.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032473590.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSDQDQRESDLLSAGINFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDNAKNTSSEEYNDFKIGVNLKVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~emrB~~~WP_053882217.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_053882217.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFSASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~bcrA~~~WP_016125339.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_016125339.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGENLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVHKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGKLLEEVSLDTLRKANRKYIEFQVNNDDKAVLLLENHLQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNDIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~mecA~~~WP_031911502.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031911502.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLSTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~tolC~~~CP001485.1.gene972.p01~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CP001485.1.gene972.p01~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSDQAPRESDLLSAGINFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDNAKNTSGEEYNDFKIGVNLKVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~tet(K)~~~WP_060649727.1~~~tetracycline unknown +>ARGMiner~~~tet(K)~~~WP_060649727.1~~~tetracycline~~~unknown MFSLYKKFKGLFYSVLFWLCILSFFSVLNEMVLNVSLPDIANHFNTTPGITNWVNTAYMLTFSIGTAVYGKLSDYINIKKLLIIGISLSCLGSLIAFIGHNHFFILIFGRLVQGVGSAAFPSLIMVVVARNITRKKQGKAFGLIGSIVALGEGLGPSIGGIIAHYIHWSYLLILPMITIVTIPFLIKVMVPGKSTKNTLDIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFMLGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVATIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVASGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGVYSNILVAMAILIILCCLLTIIVFKRSEKQFE ->ARGMiner~~~arnA~~~WP_000860315.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860315.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYSSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~norA~~~WP_031906419.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031906419.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFVNDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~macB~~~WP_038396317.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_038396317.1~~~macrolide~~~unknown MTALLELRNIRRSYPSGEEQVEVLKDISLQIHAGEMVVIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSMLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGIERKKRQARARELLQRLGLSDRVDYHPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLVAAQAGRVIEIHDGKIVHNPPAQEKGREQGVAAAAVNTASGWRQFASSFREALAMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQVLKYDDLAAIQKQPWVNSATPTVSKSLRLRYGNMDIAVNANGVSGDYFNVYGMSFSEGNTFNVVQQRDRAQVVVLDANTRRQLFPNQANVVGEVVLVGNMPVIVIGVAEEKPSMYGNRNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVNSDQAEQQLTRLLTLRHGQKDFFTWNMDSILKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFMLQLFLPGWEISFSLIALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tetX~~~AIW80590.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AIW80590.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHWMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~TEM-1~~~ANG19419.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG19419.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIMAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~nalD~~~WP_034035891.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_034035891.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYCHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~emrB~~~WP_001187290.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001187290.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLIIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPYNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGAGGAH ->ARGMiner~~~OXA-10~~~ACM66940.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-10~~~ACM66940.1~~~cephalosporin;penam~~~unknown MKTFAAYVIIACLSSTALAGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGVIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESSPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~mecI~~~WP_025904161.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_025904161.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYDISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKK ->ARGMiner~~~acrE~~~WP_025670534.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_025670534.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTTPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~TEM-1~~~ANG13336.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG13336.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLVDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIEHW ->ARGMiner~~~mdtM~~~WP_001608480.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001608480.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAASLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGATTISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGIIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mdtM~~~WP_023200206.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_023200206.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLAARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLLSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~msbA~~~WP_052997557.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_052997557.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPMLILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELMSKQGAYEHLYSIQNL ->ARGMiner~~~macA~~~WP_062729166.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_062729166.1~~~macrolide~~~unknown MNLKGKRRKRFLLLAIVVIAAGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGDEVVTSETLPGAAK ->ARGMiner~~~mdtG~~~WP_021579610.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_021579610.1~~~fosfomycin~~~unknown MSPCENAPPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~FosA2~~~WP_047736966.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_047736966.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYTGMVFTSDKA ->ARGMiner~~~BLA1~~~WP_061531281.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_061531281.1~~~penam~~~unknown MILKNKRMLKIGICVGILGLSFTSLEAFTGGPLQVEAKERKEQIKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTMKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTAGNALPNHKRNILTEWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~mexY~~~WP_061190651.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061190651.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPAAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPAALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGNFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~CTX-M-23~~~ADA62550.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-23~~~ADA62550.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTESTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061393727.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061393727.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKELVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~Escherichia coli ampC~~~WP_052893708.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_052893708.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPVWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPAEVAAAWQILNALQ ->ARGMiner~~~arnA~~~WP_021531464.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021531464.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAILTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~MexD~~~WP_031756979.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_031756979.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRSNEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSVLRSKPAPIEQAASAGE ->ARGMiner~~~vanXA~~~WP_064017432.1~~~glycopeptide unknown +>ARGMiner~~~vanXA~~~WP_064017432.1~~~glycopeptide~~~unknown MEKGFTFLDEILHGVRWDAKYATWDNFTGKPVDGYEVNRIVGTYELADALLKVKELAATQGYGLLLWDGYRPKRAVNCFLQWAAQPEDDLTKERYYPNIDRTEMVSKGYVASKSSHSRGSAIDLTLYRLDTGELVPMGSGFDFMDERSHHTAKGISGNEAQNRRRLRSIMENSGFEAYSFEWWHYVLRNEPYPNSYFDFSVK ->ARGMiner~~~tolC~~~WP_048218603.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048218603.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTHGGTTGNQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTVAPQNPQQDAAVNDFNGTGNLPTAQPTAARSTSSNGNNPFRN ->ARGMiner~~~MexB~~~WP_000949246.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_000949246.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MLSKFFIQRPIFANVLAIIVMAFGIFSVMNLPVERYPDIAPPKITVSANYSGADAQTVEQSVTQILEQQIQGIDHLLYFSSSSDSSGRSRITISFDNGTNPDTAQVQVQNSISGVIRRLPDEVQRQGVTVSKSLGDTFMVIGLYDSTGKTGNIELSDYLTTHVVDNLNRIEGVGETDVFGSQYAMRIWLNPDKLKQYNLMPSDVANAITAQNTQVAAGAIGDLPVIDGQYLNTKVTAGSRLKTVEDFKNIVVKSNKTASYVYLKDIARVELGAENYQSFNTINGYPAAGLGISLSSGANAIQTSKLIHQTLDQLTTKLPAGYKIVYPRDNTPFVQESIKEVVKTLVEAIILVILVMFLFLQSWRATLIPSITVPVVILGTFAVLYVLGFSINTLTLFALVLAIGLLVDDAIVVVENVERLMHEQHLSPKEAAIESMGEISGALVGITLVLTAVFIPMSFLGGSIGVIYRQFSITLVAAMALSLIVALILTPALCALILKPNPQPQRWAVWFNQKIEQLKNQYIKLVQTSIHYSKSVIVIFVALIAVFTLFYNGLKSGFIPKEDQGILSVQIKLVDSAPISQSQKIGEQVRQYFLTQEDKNVDLVLIRYGRNYSGTGQNLAQGFIALKPWDVRTGKENSAEAIQKRAMKYFSHFNNAQINVTLPASVNGLGQTDGLDLWIQDLNGQGQDFLDSAFRQLQAQSKNYSTFENFDKQSTNSKANLNIKIDQKQALANGLQLSAINNTLSSAWGGTYVNDFIDRGRIKRVMIQGDAEFRSKPEDLYNWSVRNDQNEMVPFSSFATFSWGGAPEIVKRYMGYSALQLQADVASGSSSGQAMKDVEQLVNQQKDIGLAWTGLSFEEQKSTNQAVWLYLISAGFIFLCLAALYESLSIPAAVMTSIPLGVGGSVIFSYIFGLPNDVYFQIALLTTIGLSCKNAILIVEFAALAQEKGKNAIQAALEGASLRLRPILMTSLAFGAGVIPLVFAQGAGAVSRQEIGISILGGVMFGTVLVLFFIPVMYVLLRSLFKSKAST ->ARGMiner~~~mdtM~~~EHC47783.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~EHC47783.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MTVLSFAIPSWGSPTWSAFFMQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~vanB~~~Q06893~~~glycopeptide unknown +>ARGMiner~~~vanB~~~Q06893~~~glycopeptide~~~unknown MNKIKVAIIFGGCSEEHDVSVKSAIEIAANINTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAIFSPDRKTHGLLVMKEREYETRRIDVAFPVLHGKCGEDGAIQGLFELSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIEKGDKPEARTLTYPVFVKPARSGSSFGVTKVNSTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMIIVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMAAAAGITLPALIDSLITLAIER ->ARGMiner~~~FosX~~~WP_003739146.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_003739146.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTSTFLREIFDAEEIYSSGNQTFSLSKEKFFLIAGLWICIMEGDSLQERTYNHIAFQIQAEEVDEYIERIKSLGVEIKPERSRVPGEGRSIYFYDFDNHLFELHAGTLEERLKRYHE ->ARGMiner~~~macB~~~WP_020839449.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_020839449.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLAGNMPVIVIGVAEEKPSMYGNSNLLQIWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_024433165.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_024433165.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~smeE~~~WP_042615010.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_042615010.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGALAVINLPVSMYPEVAPPAVEISATYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSANGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLQVIGFVSNDGSMDANDISDFVGSNVVDPLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYKLSVDEVTAAITAQNAQVAIGQLGGAPSVKGQQLNATINAQDRLQTPEQFRNILVRGGTDGSELRLGDVARVELGAESYDFVTRYNGKPSTGIAITLATGANALDTANGVRTALEDMKATFPAGLESVVPYDTTPFVQVSIKGVIKTLIEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGILAALGFSINMLTMFAMVLAIGLLVDDAIVVVENVERIMAEEGLSPLEATRKSMDQITGALVGIGLVLSAVFVPMAFMSGATGVIYRQFSATIVSAMGLSVLVAIVLTPALCATMLKPLKKGEHHVAHKGWSGRFFGGFNRGFDRSSEKYQRGVKGIIARPWRFMGIFAALALVMGILFVRLPSSFLPNEDQGILMALVQTPVGATQERTLEAMAKLENHFLENESEAIESIFAVQGFSFAGMGQNAGMAFVKLKDWKDRNDEQSVGAITGRAMGALGQIKDAFIFAFPPPAMPELGIGSGYTFFLKDNTGQGHEALLNARNQLLGAAGQSKLLANVRPNGQEDTPQLRIDVDVEKANALGLNMTSINNTLATAWGSSYIDDFIDRGRVKRVYVQSDADFRMNPDDFNVWSVKNSAGEMVPFSAFASKRWDFGSPRLERYNGVSAMEIQGEPATGVASGDAMNEIERIAKELPPGYEIEWTALSYQERQAGSQTPLLYSLSLLIVFLCLAALYESWSVPTSVLLVAPLGILGAVLANTLMGLERDIYFQVAMLTTVGLTSKNAILIVEFAKENLEKGAGLIEATMHAVRDRLRPIIMTSLAFGLGVLPLAIASGAGSGAQRAIGTGVLGGMLAGTLLGVFFIPLFFVVVQKLFNRTLRDAAKSSDTP ->ARGMiner~~~Escherichia coli mdfA~~~SBX40186.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~SBX40186.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MQNYSLSGRRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVQEFQVGNEWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLTGVVWFIVTCLATLLAQTIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHILPWEMMFVLFAVLAAISFFGLQRAMPETATRLGEKLSVKELGRDYRLVLKNLRFVAGALATGFVSLPLLAWIAQSPVIIISGKQATSYEYGMLQVPIFGALIAGNLVLARLTARRTVRSLIIMGGWPIMFGLILSAAATVVSSHAYLWMTAGLSFYAFGIGLANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIELSKHAYELGGNGLFSLFNLLGGVLWLGLMIYFLKDKSVGNSQQG ->ARGMiner~~~FosB3~~~EHT33962.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~EHT33962.1~~~fosfomycin~~~unknown MTGKKTAYFKLAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~macB~~~ZP_02573259~~~macrolide unknown +>ARGMiner~~~macB~~~ZP_02573259~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLAGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~BcI~~~WP_063219966.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_063219966.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSLTSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALRQ ->ARGMiner~~~BcI~~~WP_048656321.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_048656321.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHTNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTLGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALK ->ARGMiner~~~arnA~~~WP_043000038.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_043000038.1~~~peptide~~~unknown MKAVVFAYHDIGCLGVQAVLDAGYDIAAIFTHADNPGENTFFGSVSRLAAEAGIPVYAPDDVNHPIWAERISQLAPDVIFSFYYRHLLSDAILEQAPAGAFNLHGSLLPKYRGRAPLNWVLVNGESETGVTLHRMVNRADAGAIIGQQRVAISPDDTALTLHHKLCQTARQVLEQALPAIKRRETRDVLQNESDATYFGRRTPEDSFLTWNRPATTLHNMVRAVAAPWPGAFSYSGTQKFTIWSSRVHSQPQTAQPGTVISVAPLLIACSEGALEVMTGQAGNGITMQGAQLAQVLGLVAGSRLNSQSLATSKRRTRVLILGVNGFIGNHLTERLLREDHYEIYGLDIGSDAIGRFLNHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKDGLRFTLFRPFNWMGPRLDSLNSARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENAGGRCDGEIINIGNPENEASIKELAEMLLESFDKHPLRHHFPPFAGLRVVESSSYYGKGYQDVEHRKPNIRNAKRCLDWEPTIDMRETVDETLDFFLRSVDLTEHSS ->ARGMiner~~~tolC~~~WP_024026170.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_024026170.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYAVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPVPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macA~~~WP_002874220.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002874220.1~~~macrolide~~~unknown MKKKVILIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIANKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLDYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLAPKMKLRF ->ARGMiner~~~hmrM~~~WP_028126119.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_028126119.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVIKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~mexY~~~WP_034009288.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_034009288.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMDLGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMALAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~mefA~~~WP_014313552.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_014313552.1~~~macrolide~~~unknown MKKQISKWKKDFFTILVGQAISLITSAILQMAIIFYLTQKTGSAMVLSIASLVGFLPYAVFGPVIGVLVDRYDRKKIMIGADAVVAAAGALLAINALYMELQVWMVMVVLFVRSIGTAFHSPALSAATPLLVPEKQLTKCAGYSQALQSISYILSPAAAAFLYSVWELNTIIAIDVMGAVIACITVALVRIPKLSAEQKSLDRNFMKEMKEGLGVLKENKGLFALLLIGTLYMFVYMPINALYPLVSMEYFAGTPVHVSVTEIAYACGMLAGGLILGLFGGFKKRIVLITTSIFMMGASLTISGLLPTSGFVIFVVCCVIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPVGLILSGFFADRIGVNNWFLLSGILIIGIAIICPLVGEVRKLD ->ARGMiner~~~macB~~~WP_006683876.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_006683876.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPPHKPSTGRDISEPTVKTVSGWSQFISGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPTKAKVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLSLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtP~~~WP_001775068.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001775068.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDKVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~TEM-1~~~ANG12333.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG12333.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYVELDLNSGKVLESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~bacA~~~ZP_04528247~~~peptide unknown +>ARGMiner~~~bacA~~~ZP_04528247~~~peptide~~~unknown MEFLEIIKAIILGIVEGITEWLPISSTGHMILVDQFLKLNVSKDFMDMFLVVIQLGAILAVIVLYWEKIFPFKFSNGIKIEKDTMIMWVKIVIACIPAAVIGLLFDDQLNELFYNPTTVAVMLILFGILFIVIENYNKGKRSKINSLSEITYNVAIMIGLFQLIAAVFPGTSRSGATIVGALLIGVSRTVAAEFTFFLAIPVMFGASALKLLKFGLATGFTMTGNELSILLVGMIVAFIVSILAIKFLMSYIKNNDFKAFGWYRIILGIIVIAYFYLIK ->ARGMiner~~~mdsC~~~EHB40525.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;phenicol;penem unknown +>ARGMiner~~~mdsC~~~EHB40525.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;phenicol;penem~~~unknown MISKHNDGNGIMKITFTGYRQTATLATLAFVTTLAGCTMAPKHERPASPTAMVYPYATSTVSGAPDAADIGWRDFFHDPLLQELIAIALRNNRDLRKAGLNVEAARALYRIQRAEMLPTLGIATAMDAGRTPADLSVTDEPEINRRYEMAGATTAWELDLWGRVRSLSDQALAAYMAFDETYIAARMSLVSEVASAWLTLRADRELLRLTEDTLAAQKSSYTLTTQLARTGNATQLDLRMAEIALRSAEINRAAYTRQLARDRNALELLLGQPLTPELSRRLNEAVTLTEGAIPTTLPGGLPSDLLVRRPDIRAAEYRLRGANARIGAARAAFFPTISLTGTAGTASASLSGLFEPGSGSWRFLPQITLPLFHGGALRADLDRAHVQKQIEIARYENVIQQAFRDVADGLAGQRTLNDQVQSEQRAVEASQIAYELAGLRFQEGVDDYLTLLDTHRMLYGAQQRLVRTRLMQQLNIINLYKALGGGWREYSEKKQG ->ARGMiner~~~BcI~~~WP_033696284.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_033696284.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNEIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKTLTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~norA~~~EZT68544.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~EZT68544.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKAT ->ARGMiner~~~mdtP~~~WP_001765296.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001765296.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~macB~~~WP_008499994.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_008499994.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSAMAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~L1 beta-lactamase~~~WP_005416706.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_005416706.1~~~cephalosporin~~~unknown MRRCLTTLALTATLAFDATAADTPLPQLQAYTVDPSWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMASHLISNMKVRDVAPQDLRLILLSHAHADHAGPVAELKRRTGAMVVANAESAVLLARGGSNDLHFGDSITFPPASTDRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLQGNARYPHLVEDYRRSFATVRGLPCDLLLTPHPGASNWNYAAGSKASDKALNCKAYADAAEKKFDAQLAKETAAAR ->ARGMiner~~~macB~~~WP_057104572.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_057104572.1~~~macrolide~~~unknown MTALLELSHIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPPQKPSGGRDIAEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANAVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~ykkC~~~WP_038428846.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~WP_038428846.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MKWGLVVLAAVFEVVWVIGLKHADSALTWSGTAIGIIFSFYLLMKATHSLPVGTVYAVFTGLGTVGTVLSEIVLFHEPVGWPKLLLIGVLLIGVIGLKLVTQDETEEKGGEA ->ARGMiner~~~CTX-M-3~~~AIC64464.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64464.1~~~cephalosporin~~~unknown MVKKSLRQFPLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~emrA~~~WP_064034812.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_064034812.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSKLEDQPAVQQAAAEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTVITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~tolC~~~CP000034.1.gene3205.p01~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CP000034.1.gene3205.p01~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLNNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLLEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~vanM~~~WP_025691785.1~~~glycopeptide unknown +>ARGMiner~~~vanM~~~WP_025691785.1~~~glycopeptide~~~unknown MYRLKIAILFGGCSEEHDVSVKSAKEIANNINTEKYEPIYIGITRSGVWKMCEKPCMDWDNENCRSAVLSPDKRMHGLLVMQDKGYQIQRIDAAFSVLHGKSGEDGAIQGLFELSGIPYVGCDIQSSAVCMDKSLAYIIAKNAGIATPEFWVINKDDRPAADAFTYPVFVKPARSGSSYGVKKVNGADELDAAIESARQYDSKILIEQAVLGCEVGCAVLGNSPELIVGEVDQIRLQHGIFRIHQEAEPEKGSENAVITIPADLSAEERGRVRETAKKIYKALGCRGLARVDMFLQDNGRIVLNEVNTLPGFTSYSRYPRMMVAAGITLPELINRLIVLALKG ->ARGMiner~~~BLA1~~~WP_060488855.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_060488855.1~~~penam~~~unknown MEQTCFLDGLLCVRIKTDVENLKGMMILKNKRMLKIGICVGILGLSLTSLEAFKGGPLQVEAKEKTGQVKHKHQATYKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRNSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNVLPVHKRNILTGWMKGNATGDKLIRAGVPTDWIVADKSGAGSYGTRNDIAIVCPPNRAPIIIAILSSKDEKEATYDNQLIAEAAEVIVKALR ->ARGMiner~~~tolC~~~WP_055044168.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_055044168.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSSLLPQIDLKAGYNVNRSDQDPRESDLFTAGISFSQELYQRSSWISLDTSEKKARQADSQYAAAQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENSLTNSYETLREITGQEYSKLAVLDTKRFAASRTTDSTEALIEKAQQQNLSLLSARISQDVARDNISLASSGHLPSLTLNGGYDYGNNSNDNAKGSSSEEYNDFKIGVNLSVPLYTGGNTTSQTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAVGTLSEQDIMDVNAGLKVAKK ->ARGMiner~~~mdtG~~~WP_032253606.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032253606.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLTQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~macB~~~WP_053273667.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053273667.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPVIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrB~~~WP_032750956.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032750956.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFAIASWACGMSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVVMTLQTLRNRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPYNPNAQQMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWFSAAIFILLLGLVWFARPPFSAGGGGSGAH ->ARGMiner~~~hmrM~~~WP_032256131.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032256131.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQDSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~tolC~~~WP_049268309.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049268309.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLMQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLSAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNAVEALRQVTGNYYPELASLNVDGFKTNKPQTVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLTASTGVSNTSYSGSKTHNSTQYNDNDAGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESSHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNTLGKPVSTSPDSVAPETPQQDANADGYSSNAAQAATPASTRTTKTSGANPFRQ ->ARGMiner~~~mdtM~~~WP_021542311.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_021542311.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRSGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~acrB~~~WP_052938991.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_052938991.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGAVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTSLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~rmtF~~~WP_063854886.1~~~aminoglycoside unknown +>ARGMiner~~~rmtF~~~WP_063854886.1~~~aminoglycoside~~~unknown MDERAQAALDALLSAKNLRDVCPETVRRVFMELLPRYRKPXDAEKXARTHLHQITGAFMTADAQKKARALLARWNEGDESALAAALSLHASTRERLPGADEWMRRVSPFLGADARVLDLACGLNPILLGSMGVTNALGMDIHLGCVRLVNETARARGWHTRARACDLLSEIPAEEADAALLMKLLPVLEAQKTGRAAELLASLRAPRLVVTFPTRTLGGRGVGMEKHYADWFERILPDTLSVRDRFTVSDELVYLVERT ->ARGMiner~~~FosB~~~WP_064472885.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_064472885.1~~~fosfomycin~~~unknown MVKGINHLCFSVSNLEKSITFYEKVLEGELLVKGRKLAYFNICGVWVALNEETHIPRNEIHQSYTHIAFSAEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHITFY ->ARGMiner~~~macB~~~WP_064572349.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064572349.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIVRALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGCALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Sed1 beta-lactamase~~~WP_042318533.1~~~cephalosporin;penam unknown +>ARGMiner~~~Sed1 beta-lactamase~~~WP_042318533.1~~~cephalosporin;penam~~~unknown MLKKRFHQTLLITAAFVFFASSSLLSARAQATPPQVQQKLAALEKQSGGRLGVALINTADRSQILYRADERFAMCSTSKTIVVAAVLKQSETQHDILQQKMTIKKTDLTNWNPVTEKQVNKEMTLAELSAATLQYSDNTAMNKLLEHLGGTGNVTAFARSIGDATFRLDRTEPELNTAIPGDERDTTSPLAMAKSLHKLTLGNALADMQRAQLVAWLKGNTTGGQSIRAGLPESWVVGDKTGGGDYGTTNDIAVIWPEDRAPLILVTYFTQPQQDAKGRKDILAAAAKIVTEGL ->ARGMiner~~~CRP~~~WP_000242754.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_000242754.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSAQMARRLQVTSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGSR ->ARGMiner~~~Escherichia coli emrE~~~WP_025237077.1~~~macrolide unknown +>ARGMiner~~~Escherichia coli emrE~~~WP_025237077.1~~~macrolide~~~unknown MNPYIYLGGAILAEVIGTTLMKFSEGFTRLWPSVGTIICYCASFWLLAQTLAYIPTGIAYAIWSGVGIVLISLLSWGIFGQRLDLPAIIGMMLICAGVLVINLFSRSATH ->ARGMiner~~~tolC~~~WP_032741002.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032741002.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQRQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGVSNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPIPTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~abeM~~~NC_011595.7060378.p01~~~fluoroquinolone;acridinedye;triclosan unknown +>ARGMiner~~~abeM~~~NC_011595.7060378.p01~~~fluoroquinolone;acridinedye;triclosan~~~unknown MLPILITQFAQAGFGLIDTIMAGHLSAADLAAIAVGVGLWIPVMLLFSGIMIATTPLVAEAKGARNTEQIPVIVRQSLWVAVILGVLAMLILQLMPFFLHVFGVPESLQPKASLFLHAIGLGMPAVTMYAALRGYSEALGHPRPVTVISLLALLVLIPLNMIFMYGLGPIPALGSAGCGFATSILQWLMLITLAGYIYKASAYRNTSIFSRFDKINLTWVKRILQLGLPIGLAVFFEVSIFSTGALVLSPLGEVFIAAHQVAISVTSVLFMIPLSLAIALTIRVGTYYGEKNWASMYQVQKIGLSTAVFFALLTMSFIALGREQIVSVYTQDINVVPVAMYLLWFAMAYQLMDALQVSAAGCLRGMQDTQAPMWITLMAYWVIAFPIGLYLARYTDWGVAGVWLGLIIGLSIACVLLLSRLYLNTKRLSQT ->ARGMiner~~~tolC~~~EFC54050.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EFC54050.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLTGFSSMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYGNGYRDNNGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLALLQARLSQDLAREQIRQAQDGHLPTLSLSASTSVSDTTYSGSKTNTQAYDDKNIGQNQVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLLALNNALGKPVSTSPESVAPENPQQDAAVDNFNGNGNAPVAQPASARTTAPAGNGNNPFRQ ->ARGMiner~~~y56 beta-lactamase~~~WP_050140630.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050140630.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITLPLTHFALPAWATPPESLDKQLAELERKSNGLLGIAMINTANGRKIQYRGTQRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHIKYHESDLLSYAPITRKNLAQGMSVSELCAATIQYSDNTAANLLIKELGGIAAVNEFARSIGDHTFRLDRLEPDLNTALPNDPRDTTTPAAMAASLNKLVLGDALPAAQREQLAIWLKGNTTGGETICAGAPADWIVGDKTGGGDYGTTNDIAVLWPPTGAPIILVIYFTQREKDAKPRRDVLAEATKMVLSHLS ->ARGMiner~~~emrB~~~WP_001634621.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001634621.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGAIGTSISTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~adeG~~~WP_031401782.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_031401782.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVNANAPDKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAETDRAAAQLAAAQARNGYAQTDWQRAQRLIGDNAIAKRDYDEKQNAAREATANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARNGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGTLVPGLYARVKVGGSAPHEALLVDDAAINTDQDKKFVFVVDAQGRVSYREVQQGMQHGNRRVIVSGLSAGDRVVVNGTQRVRPGEQVKPHMVPMTGGDAPSEPLASNAKPAASAKADS ->ARGMiner~~~lmrB~~~WP_014112537.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~WP_014112537.1~~~lincosamide~~~unknown METAAKASQQYKVMPIMISLLLAGFIGMFSETALNIALTDLMKELNITAATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFTVSLIFSILGTLIAALAPSFPFLLAARVVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALVFGMAYMQNVSDITKPKIDVLSIILSTIGFGGIVFGFSNAGEGSGGWSSPTVIVSLIVGVVGLILFSIRQLTMKQPMMNLRAFKYPMFILGVIMVFICMMVILSSMLLLPMYLQGGLVLTAFASGLILLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVIVTVVLWLFSNITTTSTAVLIIILHTCLMIGISMIMMPAQTNGLNQLPREFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQHDYMSTVKNPADPAVIPQALTAGVQHAFVFAMIVAVIGLIGAFFLKRVKVDH ->ARGMiner~~~tolC~~~WP_023226568.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023226568.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAINALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~norA~~~WP_061653345.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061653345.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHCMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFAVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~BLA1~~~WP_041184610.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_041184610.1~~~penam~~~unknown MILKNKRMLKIGVCVGILGLSITSLHAFKGEILQVEAKEKTGKVKHKNQATHKEFSQLEKKFDAQLGVYAIDTGTNETIAYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVDYSPITEKHIDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKDFTVGNALPDDKRKVLTDWMKGNATGDKLIRAGVPTDWEVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVVVNAFK ->ARGMiner~~~mdtN~~~WP_025210113.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_025210113.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALIALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~TEM-1~~~ANG14470.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG14470.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVVLIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLVDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macA~~~WP_050893292.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050893292.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEMTAAEQQESSERAMGGPPRR ->ARGMiner~~~macA~~~WP_003709503.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_003709503.1~~~macrolide~~~unknown MVIFIVGSLPPWMGQGLAVESASDLWDWRIQRIENMAKMMKWAVVAAVAAAAVWGGWSYLKPEPQASYITETVRRGDIIRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAIPVEEGQTVNAAQSAPTIIQLANLDMMLNKMQIAEGDITKVKAGQDISFMILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEMTAAEQQESSERAMGGPPR ->ARGMiner~~~sdiA~~~EUL94571.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~EUL94571.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLYVLGYIHHNNDYLYQRLHSVSMRDIDFFTWRRECFLRYQEMTCAEEVYQELQRQTQALEFDYYALCVRHPVPFTRPRTSVHSSYPQQWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFTDAQQLWDGARDHGLRKGITQCLMMPNHALGFLSVSCTSLQAHSISSEEVELRLQMLVQMALTTLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKIQIACYAAATGLI ->ARGMiner~~~mdtH~~~WP_032688377.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032688377.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAVADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSVGAALFVACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPTAVKWMYAIEAAISLTLLYPIARWSEQRFRLEHRLMAGLLVMTIAMLPIGLTSNLQQLFTLICIFYIGSIVAEPARETLGASLADARARGSYMGFSRLGLAFGGAFGYAGGGWLFDAGKALNQPELPWLMLGIIGLFTFLALWWQFSQKRSTSGMLEPRT ->ARGMiner~~~acrE~~~WP_023339319.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_023339319.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNQQPHAQAPQVTVHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSPYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGVDPQAILVPQQGVTRTPRGDASVMLVNDKNQVETREVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEAERAAPAVQ ->ARGMiner~~~BLA1~~~WP_042514223.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_042514223.1~~~penam~~~unknown MIVLKNKKMLKIGMCVGILGLSIASLVTFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTAGNALPNHKRNILTKWMKGNATGDKLIRAGVPTNWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~emrA~~~AAN81690.1:AE016765_92~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~AAN81690.1:AE016765_92~~~fluoroquinolone~~~unknown MENNMSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~bacA~~~ZP_03064089~~~peptide unknown +>ARGMiner~~~bacA~~~ZP_03064089~~~peptide~~~unknown MMSDMHSLLIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQHEGESKGRLTLIHILLGMIPAVVLGLLFHDTIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATALDLYKSWGFLTTGDIPMFAVGFITAFVVALIAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~amrA~~~WP_038759906.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_038759906.1~~~aminoglycoside~~~unknown MKYEWARTRRLSAALAVAAFVAAGCGKHESEHDAAAPREASVVTVKKTSVPLSVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKARAAHLAALDKRRRYDELVRDRAVSERDHTEALADERQAKAAVASARAELARAQLQLDYATVTAPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVESLRRAVKSGRAAGIAQQDVEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAVARDAILVPRDALLRTADSATVKVVGQNGKIRDVTVEAAQMKGRDWIVTRGLAGGERVVVVDAAQFEAGTTVKALERGGAAQPASGAAAASAPGRRST ->ARGMiner~~~TEM-206~~~ANG23675.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-206~~~ANG23675.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVTLIPFFAAFCLPAFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macA~~~CDL42177.1~~~macrolide unknown +>ARGMiner~~~macA~~~CDL42177.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPSYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQRARRAKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~norA~~~WP_033855948.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_033855948.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPVITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~macB~~~WP_040061784.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_040061784.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFIPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_032936216.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032936216.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLSNARARGSYMGFSRLGLAIGGAIGYVGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSLKRPTRGMLEPDA ->ARGMiner~~~bcrA~~~WP_002191762.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_002191762.1~~~peptide~~~unknown MPPINTIIKTTNLTKVYRNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGNVEIFGEDLLRNPKDILRRIGSIVEVPGFYENLTARENLLINAKIIGVHKRNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITILISSHILAEVEQLVDRMGIIHEGRLLEEVSLDTLRKANRKYIEFQVNNDNKAAMLLENHFQIFDYEVHDEGNIRVYSHFGQQGHINRTLVRNDIEVLKIVMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~macA~~~WP_005036876.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_005036876.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIVIIAVLAWKFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEFRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTRSTAQTNIGYTRILAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGEDTKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKASSTPEAAKKSQGNGARLERLNLTAEQKQLVEQGKLTLSVVRILQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~norA~~~WP_001613341.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001613341.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLLIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~lmrB~~~CCF03773.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~CCF03773.1~~~lincosamide~~~unknown MENAQPSKQYKVMPIMISLLTAGFIGMFSETALNIALTDLMKELHITPATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFIVSLAFSIIGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALLFGIAYMQNVSDITKPKIDVLSIILSTIGFGGIVFGFSNAGEGSDGWSSPTVIGSLTVGAIALILFSIRQLTMKQPMMNLRAFRYPMFVLGVVIVFICMMVILSTMLLLPMYLQSGLMLTAFTSGLILLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVITATVLSFFSNINGASTALLIVALHTCLMIGISMIMMPAQTNGLNQLPPEFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQKNYMSTAKNPNDPSAYSHALIAGVQHAFIFAMIVAVIGLISAFFMKRVKVNHS ->ARGMiner~~~Escherichia coli mdfA~~~SBX86682.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~SBX86682.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MQNYSLSGRRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVQEFQVGNEWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLTGVVWFIVTCLATLLAQTIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEMMFVLFAVLAAISFFGLQRAMPETATRLGEKLSVKELGRDYQLVLKNLRFVAGALATGFVSLPLLAWIAQSPVIIISGEQATSYEYGMLQVPIFGALIAGNLVLARLTARRTVRSLIIMGGWPIMFGLILSAAATVVSSHAYLWMTAGLSFYAFGIGLANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIELSKHAYELGGNGLFSLFNLLGGVLWLGLMIYFLKDKSVGNSQQG ->ARGMiner~~~mdtF~~~WP_000024856.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_000024856.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVNKSSSNILMVAAFISDNGSLNQYDIADYVASNLQDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYSLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARIELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKAELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNIRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKATPEGGHKPNALFERFNHLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGSTMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMVALSSINKAVIFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTAVRPNGLEDTPMFKVNVNAAKAEAMGVELSDINQTLSTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMAELVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVIGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~Escherichia coli mdfA~~~WP_003653752.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~WP_003653752.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MKNIQTTTLNRTTLMFPLALVLFEFAVYIGNDLIQPAMLAITEDFGVSATWAPSSMSFYLLGGASVAWLLGPLSDRLGRKKVLLAGVLFFALCCFLILLTRQMEHFLTLRFLQGIGLSVISAVGYAAIQESFAERDAIKVMALMANISLLAPLLGPVLGAFLIDYVSWHWGFVAIAVLALLSWVGLKKQMPNQQVSVTKQPFSYLFDDFKKVFSNHRFLGLSLALPLVGMPLMLWIALSPIILVDELKLTSVQYGLAQFPVFLGLIIGNIVLIKIIDRLSLGKTVMIGLPIMLIGTLILILGVVWQTYLIPCLLTGMTLICFGEGISFSVLYRFALMSSEVSKGTVAAAVSMLLMMSFFAMIELVRYLYTQFHLWAFALSAFTFIALWFTQPRNVLKQEMQERKLQGND ->ARGMiner~~~macA~~~WP_000746452.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746452.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKNNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macB~~~WP_047080664.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047080664.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGEVDVLKGITLSIAAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGEELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRIRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSPEKGGVLRAESRAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_064159164.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_064159164.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPNYQTLVVRKGELQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~MexE~~~WP_033991001.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_033991001.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVGFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQTGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~amrA~~~WP_027809926.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_027809926.1~~~aminoglycoside~~~unknown MNNKRTLWRRMRLAPFALAALLAVAGCGKGDKDAAPETAKQATVVTVRPTAVPMTVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKQGAVLFRIDPAPLKAARDAAQGALAKAQAAALAATDKRRRYDDLVRDRAVSERDHTEAVAADTQAKAEVVSAKAELARVQLQLDYATVTAPIAGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVDALRRAVKSGRATGIAQHDVAVTLLRADGTAYPLKGKLLFSDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDTAVDQRAILVPRDALLRTADRTSVRVVGANGKVKDVEVTADQMSGRDWRITRGLAGGERVIVDAAAQFAPDTAVKPVEKAPPSKAAPVAAASQAAARQT ->ARGMiner~~~mecA~~~WP_033857946.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_033857946.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLEKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKIWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~macB~~~WP_064150402.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064150402.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLARLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPSASRQGGGLRARQQEEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_000735273.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735273.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQACLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~MexB~~~WP_038358219.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_038358219.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNKYNLTPADISSAISAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNQDGSQVRVGDVADVGLGGENSTISAQFNGKPSSGLAVKLANGANALDTAKALRKTIDELKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKKGFFGWFNRNFDRGVRSYERGVGNMLSRKAPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVIDEMRSYLLEKESGAVASVFTVNGFNFAGRGQSSGLAFIMLKPWDERDASNSVFALAQRAQQHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGLAAQSKVLYQVRPNGLNDEPQYHLEIDDQKAQALGVSIADINSTLSISFGSSYVNDFIDRGRVKKVYVQGQAGARMSPEDLKKWYVRNSAGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGSPAPGYSTGDAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALLATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSIGQRKIADEDEATETPKEAGQ ->ARGMiner~~~OpmH~~~WP_027228387.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~WP_027228387.1~~~triclosan~~~unknown MRKSLLCWILTLGVSTHVFATDLMDIYQQALENDTIFKEAYDTYMSSTEAIPQARAALYPQVGLGSQAGRNYQDAVAGAFSANQYYGSYLWQVNASQALFNYQAWAKVSQAKASVKAAQASFNDAAQNLILRTAKAYFDVLFAKDTLDFAEAKKRANKRQYDQATQRFQVGLDAITSVYEAKAAYDQSIATVIAARNNQINQSENLRKLTNHVYETLAPLKDSKIPLVKPEPNDVNQWIDTGLKQNYKLYAAKYNLEVAKDNVKAISAGNWPVFSLQSNASQVHNNASGNTVFIPSKQTQANIAIAMNFPVFQGGLVQAQTRQAQYNFQSTSEKLEQTYRDVIVNSRIAFNTITDGISKVKADRQTVISVQNSLQSTEAQFEVGTRTMVDVVNAQQRLFEAQEQLARDQYDLINSILTLKYLAGTLNVNDLEQINSWLATTRVNGFSPVDNKTSK ->ARGMiner~~~lsaB~~~WP_025147673.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_025147673.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYPVSDKNNFTHEILEEICPQAEDWEFLREISYLNVDAGVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKMVSDYLRKKKGFILISHDRIFLDGCVDHILSINRSNIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSAGWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKSESLKLEALKFKSNELVTLADVSVKYDDQFVNEPISFIVEQGDRIVLDGKNGSGKSSILKLILGHPIQHTGSFTLGTGLIISYVQQDTSHLKGSLSDFVEEHKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTIPM ->ARGMiner~~~TEM-207~~~ANG30132.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG30132.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVVLIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGVIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macB~~~WP_039520502.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_039520502.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAVQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_000188163.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188163.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKAISGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_002906142.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002906142.1~~~macrolide~~~unknown MKKKVILIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIANKQYQREQKLYAAKASSLENLETQKNNYYTLKANIAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVPNKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLAPKMKLRF ->ARGMiner~~~mdtH~~~WP_046274763.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_046274763.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGTIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSLKRPTRGMLEPDA ->ARGMiner~~~Escherichia coli ampC~~~WP_015674815.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_015674815.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTTAWQILNTLQ ->ARGMiner~~~macB~~~WP_059334195.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059334195.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQELKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_061387297.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_061387297.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFCPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~arnA~~~WP_001641971.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001641971.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGGNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mexY~~~WP_033974497.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_033974497.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNRMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYTLVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~y56 beta-lactamase~~~WP_050334115.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050334115.1~~~cephalosporin;penam~~~unknown MKHSSLRRALLLAGITLPLVNFSLPTWAAAISGSLDKQLAALEHSANGRLGIAMINTGNCTKILYRGARRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLLKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATKIILSQIS ->ARGMiner~~~lsaB~~~WP_000061791.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061791.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYGGKILASVEFNYFPYSVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVIYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELITLVDVSVKYDDQIVNDSISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVILSTGLIISYVQQDTSHLRGSLSDFIEQHKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~macA~~~WP_033910180.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_033910180.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQASYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSMDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~MexB~~~WP_034146724.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_034146724.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNADGTMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRIWLDPAKLNNFNLTPIDVKTAIAAQNVQVSSGQLGGLPALPGTQLNATIIGKTRLQTAEQFEKILLKVNKDGSQVRLKDVASVGLGGENYSINAQFNGAPASGLAVKLATGANALDTAKALRTTIDSLKPFFPQGMEVVFPYDTTPVVTESIKGVVHTLVEAVGLVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHHTPKRGFFGWFNRTFDRGVKNYERGVGSMLTHKAPYLLAYLIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTSQRTQVVVDEMREYLLRSGKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLKPWGDRNADNSVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKILTQVRPNGLNDEPQYQLEIDDEKASALGITIADINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMSPEDLQKWYVRNSVGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSAMGQRKNVDQEDATETPKEAG ->ARGMiner~~~novA~~~WP_052871170.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_052871170.1~~~aminocoumarin~~~unknown MPHDEPKWIPSKDPLDPTRPAPAEQPRELRRIVGLFRPYRGRLAVVGLLVGASSLVGVASPFMLREILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTFISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIASVVAMLALDWRLTLVSLLLLPVFVWISRRVGRERKRITTKRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTSAFSAESEKLVGLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQTGTPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERPDPVRLDRAKGEVTLEDVHFTYDAKNGPTLSGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVALDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEITDAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDNLSAGRTTITIAHRLSTVRDADQIVVLDAGRIAERGTHEELLKADGRYAALVRRDRDAALAPEPPEGLQLAPVNV ->ARGMiner~~~norA~~~WP_029753517.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_029753517.1~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGVLAFIMSIVLIHDPKKVSTNGFQKLEPQLLTKINWKVFITPAILTLVLAFGLFAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQDM ->ARGMiner~~~mdtP~~~WP_024249753.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_024249753.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAETAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~macA~~~WP_021547424.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_021547424.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVKATLMELRAQRQQAEAELKLARVTYSRQQRLAQTKAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~MexF~~~WP_058886834.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_058886834.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSVNTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASILAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQDARKAARAQRLQNLPAEMH ->ARGMiner~~~lmrB~~~YP_001419928~~~lincosamide unknown +>ARGMiner~~~lmrB~~~YP_001419928~~~lincosamide~~~unknown MENAQPSKQYKVMPIMISLLTAGFIGMFSETALNIALTDLMKELHITPATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFIVSLAFSIIGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALLFGIAYMQNVSDITKPKIDVLSIILSTIGFGGIVFGFSNAGEGSDGWSSPTVIGSLTVGAIALILFSIRQLTMKQPMMNLRAFRYPMFVLGVVIVFICMMVILSTMLLLPMYLQSGLMLTAFTSGLILLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVITATALSFFSNINGASTALLIVALHTCLMIGISMIMMPAQTNGLNQLPPEFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQKNYMSTVKNPNDPSAYSHALIAGVQHAFIFAMIVAVIGLISAFFMKRVKVNHS ->ARGMiner~~~mecI~~~WP_000369215.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_000369215.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEESRNILNKK ->ARGMiner~~~macB~~~WP_053890508.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053890508.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAIGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~acrB~~~WP_001132462.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132462.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKKNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~mdtH~~~WP_041144953.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_041144953.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAVADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSVGAALFVACAGFNAWYLPAWKLSTVKTPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEAAISLTLLYPIARWSEKRYRLEHRLMAGLLVMTLAMLPIGLTSNLQQLFTLICIFYMGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGAFGYAGGGWLFDAGKAVNQPELPWLMLGIIGFITFIALWWQFSARRSASGMLEPRT ->ARGMiner~~~tolC~~~WP_023582764.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023582764.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLSLLVTMSLAGFSTASQAEDLLQVYQKAKDSNPELRKSLAERNQAFEKINEARGSLLPQLGLGASADYKSGYRDARDTESNSIGASLTLTQSVFNMALWRQLNMQEKTAGMSDVTYQTSQQKLILDTATAYFDVLRAIDSLSFIEAQKEQVYRQLDQTTQRFNVGLVAITDVQNARANYDSVLAQEVAGRNQLDNALEKLRQVSGIYYINLASLNIGRFSTTSPDSIEKLLKDAEERNLSLLSARLGQDLARENIRLAQSGHLPTVDLNASTGVSNSHSHGSALPPATAGNSRNSYSGQNSIGLSVSIPLYTGGKTSSQVEQAQYGFTSASEQLESVYRSIVQIARSSYNNISASISSIKAYQQVVVSAQSSLDATEAGYQVGTRTIVDVLNATTTLYDAKQKLSNARYDYLINQLNIEYARGTLNENDLIKLNNTLGEEVSTSPDSIIRPLTSPALNVAP ->ARGMiner~~~floR~~~WP_062896568.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_062896568.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTYFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNSDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~FosB3~~~WP_019469435.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_019469435.1~~~fosfomycin~~~unknown MIQSINHVTYSVSDIKQSIVFYKDILKANILVESDKTAYFALGGLWLALNEEKDIPRNEIQYSYTHIAFTIDENTFDEWYQWLKDNNVNILEGRNRDIRDKKSIYFTDPDGHKLELHTGTLQDRLDYYKEEKPHMKFYI ->ARGMiner~~~macB~~~WP_001482667.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001482667.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAGISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_001646908.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001646908.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKAPSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~BcI~~~WP_002168355.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002168355.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGVQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKDDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNKLIADAAEVIVKALK ->ARGMiner~~~mdtM~~~WP_023182561.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_023182561.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRILQFFSQRATTLFFPMALIVYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRHVFRNPVFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLILGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~L1 beta-lactamase~~~WP_049439863.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049439863.1~~~cephalosporin~~~unknown MRLCLTTLALTTLALDVTAAEAPLPQLRAYTVDASWLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAMLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYELKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASAKALTCNAYADAAEKKFDVQLAKETATAR ->ARGMiner~~~macB~~~WP_053510027.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053510027.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGQDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQDVEIPAVYAGIECKKRQARARELLQRLGLSDRVDYHPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPLVAAQAERVIEIHDGKIVHNPLAQEKKREQGVTTAAVNMASGWRQFASSFREALAMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQVLKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFSEGNTFNTVQQRDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAKEKQSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVNSDLAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYILQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISVSMLIAFMLQFFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_024250139.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_024250139.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASHDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtH~~~WP_023210727.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023210727.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGSWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macB~~~WP_061077002.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061077002.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEEEVEVLKGITLQINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDRDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSRSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIHDGEIVRNPPAKRAAQGQGIQEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAIQKQPWVTSATPAVSQNLRLRYGNTDVAASANGVSGDYFNVYGMTFSEGTTFNREQLNGRAQVVVLDSNTRRQLFPHKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTFTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrA~~~WP_023184522.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_023184522.1~~~fluoroquinolone~~~unknown MSANAEIQPPQQSAKKKGKRKTALLLLTLLFIIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQANIDVRKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~sdiA~~~WP_057514648.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_057514648.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYVAATGLI ->ARGMiner~~~vanRO~~~WP_056683930.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_056683930.1~~~glycopeptide~~~unknown MRVLIVEDEPYLAEAIRDGLRLEAIASDIAGDGDTALELLGLNAYDIAVLDRDIPGPSGDEVAQRVVASGSGMPILMLTAADRLDDKASGFELGADDYLTKPFELRELVLRLRALDRRRAHHRPPVREIAGLRVDPFRREVYRDDRYVALTRKQFAVLEVLVAAEGGVVSAEDLLERAWDENADPFTNAVRITVSALRKRLGEPWIIATVPGVGYRIDTGDGSE ->ARGMiner~~~lsaB~~~WP_017763486.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_017763486.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYSVSDRNKFTHEILEEICPQAADWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNAGQFLLIDEPTNHLDTDARKIVSNYLRKKKGFILISHDRIFLDGCVDHILSINRANIEIQSGNYSSWKLNFDRQQEHEKATNERLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELVTLVDVSVKYNDQIVNEPISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVTLGTGLIISYVQQDTSHLKGSLSDFIEEHKIDETLFKSILSKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~macA~~~WP_002842767.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002842767.1~~~macrolide~~~unknown MKNRVVLIVVILALFGVGAYFIFFNDNEKITYLTQKVKKIDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGSHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNFYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDQMEVRMEIAEADVGKIKIGTELDFSLLSDPQKTYQASIASIDPADTQISDSSTSSGSSSSSSSSSSSNNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKDVIAVPTYAIKNDAKGYYVEILQDQKAVKKYVKLGIKDSVNTQILEGLSEGELLVVSSSGDSAAPKLRLRF ->ARGMiner~~~mdtB~~~WP_032180947.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_032180947.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALLIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPREAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGTELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~adeA~~~WP_043946082.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~WP_043946082.1~~~glycylcycline;tetracycline~~~unknown MKIERLRRDGGVHALLVAMLAGCGPSEPQSAPPAPQVATTTVAPTHLELTEDLPGRVAAVRVAEIRPQVSGIVLRRLFEQGTEVRAGQPLFQINPAPFRADADTAAAALRRAEAALARARVQTTRLQPLVEADAVSRQVYDDAVSQRDQAAADVEQARATLARRQLDLKFATVEAPIPGRIDQALVTEGALVNSGDSNPMARIQQIDQVYVDVRRPASSLEALRQTLATQTAGAGNGLPVAVLRGNGEPYEAKGRILFSGISVDAGTGDVLLRVLVNNPQRQLLPGMFARARVPHVRYTDALTVPQQAVVRVGGQPQVWMLDANGHARLKPVELGELVDRRYRIRTGLSAGQKIVVEGMERLSDGAAVTAHDWKSPEPVLAGPAH ->ARGMiner~~~MexF~~~WP_054078943.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_054078943.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGIAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARAQRLQNLPAEMH ->ARGMiner~~~mgrA~~~WP_014614573.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_014614573.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDHLNLKEQVCFSLYNAQRQVNRYYSNKIFKKYKLTYPQFLVLEILWDQSPVNVKKVVTDLALDTGTVSPLLKRMEQIDLIKRERSEIDQREVFVHLTEKSKAMEPELANASQQVAEASSLTPDEVNELTRLLEKVITAFDESK ->ARGMiner~~~tolC~~~WP_042311027.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_042311027.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQSIFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLDLTASTGVSDTSYNGSKTNNNAQYDDSNMGQNKIGLTFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTIAPQNAQQDAAADGYTSNSTTPAAQPTAARTTSSNGTNPFRN ->ARGMiner~~~mdtH~~~WP_021535008.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_021535008.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRRGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~amrA~~~WP_006499093.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_006499093.1~~~aminoglycoside~~~unknown MNNKRTLWRRMRLAPFALAALLAVAGCGKGDKDAAPETAKQATVVTVRPTAVPMTVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKQGAVLFRIDPAPLKAARDAAQGALAKAQAAALAATDKRRRYDDLVRDRAVSERDHTEAVAADTQAKAEVASAKAELARAQLQLDYATVTAPIAGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVDALRRAVKSGRATGIAQHDIAVTLLRADGTAYPLKGKLLFSDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDTAVDRRAILVPRDALLRTADRTSVRVVGANGKVKDVEVTADQMSGHDWRITRGLAGGERVNVDDAAQFAPDTAVKPVEKAPPSKAAPPAAASQAAARQT ->ARGMiner~~~lsaA~~~WP_010825481.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010825481.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFTPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~mdtH~~~WP_004910648.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_004910648.1~~~fluoroquinolone~~~unknown MAQVSRARSLGKYFLLLDNMLVVLGFFVVFPLISIRFVDQLGWAAVVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVIGMLLRASGFAVMAVAHDPWVLWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLQYDFHYVCWVGAAVFIIAAICNAWLLPAYRISTVRTPIKEGMGRVLKDKRFVTYVVTLAGYFMLSVQVMLMFPIVVNELAGTPTAVKWMYAIEAAISLTLLYPIARWSEKHFRLEQRLMAGLFLMSLSMFPIGMTTSLNTLFALICLFYLGTVTADPARETLSASLADPRARGSYMGFSRLGLALGGAVGYTGGGWMYDIGHQWNLPQLPWFLLGLIGFITLWALHKQFNRKKIETVMLSGQ ->ARGMiner~~~emrB~~~WP_023280446.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_023280446.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDANPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~msbA~~~WP_049308415.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_049308415.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGCLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEDPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~mdtH~~~WP_063849338.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063849338.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHDPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYLIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~bcr-1~~~WP_021560805.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_021560805.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLNFPWQSLFWTMAIMGVAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPLAAHEEIHHHA ->ARGMiner~~~tolC~~~WP_048943267.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048943267.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYREANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~MexA~~~WP_058355529.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_058355529.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANSAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTSLLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~sdiA~~~WP_042318313.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_042318313.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDLDFFTWRRTMLLRFHEMTSAEDVYSELQQQTQLLEFDYYALCVRHPVPFTRPKISLHTTYPDAWVSQYQAENYFAIDPVLKPENFIQGHLPWSDALFSDAQTMWDAARAHGLRKGVTQCLMLPNRALGFLSVSRSSLRNTPFAGDEVELKLQLLVRESLTVLSRLEDEMVMAPEMRFSKREKEILKWTAEGKTSSEIAMILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~bcrA~~~WP_002113463.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_002113463.1~~~peptide~~~unknown MPPINTIIKTTNLTKVYGTQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGGDLLRNQKDILRRIGSIVEVPGFYENLTARENLLINAKIIGVHKRNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITILISSHILAEVEQLVDRMGIIHEGRLLEEVSLDTLRKANRKYIEFQVNNDNKAAMLLENHFQIFDYEVHDEGNIRVYSHFGQQGYINRTFVRNDIEVLKIVMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~BcI~~~WP_044440849.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_044440849.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKVGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKDDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFETELNEAIPGDIRDTSTAKAIATNLKDFTVGNALPADKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNKLIADAAEVVINALK ->ARGMiner~~~MexB~~~WP_063492322.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_063492322.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MAKFFIDRPVFAWVIAIVLMMAGALSILSLPVAQYPAIAPPAIAISVSYPGASAQTVQDTVVQVIEQQMNGIDNLEYISSESNSDGSMTITLTFAQGTDPDTAQVQVQNKLALAQPLLPQEVQQQGIRVTKATKNFLIVAGFVSSDGSLDKSDLADYVASYVQDPISRTPGVGDFQLFGAPYAMRIWLSPEKLINYSLSASDVSAAIREQNVQVSSGQLGGLPAKRGQQLNATIIGPSRLQTPEAFGNILLKVNPDGSQVRLKDVATVELGAENYAIDSYYNGKPATGLAIKLASGANALDTAKAVRATIDGLKPFFPQGMEVVYPYDTTPFVSLSIESVIQTLFEAILLVFLVMYLFLQNVRATLIPTLAVPVVLLGTFGVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMVEEGLSPKAATRKSMSQITGALVGIAMVLSAVFVPMAFFGGSTGVIYRQFSITIVSSMVLSVVVAVVFTPALCATMLKPVPKGHHATRKGFFGWFNRMFDRSTDGYVRVVEKTMTRGKRLLVVYLALILAMGWMFARIPTAFLPGEDQGILFAQVMAPAGATAERTQQVVDQAVDHLLSEEKDAVASVFAVTGFSFGGRGQNSAILFVKLRDWKERKSAELQAEALVRRANTYFAGHVRDAQIFVFTPPPVMELGNASGFDFMLQDRAGLGHEALLAARNQLLAEAMQSPMLVGVRPNGVEDAPQYQLDIDREKARALGVSIAEINTTLATAWGSSYVNDFIDRGRVKKVFVQGEPASRMLPEDLEKWYVRNNAGDMVPFSAFATAHWTHGPQKLNRYNGVPAYNIQGQAAHGYSSGDAMKEMERIAAKLPTGIGFEWTGMSFEERMSDAQAPALYAISLIVVFLCLAALYESWSVPTAVMLVVPLGVIGALGATLLRDLSNDVYFQVGLLTTVGLAAKNAILIVEFAKAHFEQGGSLAEAAVHAARQRLRPILMTSMAFVLGVLPLAISTGAGSASRHAIGTSVIGGMLSGTFLAIFFVPFFFVLVLRLFRVNRQSDMQEAESGDNPGAAQ ->ARGMiner~~~msbA~~~WP_017431649.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_017431649.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKIANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macA~~~WP_008499995.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_008499995.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISQQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISESLPGAAK ->ARGMiner~~~dfrA15~~~WP_039063662.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~WP_039063662.1~~~diaminopyrimidine~~~unknown MKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISRIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~macA~~~WP_002219699.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002219699.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNSDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~MexF~~~WP_031636098.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_031636098.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSATSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYAEIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITMARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~L1 beta-lactamase~~~WP_032129468.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_032129468.1~~~cephalosporin~~~unknown MRFSPLAFALVTALPIAHASGAETPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTQDLTALLVQTADGAVLLDGGMPQMAGHLISNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRTGAKVAANAESAVLLARGGSDDLHFGDGITYPPANADRIVMDGEVVEVGGIEFTAHFMPGHTPGSTAWTWTDTREGKPLRIAYADSLSAPGYQLQGNARYPRLVEDYKRSFATVRALPCDVLLTPHPGASNWDYAAGARAGAKALTCKAYADAAEQKFDAQLAKETARAR ->ARGMiner~~~mdtA~~~WP_023181959.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~WP_023181959.1~~~aminocoumarin~~~unknown MKGSNTFRWAIAIGVVVAAAAFWFWHSRSESPTAAPGVAAQAPHTAAAGRRGMRDGPLAPVQAATATTQAVPRYLSGLGTVTAANTVTVRSRVDGQLIALHFQEGQQVNAGDLLAQIDPSQFKVALAQAQGQLAKDNATLANARRDLARYQQLAKTNLVSRQELDAQQALVNETQGTIKADEANVASAQLQLDWSRITAPVSGRVGLKQVDVGNQISSSDTAGIVVITQTHPIDLIFTLPESDIATVVQAQKAGKTLVVEAWDRTNSHKLSEGVLLSLDNQIDPTTGTIKIKARFTNQDDTLFPNQFVNARMLVDTEQNAVVVPAAAVQMGNEGHFVWVLNDENNVSKKRVKIGIQNNQNVVISAGLSAGDRVVTDGIDRLTEGAKVEVVEPQTTVADEKSPSRHEGQKGARA ->ARGMiner~~~mdtB~~~WP_023202781.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_023202781.1~~~aminocoumarin~~~unknown MQVLPPGSTGGPSRLFILRPVATTLLMAAILLAGIIGYRFLPVAALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVVTLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPIYSKVNPADPPIMTLAVTSNAMPMTQVEDMVETRVAQKISQVSGVGLVTLAGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPERAVTLSANDQMQSADEYRKLIIAYQNGAPVRLGDVATVEQGAENSWLGAWANQAPAIVMNVQRQPGANIIATADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVRDTQFELMLAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAVTLAVAILISAVVSLTLTPMMCARMLSQQSLRKQNRFSRACERMFDRVIASYGRGLAKVLNHPWLTLSVAFATLLLSVMLWIVIPKGFFPVQDNGIIQGTLQAPQSSSYASMAQRQRQVAERILQDPAVQSLTTFVGVDGANPTLNSARLQINLKPLDARDDRVQQVLSRLQTAVATIPGVALYLQPTQDLTIDTQVSRTQYQFTLQATTLDALSHWVPKLQNALQSLPQLSEVSSDWQDRGLAAWVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTASTPGLAALETIRLTSRDGGTVPLSAIARIEQRFAPLSINHLDQFPVTTFSFNVPEGYSLGDAVQAILDTEKTLALPADITTQFQGSTLAFQAALGSTVWLIVAAVVAMYIVLGVLYESFIHPITILSTLPTAGVGALLALIIAGSELDIIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIFQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIAMVGGLLVSQVLTLFTTPVIYLLFDRLSLYVKSRFPRHKEEA ->ARGMiner~~~FosA2~~~WP_032679775.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_032679775.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTIATEDFEPFSHKLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~mdtH~~~WP_047024049.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047024049.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGALLFILCALFNALFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALSQPELPWVMLGMVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~blaF~~~WP_039319187.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_039319187.1~~~penam~~~unknown MTGLSRRNVLIGSLVAVAAVGAGVGNAAPAFAAPIDDQIAELERRDNALIGLYATNLDSGRTITHRPDEMFAMCSTFKGYAAARVLQMVGRKQISLDNRVFVDPDAIVEYSPVTEPRAGGEMTLGELCRAALQQSDNTAGNLLLKTIDGPPGITAFARSIGDQRTRLDRWETELNSAIPGDPRDTSTPAALAGGYREILAGDALSPPQRRQLQDWMRANETSSVRAGLPEGWTTADKTGSGDFGSTNDVGIAYGPDGQQLLLAVMTRSQADDPKADNLRPLIGELAALILPSLL ->ARGMiner~~~norA~~~WP_061683938.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061683938.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISLFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~FosB~~~WP_053492511.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_053492511.1~~~fosfomycin~~~unknown MNLQPINHLCFSVAELERSVSFYKDVFGAKLLVKGRKLAYFDLNGLWVALNEEQVDRSMVKRTYTHIAFSMEEHEYEPMLLRLQALQVEILPGRSRDEKDKRSIYFLDPDGHMFEFHTGDLKDRLDYYRADKEHMTFYDIE ->ARGMiner~~~macB~~~WP_046123431.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_046123431.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEGILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTASGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-207~~~ANG20003.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG20003.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLAGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MexF~~~WP_005745134.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_005745134.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARAQRLQNLPAEMH ->ARGMiner~~~nalC~~~WP_030048694.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_030048694.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVASQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~macA~~~WP_004710651.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004710651.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAVIAALAWKFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKVTRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMRVEKGQKVYFTTLGDDSKRYATLRQIEPAPDSISSESNSTTSSTSSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLDSAKNALLVPSSALSSKQFSGQKKPGQSADKAASTPNAERKHSGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTAKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRNGPMGM ->ARGMiner~~~AER-1~~~OAH46293.1~~~penam unknown +>ARGMiner~~~AER-1~~~OAH46293.1~~~penam~~~unknown MLGCVGLICTSAYAMDTGILDLAVTQEETTLQARVGVAVIDTDSGLTWQHRGDERFPLNSTHKAFSCAAVLAQADRHKLNLEQAIPIERTALVTYSPVTERVPPGGTLTLRELCRAAVSISDNTAANLALDAIGGARTFTAFMRSIGDDKTRLDRREPELNEATPGDARDTTTPIAAARSLQTLLLDGVLSAPARNELTQWMLGDQVADALLRAGLPRDWQIADKSGAGGHGSRSIIAVVWPPKRSAVIVAIYITQTAASMSASNQAVSRIGSALAKALQ ->ARGMiner~~~CTX-M-30~~~AIC64490.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64490.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTPLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mdtH~~~WP_000092221.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092221.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWMLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVRTPVREGMARVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGAPSAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLVMSLSMIPVGMVSNLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYVGGGWLFDLGKSAQQPELPWIMLGIIGIITFVAMGWQFSQKRATRRLLERDA ->ARGMiner~~~mdtO~~~WP_021534594.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021534594.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQIVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRAMGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAAQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEHHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~smeE~~~WP_062166935.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_062166935.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGALAMIKLPISMYPEVAPPAVSISANYPGASAKVVEDSVTQIIEQNMKGLDGLMYFSSNSSSNGQASITLTFQSGTDSDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVLGFVSEDGSMDENDISDYVGTNIVDPLSRVPGVGSIQVFGGKYAMRIWLDPTKLQTYKVSVSEVTAAVQAQNAQVAVGQLGGAPAVKGQQLNATINAQDRLQTPEQFRNIVVRTETDGSTLKLGDVARVELGAETYDFVTRYNGKPASGLAITLATGANALETAEGVSKTLDELRANFPAGLKAVIPYDTTPFVKVSIKGVVKTLLEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGILSVLGFSINMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMSQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHKGISGRFFTWFNNGFDRTSGTYQRGVRGILNRPGRFMAVFLALAVVMGLLFVRLPSSFLPNEDQGILMALVNAPVGATQERTLESIYKLEDHFLNNEKDAVESVFSVQGFSFSGMGQNSGMAFVKLKDWHERSADQGVGPITGRAMAALGQIKDAFIFAFPPPAMPELGIASGYTFFLKDNSGAGHDALVNARNQLLGAAGQSKLLANVRPNGLDDTPQLRLDIDVAKAGAHGLSLDAINGTLATAWGSSYVDDFIDRGRVKRVYVQADDPFRMNPEDFNLWTVKNNVGEMVPFSAFASQRWDYGSPRLERYNGVSALEIQGEAAPGVASGDAMLEVEKLAKQLPPGFSIEWTAVSYQEREAGSQTPLLYTLSLLIVFLCLAALYESWSVPTAVLMVAPLGILGAVLANTMRGMERDVYFQVAMLTTVGLTSKNAILIVEFAKEHLEKGAGVIEATMHAVRDRLRPIIMTSLAFGLGVLPLAIASGAGSGAQRAIGTGVLGGMVVGTLLGLFFIPLFFVVVQRVFNRKQLARNGDLPPQ ->ARGMiner~~~emrB~~~WP_036894398.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_036894398.1~~~fluoroquinolone~~~unknown MIKRGVKVIKVPLQGGKLAIMTIALALATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFALTSWLCGISQSLEMLIFFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSVTIVVAPILGPILGGYISDNYHWGWIFFINVPFGVLIIMCISNTLAGRETKTEIKPIDTIGLVLLVVGVGALQIMLDQGKELDWFNSTEIIVLTIIAVVALSFLIVWELTDEHPVIDLSLFKSRNFTIGCLTLSLAYMIYFGTIVLLPLLLQEVFGYTATWAGLAAASVGLLPLIITPIIGKFGGKVDLRYIISFSFIMFAVCFYWRAYTFEPGMDFATVAWPQFWQGLGVACFFMPLTTMTLSGLPPEKMASASSLSNFLRTLAGAIGASLTTTIWTQRESLHHETFVEKINPLDPDAQLAFQQMRELGLSDEQTSAYLAKTITEQGLIISANEIFWLAAGIFILMLVVVWFAKPPFSPGK ->ARGMiner~~~FosB~~~WP_064814054.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_064814054.1~~~fosfomycin~~~unknown MKIKGINHLLFSVSHLDTSIDFYQKVFDARLLVRGKTTAYFDLNGIWIALNEEPDIPRNDIKASYTHIVFTIEDHEFEEMSAKLKRLDVNILSGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRMRYYKQEKKHMNFYD ->ARGMiner~~~gadX~~~WP_001191060.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~gadX~~~WP_001191060.1~~~macrolide;fluoroquinolone;penam~~~unknown MQSLHGNCLIAYARHKYILTMVNGEYRYFNGGDLVFADASQIRVDKCVENFVLVSKDTLSLFLPMLKEEALNLHAHKKISSLLVHHCSRDIPVFQEVAQLSQNKNLRYAEMLRKRALIFALLSVFLEDEHFIPLLLNILQPNMRTRVCTVINNNIAHEWTLARIASELLMSPSLLKKKLREEETSYSQLLTECRMQRALQLIVIHGFSIKRVAVSCGYHSVSYFIYVFRNYYGMTPTEYQERSAQGLPNRDSAASIVAQGNFYGTNRSAEGIRL ->ARGMiner~~~mtrE~~~WP_002235130.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~WP_002235130.1~~~macrolide;penam~~~unknown MDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANGSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPEDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGTVGTGSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYEAAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~FosA2~~~WP_063134996.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063134996.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEAHRYVPPQESDYTHYAFTVAEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~adeG~~~WP_017331176.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_017331176.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTPRTRIAVAAIATLAVVGLGTFGALRVNASAPEKQAAPLPEVDVATVVPQTVTDWQAYSGRLEAVEKVDVRPQVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAETDRAAAQLAAAQARNGYAQTDWQRAQRLIGDNAIAKRDYDEKQNGAREASANLKAAEAALETARINLGYTRIVAPVAGRVSRAEITVGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGARDGRKVPVELGLANESGYSRSGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHQALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLAAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDAPSAPLADNAKPAAPAKANS ->ARGMiner~~~emrB~~~WP_016232280.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_016232280.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLILLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~emrA~~~WP_043952516.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_043952516.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPVKKKGKRKGALLMLTLLFVVIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKQGDVLVTLDATDAQQAFEKAQTALASSVRQTRQSMINSKQLLASIEVKKTALAQAQSDLNRRIPLGTANLIGREELQHARDAVTSAQADLDVAIQQYNANQAIVLDTPLEDQPAVKQAATEVRNSWLALQRTKIVSPITGYVSRRAVQPGAQISPTTALMAVVPATNLWVDANFKETQLAHMRIGQTATVVSDIYGDEVTYNGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLADHPLRIGLSTLVKVDTADREGQVLASQVRSSPAYESNAREISLDPVNTLIENIVKANAG ->ARGMiner~~~macB~~~WP_058330789.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_058330789.1~~~macrolide~~~unknown MTALLELRNIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARLQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtM~~~WP_001556753.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001556753.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATIALSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANTIVARFIKDPTEPRFIWRAVPIQLAGLALLIVGNLLSPQVWQWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~novA~~~WP_018555350.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_018555350.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPRDAARPPAELRRILRLFRPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLSLLALGMILTAVMNSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMATMAATVTESLSVSGILLGRTMGRSDSLTRDFTEESERLVALEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGIALQSGGPAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPENPVRLEKIRGEVRFEDVDFSYDETSGPTLSGVDITVPAGAGLAVVGATGSGKSTLSYLVPRLYDVTGGRVTLDGIDVRELDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATDKEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEGAVQEAIDALSAGRTTITIAHRLSTVRDADQIVVLDAGHAAERGTHEELLQQDGRYAALVRRDTEPAPVAAG ->ARGMiner~~~emrB~~~WP_038157443.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_038157443.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFVIASWACGVSNSLTMLIFFRVIQGVVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGLVVVLMTLQTLRGRETRTEQRRIDGIGLALLVVGIGSLQIMLDRGKELDWFNSTEVVTLTIVAVVAISFLVVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVLLSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREAMHHAQLTESVNPFNPNAQQTYDQLQGMGMTQQQASGWIAQQITDQGLIISANEIFWISAGIFLVLLGLVWFARPPFGAGSGGGGAH ->ARGMiner~~~tet(E)~~~AMP48021.1~~~tetracycline unknown +>ARGMiner~~~tet(E)~~~AMP48021.1~~~tetracycline~~~unknown MNRTVMMALVIIFLDAIGIGIIMPVLSALLREFVGKANVAENYGVLLALYAMMQVIFAPLLGRWSDRIGRRPVLLLSLLGATLDYALMATASVVWVLYLGRLIAGITGATGAVAASTIADVTPEESRTHWFGMMGACFGGGMIAGPVIGGFAGQLSVQAPFMFAAAINGLAFLVSLFILHETHNANQVSDEIKNETINETTSSIREMISPLSGLLVVFFIIQLIGQIPATLWVLFGEERFAWDGVMVGVSLAVFGLTHALFQGLAAGFIAKHLGEQRAIVVGILADGCGLLLLAVITQSWMVWPVVLLLACGGITLPALQGIISVRVGQVAQGQLQGLLTSLTHLTGVIGPLIFAFLYSATHESWNGWVWIVGCGLYVVALTILRFFYPGRAVHPKNQSNSQPFL ->ARGMiner~~~MexB~~~WP_046029393.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_046029393.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVAYPGASAQTVQDTVVQVIEQQLNGIDHLRYVSSESNSDGTMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRIWLDPAKLNNFNLTPIDVKTAIAAQNVQVSSGQLGGLPALPGTQLNATIIGKTRLQTAEQFDKILLKVNKDGSQVRLKDVADVGLGGENYSINAQFNGAPASGLAVKLATGANALDTAKALRTTIDSLKPFFPQGMEVVFPYDTTPVVTESIKGVVHTLVEAVALVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKPIPKGEHGMPKRGFFGWFNRNFDRGVKSYERGVGNMLTQKAPYLLAYLIIIVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTSQRTQVVVDEMREFLLRPGKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLRPWDERNADNNVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMQARNQFLGMAAQSKILTQVRPNGLNDEPQYQLEIDDEKASALGITIADINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGSRMSPEDLKKWYVRNSAGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGSPAPGYSTGEAMAEVEAIAAKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEASRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSIGQRKIVDQDDATETPKEAGQ ->ARGMiner~~~arnA~~~WP_000860285.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860285.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWPKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPVCTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~MexA~~~WP_031805254.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_031805254.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~MexE~~~WP_033999214.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_033999214.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEARAAVAATQAQLDAARLNLSFTRVTAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAATKDNATRNEPRG ->ARGMiner~~~tet(K)~~~WP_061649613.1~~~tetracycline unknown +>ARGMiner~~~tet(K)~~~WP_061649613.1~~~tetracycline~~~unknown MFSLYKKFKGLFYSVLFWLCILSFFSVLNEMVLNVSLPDIANHFNTTPGITNWVNTAYMLTFSIGTAVYGKLSDYINIKKLLIIGISLSCLGSLIAFIGHNHFFILIFGRLVQGVGSAAFPSLIMVVVARNITRKKQGKAFGFIGSIVALGEGLGPSIGGIIAHYIHWSYLLILPMITIVTIPFLIKVMVPGKSTKNTLDIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFMLGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVAIIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVASGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGVYSNILVAMAILIILCCLLTIIVFKRSEKQFE ->ARGMiner~~~mexY~~~WP_058186854.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_058186854.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLGVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLAFSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~TEM-1~~~ANG11172.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG11172.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAVPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~emrK~~~WP_001403742.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_001403742.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLAVVLFIAFSGAYAYWSMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLRDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQAYKANKALVMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTKNEDIAEMPELASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~nalC~~~WP_065301739.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_065301739.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVVESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDQETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~Sed1 beta-lactamase~~~WP_044327689.1~~~cephalosporin;penam unknown +>ARGMiner~~~Sed1 beta-lactamase~~~WP_044327689.1~~~cephalosporin;penam~~~unknown MFKKRGRQTVLIAAVLAFFTASSPLLARTQGEPTQVQQKLAALEKQSGGRLGVALINTADRSQILYRGDERFAMCSTSKTMVAAAVLKQSETQHDILQQKMVIKKADLTNWNPVTEKYVDKEMTLAELSAATLQYSDNTAMNKLLEHLGGTSNVTAFARSIGDTTFRLDRKEPELNTAIPGDERDTTSPLAMAKSLHKLTLGDALAGAQRAQLVEWLKGNTTGGQSIRAGLPEGWVVGDKTGGGDYGTTNDIAVIWPEDRAPLILVTYFTQPQQDAKGRKDILAAAAKIVTEGL ->ARGMiner~~~BcI~~~WP_049107921.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_049107921.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQKISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~macB~~~WP_023328693.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023328693.1~~~macrolide~~~unknown MTALLELRDIRRSYPSADGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtA~~~KDG20941.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~KDG20941.1~~~aminocoumarin~~~unknown MCPSFRRFPTVFHNSSIFLPYWLATLVSFRETFQEEKLLTMKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLVKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLLFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPSAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~vanRO~~~WP_056891683.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_056891683.1~~~glycopeptide~~~unknown MRVLIVEDEPYLAEAIRDGLRLEAIASDIAGDGDTALELLGLNAYDIAVLDRDIPGPSGDEVAQRVVASGSGMPILMLTAADRLDDKASGFELGADDYLTKPFELRELVLRLRALDRRRAHHRPPVREIAGLRLDPFRREVYRDDRYVALTRKQFAVLEVLVAAEGGVVSAEDLLERAWDENADPFTNAVRITVSALRKRLGEPWIIATVPGVGYRIDTGDGSD ->ARGMiner~~~TEM-1~~~ANG20310.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG20310.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKVLESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTVGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~AAC(3)-Ia~~~WP_032013222.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~WP_032013222.1~~~aminoglycoside~~~unknown MLRSSNDVTQQGSRPKTKLGGSSMGIIRTCRLGPDQVKSMRAALDLFGREFGDVATYSQHQPDSDYLGNLLRSKTFIALAAFDQEAVVGALAAYVLPKFEQARSEIYIYDLAVSGEHRRQGIATALINLLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREEVMHFDIDPSTAP ->ARGMiner~~~macB~~~WP_049126277.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049126277.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPSSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWAQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRGQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDVLARE ->ARGMiner~~~macB~~~WP_063115144.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063115144.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKAEVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDYFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~WP_016506872.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_016506872.1~~~fluoroquinolone;acridinedye~~~unknown MQKYTSEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLVMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNEKGFGKPDSVVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGICMAVVTAIFTVTLRKHIALLYNDNPEVVALAAQLMLLAAVYQISDSIQIIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWVGFIIGLTSAAVLMMLRMRYLQRQPSAIILQRAAR ->ARGMiner~~~bacA~~~WP_002968895.1~~~peptide unknown +>ARGMiner~~~bacA~~~WP_002968895.1~~~peptide~~~unknown MLIGHFLGFESTGKTFEVLIQLGAILAILSVYSAKLARIATDFPRDARTRRFVLGVLVAFLPAAVIGALAHGFIKGVLFETPMLVCIMLIVGGFILLWVDQLNLRPRYHNVMDYPLPICLAIGFIQCLAMIPGVSRSGSTIVGSLLLGADKRSAAEFSFFLAMPTMAGAFAYDLFKSRNILSFNDGALIVVGFIMAFISGVFVVRHLLDYVSRHGFALFGWWRLIVGSAGMAALIIWG ->ARGMiner~~~sul1~~~AKL80048.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~AKL80048.1~~~sulfonamide;sulfone~~~unknown MEVANVPRRHCCLFARPIPIVEVAAEADAMVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITISETLAKFRSRDARDRGLDHA ->ARGMiner~~~novA~~~WP_030638327.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030638327.1~~~aminocoumarin~~~unknown MKPDEPAWTPPAADAGQPPAELRRIFRLFRPYRGRLTVVGLLVGASSLVSVVSPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLTLQSGATAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFARIFEYLDLEVDITEPAKPVRLEKVRGEIAFEDVTFHYDEKSGPTLSGVEVTVPAGSSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATDEEIEAATRTAQIHDHIAGLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSKGRTTLTIAHRLSTVRDADQIIVLDDGAVAERGTHEELLEQDGRYAALIRRDSHLAPAAT ->ARGMiner~~~macB~~~WP_023343675.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023343675.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVGNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSAMAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~WP_001041281.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041281.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSYQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~mdtH~~~WP_003858008.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_003858008.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~mdtH~~~WP_059295005.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_059295005.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKHSTRGMLEPGA ->ARGMiner~~~tolC~~~WP_045856850.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045856850.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKFFPILIGLGLTGFSAISQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLSAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNAVEALRQVTGNYYPQLSSLNVDGFKTNKPETVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLTASTGVSNTTYNGSKTNDPSRYGDTDAGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESSHRTVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNTLGKPIATSPDSVAPETPQQDASADGYASSAAPAAKPAAVHTTKTSGANPFRQ ->ARGMiner~~~sdiA~~~WP_053880424.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_053880424.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAVDPVLNPENFSQGHLMWNDDLFNEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~macA~~~WP_064367823.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_064367823.1~~~macrolide~~~unknown MKLNGKRRKVWWLLVVVVLALAFWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAQNQIKEVDATLMELRAQLNQARAERQLAAVTLARQQQLAQRQLVSRQELDTAATDLAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGSLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQMAEVKNVITIPLSALGDAIGDNCYHVRLLRTGEVKEREVTIGARNDTDVAVVKGLEEGDEVIVGESRSGTTK ->ARGMiner~~~arnA~~~WP_034173220.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_034173220.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~hmrM~~~Q8Z6N7~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~Q8Z6N7~~~fluoroquinolone;acridinedye~~~unknown MQKYTSEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLVMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNEKGFGKPDSVVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGICMAVVTAIFTVTLRKHIALLYNDNPEVVALAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAIILQRAAR ->ARGMiner~~~oprA~~~KGV70892.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline unknown +>ARGMiner~~~oprA~~~KGV70892.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline~~~unknown MKAMIKPRARRRGARAARRPNGPRAWPLAVAAALVAGCTLAPRYERPAAPVPAHYWSTAAGAPREAGPAAAGGPRAMPDARRGDAGRDARDARLDDWRAYFTDPALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFSGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGADAERLRLAQRRYDSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDGCA ->ARGMiner~~~mdtH~~~WP_001571248.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_001571248.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMEFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~mdtH~~~WP_044258032.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_044258032.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKTFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVRIPVREGMSRVLSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLLMSLSMLPVGLVSDLQQLFTLICTFYIGSIIAEPARETLSASLSDARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKLLQQPELPWMMLGIIGFITFLALGWQFSHKRTPRGMLEPGA ->ARGMiner~~~tolC~~~WP_000735302.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735302.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGATAGQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVISAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPAVQQVSARTSTSNGHNPFRN ->ARGMiner~~~EreA~~~WP_039644692.1~~~macrolide unknown +>ARGMiner~~~EreA~~~WP_039644692.1~~~macrolide~~~unknown MTWRTTRTLLQPQKLDFNEFEILTSVIEGARIVGIGEGAHFVAEFSLARASLIRYLVERHEFNAIGLECGAIQASRLSEWLNSTAGAHELERFSDTLTFSVYGSVLIWLKSYLRESGRKLQLVGIDLPNTLNPRDDLAQLAEIIQLIDHLMKPHVDMLTHLLASIDGQSAVISSAKWGELETARQEKAISGVTRLKLRLASLAPVLKKHVNSDLFRKASDRIESIEYTLETLRIMKTFFDGTSLEGDTSVRDSYMAGVVDGMVRANPDVKIILLAHNNHLQKTPVSFSGELTAVPMGQHLAERVNYRAIAFTHLGPTVPEMHFPSPKSPLGFSVVTTPADAIREDSMEQYVIDACGTENSCLTLTDAPMEAKRMRSQSASVKTKLSEAFDAIVCVPSAGKDSLVAL ->ARGMiner~~~MexA~~~WP_058134093.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_058134093.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQAYLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~macA~~~WP_061380706.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_061380706.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEGTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~emrB~~~WP_050191825.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_050191825.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLIIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIDISSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macA~~~WP_001201745.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201745.1~~~macrolide~~~unknown MRAKGKKFKKRYLAIILILLVGGMVSWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGAMP ->ARGMiner~~~mepA~~~WP_031870179.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031870179.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISLIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~macB~~~EFZ57788.1~~~macrolide unknown +>ARGMiner~~~macB~~~EFZ57788.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVDEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_023219175.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023219175.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVSLIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~sul1~~~WP_000537559.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_000537559.1~~~sulfonamide;sulfone~~~unknown MGTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~macA~~~WP_016144326.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_016144326.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSEKASSTPSTERKNQGNGARLERLNLTTEQKQLVEQGKATLSVVRILQADGTAKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~mexI~~~YP_001346277~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexI~~~YP_001346277~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MTFTDLFVRRPVLALVVSTLILLLGLFSLGKLPIRQYPLLESSTITVTTEYPGASADLMQGFVTQPIAQAVSSVEGIDYLSSTSVQGRSVVTIRMLLNRDSTQAMTETMAKVNSVRYKLPERAYDSVIERSSGETTAVAYVGFSSKTLPIPALTDYLSRVVEPMFSSIDGVAKVQTFGGQRLAMRLWLDADRLAGRGLTASDVAEAIRRNNYQAAPGMVKGQYVLSNVRVNTDLTNVDDFREMVIRNDGNGLVRLRDVGTVELGAAATETSALMDGEPAVHLGLFPTPTGNPLVIVDGIRKLLPEIQKTLPPDVRVDLAYETSRFIQASIDEVVRTLVEALVIVVLVIYLCLGSLRSVLIPVATIPLSMLGAAALMLAFGFSVNLLTLLAMVLAIGLVVDDAIVVVENVHRHIEEGKSPVAAALIGAREVAGPVIAMTITLAAVYTPIGLMGGLTGALFREFALTLAGAVIVSGVVALTLSPVMSSLLLQAHQNEGRMGRAAEWFFGGLTRRYGQVLEFSLGHRWLTGGLALLVCISLPLLYSMPKRELAPTEDQAAVLTAIKAPQHANLDYVELFARKLDQVYTSIPETVGTWIINGTDGPAASFGGINLAAWDKRERDASAIQSELQGKVGDVEGSSIFAFQLAALPGSTGGLPVQLVLRSPQDYPVLYRTMEEIKQKARQSGLFVVVDSDLDYNNPVVQIRIDRAKANSLGIRMQDIGESLAVLVGENYINRFGMEGRSYDVIPQSLRDQRFTPQALARQFVRTQDGNLVPLSTVVRVELKVEPNKLIQFDQQNAATLQAIPAPGVSMGQAVAFLDEVARGLPAGFSHDWQSDSRQYTQEGNTLAFAFLAALVVIYLVLAAQYESLADPLIILITVPLSICGALLPLALGYATMNIYTQIGLVTLIGLISKHGILMVEFANELQVHERLDRRAAILRAAQIRLRPVLMTTAAMVFGLVPLLFASGAGAASRFGLGVVIVCGMLVGTLFTLFVLPTVYTLLARNHAEVDQSPRNRQLAEADLLVNKT ->ARGMiner~~~vanRO~~~WP_060593182.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_060593182.1~~~glycopeptide~~~unknown MRVLVVEDEPYLAEAIRDGLRLEAIAADIAGDGDTAMELLSINKYDIAVLDRDIPGPSGDKIAQHIVASGTGMPILMLTAADRLDDKASGFELGADDYLTKPFALRELALRLRALDRRRAHSRPPVREIAGLRVDPFRREVYRDGRYVALTRKQFAVLEVLVAAEGGVVSAEELLERAWDENADPFTNAVRITVSALRKRLGEPWLIATVPGVGYRIDTGTDTDDRKAERG ->ARGMiner~~~mdtM~~~WP_048215018.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_048215018.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRILAFFSQRATTLFFPIALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLFTTSMTQFLAARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAVITSIVLVAPVIGPLSGAALMHFVHWKILFAIIAVMGLIALIGLTLAMPETVQRGAVPFSARSVVRDFRDVFRNRVFLFGAATLSLSYIPMMSWVAVSPVILIDAGGMTTSEFAWAQAPVFGAVIVANMVVVRFVKDPTRPRFIWRAVPIQLSGLAVLIAGNLLWPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEIGRWLWFNGGRISFHLLAVVAGIAVVFTLAGLLKRVRQHEATTLATEN ->ARGMiner~~~macA~~~WP_001544419.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001544419.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLDAGDEVVIGEAKPGAAQ ->ARGMiner~~~emeA~~~WP_017369318.1~~~acridinedye unknown +>ARGMiner~~~emeA~~~WP_017369318.1~~~acridinedye~~~unknown MKNKQWMINLAISNLLLVFLGVGLVIPVLPQLKEQMHFSGTTMGMMISIFAIAQLIASPIAGHLSDKVGRKKLIALGMIIFAFSELLFGLAQVKALFYVSRALGGIAAALLMPSVTAYVADLTTLGERAKAMGKVSAAISGGFIIGPGVGGFLATFGIRVPFFVAALLAFIGFILSMTVLKEPEKTMDINPDTPKASFLDILKNPMFTSLFVVILISSFGLQAFESIYSIMATINFGFTTSEIALVITVSGIIALFFQLFLFDWIVGKIGEMHLIHLTFFASALFIAIIAFTGNRITVAISTFVVFLAFDLFRPAVTTYLSKHAGDQQGAINGLNSTFTSFGNILGPLAAGVMFDINHFFPYYISAIILFGTGVLSMLMNRKSKKMKN ->ARGMiner~~~novA~~~WP_026359044.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_026359044.1~~~aminocoumarin~~~unknown MKPDEPLWTPPARDGGQPPAELRRIFRLFRPYRGRLALVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMVLTAVTNSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGQERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTKAFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLTLRSGVTSVSLGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLDVDITEPEKPVRLEKVRGEIAFEDVTFSYDGQSAPTLSGIDVTVPAGHSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATSEEIEAAARAAQIHEHIASLPDGYDTLVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSAGRTTLTIAHRLSTVRDADQIVVLDGGRAAERGTHEELVEQDGRYAALLRRDGSTAPAPAPAVT ->ARGMiner~~~tolC~~~WP_059384900.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_059384900.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSALSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDNFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLTASTGVSDTSYSGSKTHGASASAYDDKNNGENQVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNADSSAPAAQPAAARTTTSASKGNNPFRN ->ARGMiner~~~MexE~~~WP_015476767.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_015476767.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MERNLNTLRIPLALIAALVLSACGKAQDAAQNMPAPKVSVAEVIEQPINEWDEFTGRLEAPESVELRPRVSGYIDRVAFREGTLVKKGDLLFQIDPRPFQAEVHRLEAQLQQARANQTRTVNEAARGERLRATNAISAELADARSAAATEAKAVVAATQAELDNARLNLSFTQVTAPIDGRVSRAEVTAGNLVNSGQTLLTTLVSTDKVYAYFDADERVYLKYVDLARKGGPDARGSSPVYLGLTGEDGFPHQGKLDFLDNQVNPRTGTIRGRAVFDNADNQFTPGLYARIKLVGSGTYAAALIKDDAVGTDLGKKFVLVLDKDSKVQYRSIELGPKLEGLRIVRNGLAKGDRIVVNGLQRVRPGAQVDAQGVEMASQSTLATLARQRQALAQSEVPKVAEKSARPGAPRS ->ARGMiner~~~arnA~~~WP_032205568.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032205568.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGITVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~hmrM~~~WP_032214096.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032214096.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQAAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~lsaA~~~WP_002376519.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002376519.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEIVGITGENGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYSQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~mdtF~~~WP_000024900.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_000024900.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTITVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLIKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~PDC-7~~~WP_058145576.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_058145576.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHATILNLCGLAASTLFFATTSAFATEAPAERLKALVDAAVQPVMKANDIPGLAVAITLKGEPHYFSYGVASKEDARKVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASRHWPALQGSRFDGISLLDLGTYSAGGLPLQFPDAVQKDPAQIRDYYRQWQPTYAPGSHRQYSNPSIGLFGYLAARSLGQPFERSMERQLFPALGLEHTFIRVPAAQQGLYAQGYGKDDRPLRAGPGPLDAEAYGLKSSAADLLRFVEANLHPERLEKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPIDLRRLQAGNSAPMALQAHKVARLPAPQALDGQRLLNKTGSTNGFGAYLAFIPGRDVGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLVR ->ARGMiner~~~mdtF~~~WP_006687252.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_006687252.1~~~macrolide;fluoroquinolone;penam~~~unknown MANFFIERPVFAWVLAIIMMFTGGIAILNLPVAQYPQIAPPTITISAAYPGADAKTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASIILTFKTGTSPDIAQVQVQNKLQLAMPSLPQEVQQQGISVDKSSSNILMVAGFISDNNSLSQYDIADYVASNIKDPLSRTPGVGSVQLFGSQYAMRIWLDPQKLDKYNLTPQDVIAQLKVQNNQISGGQLGGMPQSADQQLNASIIVQTRLQTTDEFGKIFLKVQQDGSQVLLRDVARIELGAENYATVARYNGKPAAGIAIKLAAGANALQTSQAVKQELNRLSAWFPASMKTVYPYDTTPFIEISIQGVFHTLIEAIILVFLVMYLFLQSFRATLIPTIAVPVVILGTFAILDVAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERIIAEERLSPKAATHKAMGQLQRALVGIAVVLSAVFMPMAFMSGATGEIFRQFSITLISSMLLSVFVAMSLTPALCAMLLKPHEGEKANAHFLFTRFNHFMEKCTHHYTNSTRRLLHCTGRYMVVYLVIGAGMMVLFLRTPTSFLPEEDQGVFMTTAQLPSGSTMVNTSKVLGEITDYYLTKEQKNVASVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIRRAMMALSTINNAVVYPFNLPAVAELGTASGFDMELLDNGNLGHEKMMQARNQLLALASQSSGEVDGVRPNGLEDTPMFRVHVNAAKAEAMGVALSDINQTISTAFGSRYVNDFLNQGRVKKVYVQADTPFRMLPDNINHWYVRNASGAMTPLSAYSSTEWTYGSPRLERYNGQPAMEILGQPVAGKSSGDAMKFMASLINKLPAGVGYAWTGLSYQEAQSTNQAPMLYGISLIVVFLALAALYESWSIPFSVMLVVPIGVVGALLATDLRGLSNDVYFQVGLLTTMGLSAKNAILIVEFAVEIMQKEGKKPLEAAVEAAQMRLRPILMTSLAFILGVIPLAISHGAGSGAQNAVGTGVIGGMLAATVLAIYFVPLFFVLVENMLARFKTRR ->ARGMiner~~~bcrA~~~WP_000074564.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074564.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGKQKSVDKLNINVPQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGEDLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVYKKNAIEDALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGRLLEEVSLDKLRKANRKYIEFQVNNENKAAMLLENQFQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNDIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~bacA~~~YP_001422387~~~peptide unknown +>ARGMiner~~~bacA~~~YP_001422387~~~peptide~~~unknown MTLWEMFTAAVLGIVEGLTEYAPVSSTGHMIIADDIWLKSGSLMNPEAANSFKVVIQLGSILAVAIVFKDRILHLLGLKKNVTRDQQKGYRLTIAQIAVGLVPAAVLGFLFEDFIDRYLFSVRTVAYGLIAGAVLMLIADWINKRKETIDTVDRITYKQAFCVGLFQCLALWPGFSRSGSTIAGGVIVGLNHRAAADFTFIMAIPIMAGASLLKLVKYWSSLSYDMIPFFLVGFICAFVVALLVVKFFLRLINRIKLVPFAIYRVILGIILIMLVR ->ARGMiner~~~SRT-2~~~WP_049208135.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_049208135.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAHAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFKDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTDNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDRPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWREALAATHVGYYKAGAFTQDLMWENYPYPVKLSRLVEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIIQALEKL ->ARGMiner~~~mdtH~~~WP_061382993.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_061382993.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMFPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKAFAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~mdtG~~~WP_000074177.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_000074177.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNSNALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~mdtB~~~WP_042343210.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_042343210.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVITRLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALMIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPREAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~pmrA~~~WP_060855757.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~WP_060855757.1~~~fluoroquinolone~~~unknown MEINCRKNLVIAWIGCFFTGASISLVMPFIPVYVEQLGTPKDQIELFSGLAISVTAFASAVVAPIWGNLADRKGRKLMMIRAAAGMTLTMGSLAFVPNAYWLLIMRFWNGILSGYIPNATAMIASQAPREKSGWALGTLSTGAIAGNLIGPSMGGALAQWFGMENVFLITGALLMITTVLTIFLVKEDFHPIEKKDLISTKEIFSKMDHLSILIGLFITTLILQIGITTISPILTLYIRELSGSTENILFVSGLIVSIAGVSAVFSSPKLGKLGDKIGNQKVLIGGLILSFCCYLPMAFVTTPLQLGILRFILGFSTGALMPSVNTLISKITPQEGVSRIYSYNQMFSNFGQVLGPMLGSTVAHAYNYSAVFIVTSLFVLSNILLSLFNFRKVLRSRL ->ARGMiner~~~FosB3~~~EUY61455.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~EUY61455.1~~~fosfomycin~~~unknown MLFTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLEDRLNYYKEAKPHMTFYK ->ARGMiner~~~ErmC~~~WP_014125936.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_014125936.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKKNIKDSQNFITSKRNVDKIMTNISLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVNHDNFQVLNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKVVFESIADESYLIVEYGFAKRLLNTKRSLALLLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISYKDKQKYNYFVMKWVNKEYKKIFTKSQFNKSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~Escherichia coli ampC~~~WP_053292425.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_053292425.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQINLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKAITPPTPAVHASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~fexA~~~WP_031795084.1~~~phenicol unknown +>ARGMiner~~~fexA~~~WP_031795084.1~~~phenicol~~~unknown MKKDSKSKEMIQSEKRGSTRLLMMVLSLSVLVGAITADLVNPVLPLISKDLEASKSQVSWIVSGIALVLAIGVPIYGRISDFFELRKLYIFAIMILASGSLLCAIAPNLPLLVLGRMVQGAGMSAIPVLSVIAISKVFPQGKRGGALGIIAGSIGVGTAAGPIFGGVVGQYLGWNALFWFTFLLAIMIVIGAYYALPTIKPAESVGSNKNFDFIGGLFLGLTVGLLLFGITQGETSGFSSFSSLTSLIGSVVALVGFIWRIVTAENPFVPPVLFNNKDYVNTVIIAFFSMFAYFAVLVFVPLLVIEVNGLSSGQAGMILLPGGVAVAILSPFVGRLSDRFGDKRLIITGMTLMGLSTLFLSTYASGASPLLVSVGVLGVGIAFAFTNSPANNAAVSALDADKVGVGMGIFQGALYLGAGTGAGMIGALLSARRDATEPINPLYILDAMSYSDAFLAATGAILIALIAGLGLKKRG ->ARGMiner~~~TEM-1~~~ANG29746.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG29746.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPVMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSPATMDERSRQIAEIGASLIKHW ->ARGMiner~~~macA~~~WP_046622746.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_046622746.1~~~macrolide~~~unknown MKVKGKRRTIWWLLAIVVLGLAVWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVAIGARNDTDVAVVQGLDEGDEVIVGESASGAAK ->ARGMiner~~~TEM-1~~~ANG22655.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG22655.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALVPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTVGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~vanSA~~~EJS45355.1~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~EJS45355.1~~~glycopeptide~~~unknown MKNKNKKIDYSKLKRKLYLYILMVVMAAVVFVLFLRLFIQGTLGEWIVRFLENSYHLERWDAMIIYQYTIRNNIEVFIYVAVAISILILCRVMLLKFVKYFEEINTGIDILIQNEDKQIELSAEMEFMEQKLNTLKRTLEKREHDAKVAEQRKNEVVMYLAHDIKTPLTSVIGYLILLDEAPDMPREQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKKHIDLYYMLVQMTDEFYPQLAAKGKQVVLHVSEDLTVFGDPDKLARVFNNILKNAAAYSENDSVIDITVDLSDDVVSIVFENAGNIPKDKLATIFEKFYRLDDARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNSTTFTVELPVFQESVNKGDS ->ARGMiner~~~adeG~~~WP_043180924.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_043180924.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVNANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAETDRAAAQLAAAQARNGYAQTDWQRAQRLIGDNAIAKRDYDEKQNAAREATANLKAAEAALETARINLGYTRITAPVAGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARNGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHEALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLSAGDRVVVNGTQRVRPGEQVKPHMVPMTGGDAPSAPLADNAKPAAPAKADS ->ARGMiner~~~L1 beta-lactamase~~~WP_041863491.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_041863491.1~~~cephalosporin~~~unknown MRLCLTTLALTATLAFDVTAADAPLPQLQAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMAGHLLDNMKARGVAAQDLRLILLSHAHADHAGPVAELKRRTGASVAANAESAVLLARGGSNDLHFGDSITFPPASADRIIMDGEAVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGQPVRIAYADSLSAPGYQLQGNARYPRLVEDYRRSFATVRALPCDLLLTPHPGASNWNYAAGAEAGANALTCKAYADAAEKKFDAQLAKETATAR ->ARGMiner~~~macA~~~WP_024232378.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_024232378.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNSETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~tolC~~~WP_010318053.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_010318053.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFISAALGSLSSSVWADTLAEIYNQAKENDPQLLSSAAQRDAAFEAVTSSRGDLLPQIDLAAGYNINRSNIDERESDKLTAGITFTQQLYQRSSWISLDTAEKNARKADSAYAATQQGLILRVAQAYFEVLRAKDNLAFVRAEKVAVARQLEQTKQRFEVGLSAITDVHDAQAQYDRVLADEVLAKNSLTNSYETLREITGQEHSDLSVLDTNRFSASKTTQPIDVLLEEAQQKNLSLLTARITQDVAKDNISLASSGHLPSLTLDGGYKYGDESNNNGGSKVDYNDFNVGINLKVPLYTGGNTTSQTKQAEFAYVAASQDLEKTYRSVVKDVRAFNNNINASIGALRAYEQSVISAKSALEATEAGFDVGTRTIVDVLDSTRLLFEANKSLSNARYDYVLNVLQLRQAVGTLSEQDILDINAGLKADRLKK ->ARGMiner~~~L1 beta-lactamase~~~WP_049467111.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049467111.1~~~cephalosporin~~~unknown MRLCLTPLALAATLVFDVAAADAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTTEGAVLLDGGMPQMAGHLLDNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRTGAQVVANAETAVLLARGGSNDLHFGDDITYPPASADRIIMDGERVTVGGMAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLQYNARYPRLVEDYRRSFTTVRGLPCDLLLTPHPGASRWNYAAGAEAGANVLTCKAYADAAEKAFDAQLAKETTGAR ->ARGMiner~~~iri~~~WP_033232517.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_033232517.1~~~rifamycin~~~unknown MSDVIVVGAGPTGLMLAGELRLQGVDVVVLDKAEQPTPFVRALGIHVRSIEIMEQRGLLDRFLAHGRKYPLGGFFAGISKPAPTYLDTEHGYVLGIPQPEIDRILAEHATEVGADIRRGKRVVAVRQDHNGATAELDDGTTLQARYLVGCDGGRSTVRKLIDVGFPGEPSTADTLIGEMDVTMPADELAAVVAKIRETHKRFGIGPAGNGAHRVVVPAADIADGRAVPTTLDDIKQQLRVIAGTDFGVHSPRWLSRFGDATRLADHYRRGRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPESLLDTYESERRPVASDVLDNTRAQAELISTAAGPQAVRRLISELMDFDDVNRYLTEKITAISIRYDFGDDHDLLGRRLKNIALTRGNLYDLMHSGRGLLIDQGGQLSVDGWNDRVDRIVDTSTELDAPAVLLRPDGHVAWVGDEQSALNTRLNRWFGRSTTRKSPPSSQ ->ARGMiner~~~mdtP~~~WP_000610590.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610590.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVTAAIGAHNAALAETAAVELSLTTGVAQLDYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGSGYQAAPVVEKK ->ARGMiner~~~mdtH~~~WP_063861556.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063861556.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVSSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYTGGGWLFDAGKALKQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~CRP~~~CQH53126.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~CQH53126.1~~~macrolide;fluoroquinolone;penam~~~unknown MLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSSQMANRLQITSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~macB~~~WP_023335108.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023335108.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDISTLDGDALARLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tet(C)~~~AF534183.gene.p01~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~AF534183.gene.p01~~~tetracycline~~~unknown MSTNLSVIKNPRVQSDQRRLVRRPDVKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWRNSSNSRCT ->ARGMiner~~~oprA~~~KGV26455.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline unknown +>ARGMiner~~~oprA~~~KGV26455.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline~~~unknown MKAMMKPRARRRGARAARRPNGPRAWPLAVAAALVAGCTLAPRYERPAAPVPAHYWSTATGAPREAGPAAAGGPRAMPDARRGDAGRDARDARLDDWRAYFTDPALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRATVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFSGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGADAERLRLAQRRYDSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDECA ->ARGMiner~~~mexH~~~WP_003114726.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_003114726.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRXVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtM~~~WP_024044846.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_024044846.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLTMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLSFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~cmeB~~~WP_002880478.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002880478.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGVISLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALVLAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~arnA~~~WP_024243532.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_024243532.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mtrR~~~WP_002227081.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~WP_002227081.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQAAGVTRGGLYWHFKNKEDLFDALFQRICDDIENCIAQDAKDAEGESWTVFRHTLLHFFERLQSNDIYYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITAVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWFSSCERFDLGKTAPRIIGIMMDNLENHPDLRRK ->ARGMiner~~~tet(K)~~~WP_031790083.1~~~tetracycline unknown +>ARGMiner~~~tet(K)~~~WP_031790083.1~~~tetracycline~~~unknown MFSLYKKFKGLFYSVLFWLCILSFFSVLNEMVLNVSLPDIANHFNTTPGITNWVNTAYMLTFSIGTAVYGKLSDYINIKKLLIIGISLSCLGSLIAFIGHNHFFILIFGRLVQGVGSAAFPSLIMVVVARNITRKKQGKAFGFIGSIVALGEGLGPSIGGIIAHYIHWSYLLILPMITIVTIPFLIKVMVPGKSTKNTLDIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFMLGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVATIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVAYGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGVYSNILVAMAILIILCCLLTIIVFKRSEKQFE ->ARGMiner~~~lsaA~~~WP_002397066.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002397066.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVRPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~mdtG~~~WP_023327095.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023327095.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLMADSYGLRPVFFITACVLFLCFVVTLLCIRENFTPIAKKEMLHAREVLTSLKNPRLVLSLFVTTLIIQVATGSIAPILTLYIRDLAGNVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSMVHSPWQLGLLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGASISASFGFRAVFIVTAGVVLFNAIYSWFSLSRALRPVAE ->ARGMiner~~~bacA~~~Q9I2E5~~~peptide unknown +>ARGMiner~~~bacA~~~Q9I2E5~~~peptide~~~unknown MEWWTAFQAFILGVVEGLTEFLPISSTGHQIIVADLIGFGGERAKAFNIIIQLAAILAVVWEFRGKIFQVVRDLPSQRQAQRFTANLLIAFFPAVVLGVLFADLIHEWLFNPITVALALVVGGVVMLWAERRKHVIRAEHVDDMTWKDALKIGCAQCLAMIPGTSRSGATIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYVYRDLFRPEDLPVFAVGFVTSFVFAMLAVRALLKFIGNHSYAAFAWYRIAFGLLILATWQFHLIDWSTAGEV ->ARGMiner~~~Escherichia coli ampC~~~WP_033870390.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_033870390.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLIDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQMPDDVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLTHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPIDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAIKPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~mexH~~~WP_034048441.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_034048441.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGSPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~TEM-1~~~ANG15290.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG15290.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANILLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mefA~~~WP_000417521.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_000417521.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASTTVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~mdtM~~~Q8XB84~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~Q8XB84~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRKPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~emrB~~~WP_001617235.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001617235.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFPIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~OprN~~~WP_031690280.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_031690280.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVIEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~mdtE~~~WP_001626951.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001626951.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDPARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVSQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~novA~~~WP_056699531.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_056699531.1~~~aminocoumarin~~~unknown MKPEEPTWTPPPDAATDRPPAEVRRILRLFHPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQGFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAATVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEDVDFSYDEKNGPTLTGIDVTVPAGGSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQQAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRAAERGTHEELLDRDGRYAALIRRDAHPAPVPAP ->ARGMiner~~~bcrA~~~EOP76697.1~~~peptide unknown +>ARGMiner~~~bcrA~~~EOP76697.1~~~peptide~~~unknown MNAIIKTTNLTKIYDKQKSVDNLNITVNQGEIYGFIGRNGAGKTTTIRMLLGLIKPTSGKIEIFGEDLSRNQKGILRRIGSIVEVPGFYENLTARENLLINAKIIGVHKKDAIGEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITILISSHILAEVEQLVDHMGIIHEGKLLKEIALDTLRKTNRKYLEFQVNNDNKAVMLLENQFHISDYEVHDEGNIRVYSHFGQQGQINKTFVQNDIEVLKIMMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~hmrM~~~WP_045442423.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_045442423.1~~~fluoroquinolone;acridinedye~~~unknown MQKYVIEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQIRQGFWLAGSVSILIMVVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPAMVMGFLGLLVNIPVNYVFIYGHLGMPELGGVGCGVATAAVYWVMFIAMLSYVKHARSMRDIRNVRGFQKPDTAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGVCMAMITAIFTVTLREQIALLYNNNPEVVTLAAQLMLLAAVYQISDSIQVIGSGVLRGYKDTRSIFFITLTAYWLLGLPSGYILALTDLVVDRMGPAGFWIGFIIGLTSAAVLMMLRMRYLQRQPSSIILQRAAR ->ARGMiner~~~mdtN~~~WP_048231701.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_048231701.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEATLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~lmrB~~~ANF35167.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~ANF35167.1~~~lincosamide~~~unknown MENAQPSKQYKVMPIMISLLTAGFIGMFSETALNIALTDLMKELHITPATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFIVSLAFSIIGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALLFGIAYMQNVSDITKPKIDVLSIILSTIGFGGIVFGFSNAGEGSDGWSSPTVIGSLTVGAIALILFSIRQLTMKQPMMNLRAFRYPMFVLGVVIVFICMMVILSTMLLLPMYLQSGLMLTAFTSGLILLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVITATVLSFFSNINAASTALLIVALHTCLMIGISMIMMPAQTNGLNQLPPEFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQKDYMSTAKNPNDPSAYSHALIAGVQHAFIFAMIVAVIGLISAFFMKRVKVNHS ->ARGMiner~~~arnA~~~WP_016157032.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_016157032.1~~~peptide~~~unknown MKAIVFAYHDMGCQGVQALLDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSDEILSLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIIAQQRVAISPDDVALTLHHKLCQAARHLLEQALPAIKTGDYAERPQQEADATCFGRRTPEDSFLDWNTPAAELHNQVRAVSDPWPGAYSYVGTQKFTVWSSRVCVNNSAAQPGTVISVSPLLIACGDGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVATSKCRTRVLILGVNGFIGNHLTERLLQEDHYEVYGLDIGSDAIGRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPQNEASIQELAEMLLTCFEKHPLRNHFPPFAGFRDVESSSYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVNITEHTS ->ARGMiner~~~mdtN~~~WP_021554223.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_021554223.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQVQSAASSVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTLATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~macA~~~WP_047457828.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047457828.1~~~macrolide~~~unknown MKLKGKMKKRYLLIALIIIVAMFTLWRTLNAPLPHYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRRQAEAEWKLARVTLSRQQQLAKTQAISRQELDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPQTRYEGALKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTDIKNVLTIPLAALGDPVGNNRYKVTLLRNGETREREVSIGARNDTDVEIVKGLEEGDEVVISESKPGAAQ ->ARGMiner~~~arnA~~~WP_021575742.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021575742.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKSASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQSSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mepA~~~Q99WP2~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~Q99WP2~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~APH(6)-Id~~~WP_049361039.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_049361039.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPILIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~novA~~~WP_060953374.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_060953374.1~~~aminocoumarin~~~unknown MHPDTPSWTPSTQEPEQPAQVRRILRLFRPYRGRLALVGLLVGASALVSVASPFLLREILDTAIPDGRTGLLSLLALGMIAAALVNSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATVVAMLALDWRLTVVSLLLLPLFVWISRRVGAERKKITSQRQKQMAAMSAMVTESLSVSGILLGRTMGRSASLTQRFAQESERLVDLEVRSNMAGRWRMSTIGVVMAAMPALIYWAAGIALQAGGPAVSIGTLVAFVTLQQGLLRPTVSLLSTGVQVQTSLALFARIFEYLDLPIDIAEPAEPVRLEKVRGEVRFDGVDFDYDGKDPGTPKGPVKGTSKGTLRGIDLTVPAGGSLAVVGSTGSGKTTLSYLVPRLYDVTGGRVLIDGVDVRDLDFDTLSRAVGVVSQETCLFHASVAENLRFAKPDATDEEIVAAAGAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSAGRTTITIAHRLSTVRDADQIVVLDGGRIAERGTHEELLARDGRYAALVRRDARLSPVAPAV ->ARGMiner~~~tolC~~~WP_032191347.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032191347.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALNKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~emrA~~~WP_021569573.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_021569573.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDDQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~mexH~~~WP_033968362.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_033968362.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALFCAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~Bacillus subtilis mprF~~~WP_064133322.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_064133322.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNALVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~cmeA~~~WP_002866633.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002866633.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIVNKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMARATFENASKDFNRSKALFSKNAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTVGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETIQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQDQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~adeG~~~WP_031992413.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_031992413.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAKNDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~mgrA~~~WP_002450982.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_002450982.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEKIRPELSSASEKVATASSLSLEEVDELNRLLGKVIDAFNEAKDN ->ARGMiner~~~novA~~~WP_056642336.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_056642336.1~~~aminocoumarin~~~unknown MRPHDQSDWTPPPRDSGQPKEPAQVRRILRLFRPYRARLALVGLLVGAASLVSVASPFLLREILDTAIPEGRTGLLSLLALGMIATAVLTSVFGVLQTLISTTVGQRVMHDLRTGVYEQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKAITTQRQKQMAAMAATVTESLSVSGILLGRTMGRADSLTRSFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQSGGPAISLGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLPVDITEPAEPVRLAKVRGEVTFEKVEFRYDPEGRERATLDGIDVTVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVALDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSAGRTTITIAHRLSTVRDADQIVVLEAGQIAERGTHEELLARDGRYAALVRRDARTAGQGAVRDAGRGEQTNVGAVVPQNV ->ARGMiner~~~FosB~~~Q6HJT7~~~fosfomycin unknown +>ARGMiner~~~FosB~~~Q6HJT7~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVKGRKLAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYIVDPDGHKFEFHSGTLQERLNYYREDKPHMTFY ->ARGMiner~~~macA~~~WP_016506940.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_016506940.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAAFGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~MexF~~~WP_003191086.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_003191086.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAQPAPSDTSFQLSVNTQGRLVTEEEFENIVIRAGANGEITRLKDIARVELGSSQYALRSLIDNQPAVAIPIFQRPGSNAIDISNDVRSKMAELKKSFPAGMDYRIAYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPFPATEKAMSEVTGPIIATALVLCAVFIPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLRGHDAPKDRFSKFLDKVFGGWLFRPFNRFFEKASHGYVGTVRRVIRGSGIALFVYAGLMVLTFFGFAHTPTGFVPAQDKQYLVAFAQLPDAASLDRTENVMKRMSEIALKQPGVEAAIAFPGLSINGFTNSPNSGIVFVTLKPFDERKDPSMSAGAIAGALNGQYANIEEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKEVQNVIAKSHSVPELFGLFTSYTVNVPQVDAAIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDSDQIGQLKVRNNRGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGNAAPGYSSGQAQAAIEKLLKDELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIISGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQQEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRYVERSEARKAAKALKLETQQ ->ARGMiner~~~mecI~~~WP_064658513.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_064658513.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDSKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKK ->ARGMiner~~~acrB~~~WP_001132486.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132486.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGEHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQRVLNEVTNYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~macB~~~WP_059342912.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059342912.1~~~macrolide~~~unknown MTPLLELKDIRRNYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtM~~~WP_023157349.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_023157349.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHTATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAGELAEER ->ARGMiner~~~Escherichia coli mdfA~~~CP000675.2.gene1502.p01~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~CP000675.2.gene1502.p01~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MSEPLIKISYRQAIIFACFLVLYEFLTYIANDMIMPGMINVVKSFNAHESVVATSLTVYVLGGASLQLILGPLSDAYGRRPMMLIGSCLFFLFTLLIASSHSMNQFLIARFFQGMGLCFIGVIGYATIQEIFEEMDAIRLIAIMANAAILAPLLGPLLGAIIIHYASWRLIFIIIALGALLAYWGLWRFMPEPIGQVKRDGQLIPKTPFALNAITRNYKTLLSNQAFCYSAIAEGLVGIPCIAWIALAPIILIAEAKLTVIQYGLWQLPIFGATILGNWCLHHLTYKYKIERIIFIGCIIMVVGLALTALLPYFYGNNYVYLIPGIIIYFFSLSVINAPLNRYCLFVTAVSKGTASALISLSIMIIGAIGIEIANLFYQHHNNLHFALYCNAVGLLFLIFIGLTFFIGTPKKSEIHDEANSNVANS ->ARGMiner~~~MexB~~~WP_013956649.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_013956649.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSNFFIDRPIFAWVIALVIMLAGVLSIRALPISQYPAIAPPTIAISVNYPGASAETVQDTVVQVIEQQLNGLDRLRYISSESNADGSMTITVTFEQGTNPDIAQVQVQNKLALAQPLLPQEVQQQGIRVTKSVRNFLVIVGLISTDPKVTREDLSNYIVSNLQDPLSRTYGVGDFQVFGAQYAMRVWIDPARLNSYQLTPLDVSTAIRAQNVQVASGQLGGLPAVRGQQLNASVIGKTRLQTGEQFGNILLKVNPDGSQVRLKDVAEVGLGGQDYNINAQYNGQAASGIAVRLAAGANALETVRAIRKTLDGLEPFFPPGMKVVFPYDTSPVIAGSIHEVVKTLMEAIVLVFLVMYLFLQNVRATLIPTIAVPVVLLGTFGVLAAFGYTINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEDGLPPREAARRSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSSMVLSVLVALILTPALCATMLQPIEKGDHGENKGGFFGWFNRKFNSTVQSYERSVSGILKRRLPFLLIYVAILVVMGFLFTRIPTSFLPEEDQGVLYAQVQTPAGATAERTQKVLDQMREYMLKEEGGVVSSLFTVNGFNFAGRGQNSGLAFILLKPWEQRSGDSTSVFDLAARAQRKFMTFRDSMSFAFAPPAVQELGNAIGFDLYLQDQAGIGHVALMNARDKFLALASQSPVLQRVRPNGLNDQPQYQLVIDDEKARALRVSLADINSTVSIAWGSSYVNDFIDRGRVKRVYVQGRPDSRMNPDDIDKWFVRNDKGEMVPFSAFADGKWAYGSPKLQRYNGVAAVELLGEPAPGRSSGEAMAAIEEIMKQMPPGVGYAWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATLGRGLSNDVFFQVGLLTTIGLSAKNAILIVEFARSQYEQGRGLVEATVEACRMRLRPIVMTSLAFMLGVFPLAVSTGAGAGSQHAIGTGVIGGMITATVLAIFWVPLFFVVVTSMFGRKRRPEPPGPHVASLEEEALE ->ARGMiner~~~mdtG~~~WP_048269009.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_048269009.1~~~fosfomycin~~~unknown MSSAEIPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~mdtM~~~WP_010791662.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_010791662.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGATTISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGIIVVFTLAGLLNRVRQHQAAELAEERGFLRDPAVRLYS ->ARGMiner~~~acrB~~~WP_060527584.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_060527584.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAIALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~macB~~~WP_004209695.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_004209695.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGSDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtM~~~WP_048227152.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_048227152.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRILAFFSQRATTLFFPVALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLFTTSMTQFLAARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAVITSIVLVAPVIGPLSGAALMHFVHWKILFAIIAVMGLIALIGLTLAMPETVQRGAVPFSARSVVRDFRDVFRNRVFLFGAATLSLSYIPMMSWVAVSPVILIDAGGMTTSEFAWAQAPVFGAVIVANMVVVRFVKDPTRPRFIWRAVPIQLSGLAVLIAGNLLWPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEIGRWLWFNGGRISFHLLAVVAGIAVVFTLAGLLKRVRQHEATALVTES ->ARGMiner~~~macA~~~WP_001495038.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001495038.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAGSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~tolC~~~WP_058676589.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_058676589.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQVRLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPEQDAAVDNFNANGSAPVAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~mexW~~~YP_792720~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexW~~~YP_792720~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol~~~unknown MAFTDPFIRRPVLASVVSLLIVLLGMQAFSKLVIREYPQMENALITVTTLYAGANAETIQGYITQPLQQSLASAEGIDYMTSVSRQNYSTISIYARIGANTDRLVTELLAKSNEVKSQLPPDAEDPVLQKEAADASALMYISFYSEQMNNPQITDYLSRVIQPKLATLPGIAEAEILGNQVFAMRLWLDPVKMAAFGVTAGEINQAVQQYNFLAAAGEVKGQLVVTSVNASTDLKSPQAFAAIPVKTDGDRRVLMGDVARVELGAASYDAISSFNGIPSVYIGIKGTPSANPLDVIKEVRAKMPELEEQLPPNLKVSIAYDATRFIQASIDEVVKTLGEAVLIVIVVVFLFLGAFRSVLIPVVTIPLSMIGVLFFMQAMGYSINLLTLLAMVLAIGLVVDDAIVVVENIHRHIEEGKPPFEAALEGAREIAVPVVSMTITLAAVYAPIGFLTGLTGALFKEFAFTLAGAVIISGIVALTLSPMMCSRLLRHEENPSGLAHRLDLIFEGLKRRYQRALHGTLDTRPVVLVFAVLVLALIPVLLMFTKKELAPEEDQGIVFLMTNSPQTANLDYLNRYTAEFEGIFRSFPEYYSAFQINGYNGVQAGIGGMLLKPWDEREKSQMELLHAVQAKLNEIPGVQIFAFNLPSLPGTGEGLPFQFVLNTANDYESLLQVAQRVKQRASESGKFAFLDLDLAFDKPELVVDIDREKAAQMGVSMQDLGVALASLLGEGEINRFTIDGRSYKVIAQVERPYRDNPGWLGSYYVKSRNGQLVALSTLIETHERARPRQLNQFQQLNSAIISGFPIVSMGEAIETVQQIAREEAPRGFAVDYAGASRQYVQEGSALLVTFGLALAIIFLVLAAQFESFRDPLVIMVTVPLSICGALIPLFLGVSSLNIYTQVGLVTLIGLISKHGILIVEFANQLRHEQGLGRREAIEQAAAIRLRPVLMTTAAMVLGVIPLILATGAGAVSRFDIGIVIATGMSVGTLFTLFVLPCIYTLVARPDAPPGVTQAANAH ->ARGMiner~~~tolC~~~WP_047055773.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047055773.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDRNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASTSVSNNRYSGSKNISPDADIGQNTVGLNFTLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPTSPDSVAPENPQQDAAADGYANTASAQPAAARTTKTSGSNPFSH ->ARGMiner~~~macB~~~WP_001614292.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001614292.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRGKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sul2~~~WP_031987899.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_031987899.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVIHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~mexH~~~WP_043100492.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_043100492.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALLCAAVVGIAVYATGSAKKDTGGFAGYPPVKVALATVERRVVPRLFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVERGQLLVQLNDAVEQADLIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIEQKAIRAPFSGRLGIRRVHLGQYLGIAEPVASLVDARTLKSNFSLDESTSPELKVGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQAVLENPEGLLAAGMFASVRVSRKADAPSLSVPETAVTYTAYGDTVFVARQEGDQPLSARRVSVRVGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAALPVPVAGR ->ARGMiner~~~vanXO~~~WP_007510130.1~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~WP_007510130.1~~~glycopeptide~~~unknown MKNDFVFVDEFVPGVRWDAKYATWDNFTGKPVDGYQANRIVGTRALCAALERAGEKAAASGFGLLLWDGYRPQRAVDCFLRWSEQPEDGRTKPRHYPNIDRPEMFEKGYVAARSGHSRGGTVDLTLYHLATGELADMGGRHDLMDPVSHHGAREITPVEARNRQHLCSIMKACGFDSYDHEWWHYTLRHEPYPNTYFDFPIT ->ARGMiner~~~mdtA~~~CP004022.1.gene1672.p01~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~CP004022.1.gene1672.p01~~~aminocoumarin~~~unknown MNNNKKTKKRFSLIITLLIVIAGAIAYWQYSSNNSAPPVSKDTPTANTPNRSTAGSRRPPMPPVQVATSTQEDVPQFLTALGTVKAVNSVTVTSRVEGQLMALHFTEGQQVNQGDLLAEIDPRPFEVQLAQAKGQLAKDQATLANARLDLARYQKLAKTHLVSQQELDNQAALVKQSEASISIDKAAINNAQLQLTYSKITAPISGRVGLKQVDVGNYISGGSSTPIVVINQMDPVDVLFTLPEQDLSQVILARKNSPTLPVIALDRNNKIELAQGTLFSVDNQIDATTGTIKLKARFPQQESTLFPNQFVNIRLYVTTLEKAVVIPNAALQMGNEGHFVWVVDEENKVSKLAVEVASQNADKVVIASGLSANQRVVTDGVDRLTQGAKVEIVTPLAPKAKTTDPVVAEKA ->ARGMiner~~~MexE~~~WP_023132689.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_023132689.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAIGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~abeM~~~ELW99858.1~~~fluoroquinolone;acridinedye;triclosan unknown +>ARGMiner~~~abeM~~~ELW99858.1~~~fluoroquinolone;acridinedye;triclosan~~~unknown MLPILITQFAQAGFGLIDTIMAGHLSAADLAAIAVGVGLWIPVMLLFSGIMIATTPLVAEAKGARNTEQIPVIVRQSLWVAVILGVLAMLILQLMPFFLHVFGVPESLQPKASLFLHAIGLGMPAVNMYAALRGYSEALGHPRPVTVISLLALVVLIPLNMIFMYGLGPIPALGSAGCGFATSILQWLMLITLAGYIYKASAYRNTSIFSRFDKINLTWVKRILQLGLPIGLAVFFEVSIFSTGALVLSPLGEVFIAAHQVAISVTSVLFMIPLSLAIALTIRVGTYYGEKNWASMYQVQKIGLSTAVFFALLTMSFIALGREQIVSVYTQDINVVPVAMYLLWFAMAYQLMDALQVSAAGCLRGMQDTQAPMWITLMAYWVIAFPIGLYLARYTDWGVAGVWLGLIIGLSIACVLLLSRLYLNTKRLSQT ->ARGMiner~~~BcI~~~WP_061689740.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_061689740.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKDDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTVKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRSGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNKLIAEATEVIVKALK ->ARGMiner~~~L1 beta-lactamase~~~WP_010485454.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_010485454.1~~~cephalosporin~~~unknown MRCSLLAFALAAAVPVAHASAAEAPLPQLRAYTVDTSWLQPMAPLQIADHTWQIGTENLTALLVETAEGAVLLDGGMPQMAGHLLDNMKVRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVVANAESAVLLARGGSNDLHFGDGITYPPTSADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDRRDGKPVRIAYADSLSAPGYQLAGNPRYPRLIEDYKRSFTTVRGLPCDLLLTPHPGASNWNYAARSKAGAKALTCSAYADVAEKTFDAQLAREAAAHR ->ARGMiner~~~mdtE~~~EFK18571.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~EFK18571.1~~~macrolide;fluoroquinolone;penam~~~unknown MLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVTEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~tolC~~~WP_063622443.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063622443.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGISDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGTTPVAQPAAARTTAPASKSNNPFRN ->ARGMiner~~~adeC~~~ADB77769.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeC~~~ADB77769.1~~~glycylcycline;tetracycline~~~unknown MSKSATVSRGLVISTLSIALVACVNMQAPQPAITSHIPHNFSQNTSGKTIAEQSYKEFISNPKLAQVIEITLNNNRDLRTATFNIERVQQEYQITKNSQLPTIGVMGGAARQVDPSINPNNPASTFQVGLGMTAYELDFWGRVQNLKDAALNNYLATQSAKEAVQISLISNVTQAWLNYAFAQANLNLAEQTLKTQLDSYHLNKKRFEVGIDSEVPLKQAQISVETARNDVATYKTQIQQAKNLLDLLAGHPVPQNLLPDHTIQNITFEKTFAAGLPSDLLNHRPDLKAAEYELRAAGANIGAAKARMFPTISLTGSTGYASSELKDLFKTGNFAWSIGPSVDLPIFDWGTRKANIKIAETDQKIALAKYEKAIQSAFREVNDALATHAHIGERLDAQRRLVSATDATYKLSMARYRAGVDSYFTVLDAQRSAYAAQQGLLALEQMKFNNQIEIYKALGGGISKV ->ARGMiner~~~mdtH~~~WP_047664641.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047664641.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAVADRFGAKPMIVTGMLMRAGGFAAMAVAHESWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALIGSWLLQYDFRLVCSVGAALFVACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPTAVKWMYAIEAAISLTLLYPIARWSEQRFRLEHRLMAGLLVMTIAMLPIGLTSNLQQLFTLICIFYIGSIVAEPARETLGASLADARARGSYMGFSRLGLAFGGAFGYAGGGWLFDAGKALNQPELPWLMLGIIGLFTFLALWWQFSQKRSTSGMLEPRT ->ARGMiner~~~macB~~~WP_032982657.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032982657.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGETDVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLSDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTARAARQTRARELLARLGLEARVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPSPVGKRDAGGLPAQPQDAPAFGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAGEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSGEAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIALALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~macB~~~WP_008805839.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_008805839.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHDLLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIEIRDGEIVRNPPGSRQGGGLRARQQPEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~L1 beta-lactamase~~~WP_053495159.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_053495159.1~~~cephalosporin~~~unknown MRLCLTTLALTATLAFDVTAADAPLPQLQAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMAGHLISNMKVRGVAPQDLRVILLSHAHADHAGPVAELKRRTGASVAANAESAVLLARGGSNDLHFGDSITFPPASTDRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGQPVRIAYADSLSAPGYQLQGNARYPRLVEDYRRSFATVRALPCDLLLTPHPGASNWNYAAGAEAGANALTCKAYADAAEKKFDAQLAKETATAR ->ARGMiner~~~macA~~~WP_002237741.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002237741.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~ykkC~~~WP_003154767.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~WP_003154767.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MKWGLVVIAAVFEVVWVTGLKHADSALTWGGTITGIIISFYLLIKATDSLPVGTVYAVFTGLGTAGTVLSEILLFKEQADPVKIVLIGVLLIGVIGLKLVTQDKPETKEEKA ->ARGMiner~~~floR~~~WP_050946607.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_050946607.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSVLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCIQSLIVSIVGTLAVTLLNGDTAWPVICYATAMAVLVSLGLALLRSRDAATEKSPVV ->ARGMiner~~~msrA~~~WP_031807261.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_031807261.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETVGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLYQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSLHDIT ->ARGMiner~~~vanXB~~~WP_060811964.1~~~glycopeptide unknown +>ARGMiner~~~vanXB~~~WP_060811964.1~~~glycopeptide~~~unknown MENGFLFLDEMLHGVRWDAKYATWDNFTGKPVDGYEVNRIIGTKAVALALREAQIHAAALGYGLLLWDGYRPKSAVDCFLRWAAQPEDNLTKEKYYPNIERAELITKGYVASQSSHSRGSTIDLTLYHLDTGELVSMGSNFDFMDERSHHTAKGIGNAAAQNRRCLRKIMESSGFQSYRFEWWHYKLIDEPYPDTYFNFAVS ->ARGMiner~~~macB~~~WP_057729122.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_057729122.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTLTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtB~~~WP_032237268.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_032237268.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNTLYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALLIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPREAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~tet(C)~~~ANH56010.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~ANH56010.1~~~tetracycline~~~unknown MSTNLSVIKNPRVQSDQRRLVRRPDVKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATQGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~msrC~~~WP_002318835.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrC~~~WP_002318835.1~~~macrolide;streptogramin~~~unknown MENLAVNITNLQVSFGNQLELSIDSLRVYQQDRIGIIGENGVGKSTLLKLIAGELFPDHGKIQTEITFNYLPQLTYLAEAKDLNLELASHFQLRLEETSERKWSGGEERKIELIRLLSSYEQGMLLDEPTTHLDRKSIDRLIEELRYYYGTLVFVSHDRYFLDELASKIWEVKDGEIREFSGNYSAYLTQKELEKKTQLREAESIMKEKKRLEKSIQEKKKQAEKLEKVSSKKKKQQIRPDRLSSSKQKDSVQKAIQKNAKTLERRLQKIGETTKPQQMKQIRFPVPKSLELHSRYPIMGQNVQLERSGRTLLVNGDFQFSLGKKIAIVGENGSGKTTLLEHIRKQGEGILLSPKVSFQVYQQKGYQMTSEESIIRFVMRQTEFSESLVRSLLNHLGVAQETLTKPLCTLSGGEATRLTIALLFTKPSNVLLLDEPTNFIDMATIEALEKLMQIYPGTILFTSHDSYFVERTADEVYEIKGQKIKKVLTRNF ->ARGMiner~~~acrB~~~CP001918.1.gene1257.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~CP001918.1.gene1257.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDIIAKFNGKPASGLGIKLATGANALDTATAIRAELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAIFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGGHGEHKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYIIIVVGMAYLFVRLPSSFLPDEDQGVFLTMAQLPAGASQERTQKVLDEVTDYYLTKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGEENKVEAITGRAMGTFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQYKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAQYRMLPNDINNWYVRGSDGQMVPFSAFSTSRWEYGSPRLERYNGLPSMEILGQAAPGRSTGEAMNLMEELASKLPAGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHSVEPH ->ARGMiner~~~tolC~~~WP_057058761.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_057058761.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGINSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDNNMGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPQQYAAVDNFTANSSTPVAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~MexA~~~WP_031692208.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_031692208.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGHSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~tolC~~~WP_047043046.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047043046.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKNQNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASTGVSNNRYSGSKNITQDADVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPTSPDSVAPENPQQDAAADGYANTASAQPAAARTTKTSGSNPFSH ->ARGMiner~~~macA~~~CPR44997.1~~~macrolide unknown +>ARGMiner~~~macA~~~CPR44997.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLXXXXXXXSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mdsC~~~CP001138.1.gene376.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;phenicol;penem unknown +>ARGMiner~~~mdsC~~~CP001138.1.gene376.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;phenicol;penem~~~unknown MKITFTGYRQTATLATLAFVTTLAGCTMAPKHERPASPTAMVYPYATSTVSGAPDAADIGWRDFFHDPLLQELIAIALRNNRDLRKAGLNVEAARALYRIQRAEMLPTLGIATAMDAGRTPADLSVTDEPEINRRYEMAGATTAWELDLWGRVRSLSDQALAAYMALDETYIAARMSLVSEVASAWLTLRADRELLRLTEDTLAAQKSSYTLTTQLARTGNATQLDLRMAEIALRSAEINRAAYTRQLARDRNALELLLGQPLTPELSRRLNEAVTLTEGAIPTTLPGGLPSDLLVRRPDIRAAEYRLRGANARIGAARAAFFPTISLTGTAGTASASLSGLFEPGSGSWRFLPQITLPLFHGGALRADLDRAHVQKQIEIASYENVIQQAFRDVADGLAGQRTLNDQVQSEQRAVEASQIAYELAGLRFQEGVDDYLTLLDTHRMLYGAQQRLVRTRLMQQLNIINLYKALGGGWREYSEKKQG ->ARGMiner~~~macB~~~WP_032753940.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032753940.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGRERRARLARAHDLLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDAQVAAQAERIIEIRDGEIVRNPPGSRQGGGLRARQQPEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtF~~~WP_000024874.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_000024874.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGVAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~tolC~~~WP_060659254.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_060659254.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTVVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~lmrP~~~AHY97755.1~~~macrolide;lincosamide;streptogramin;tetracycline unknown +>ARGMiner~~~lmrP~~~AHY97755.1~~~macrolide;lincosamide;streptogramin;tetracycline~~~unknown MFRELHPNIRARILIQFLSKVIGSMIFPFMAIYFSREINSSVAGFLLMINVLAQFLAGMYGGHLADIIGRKKLMVTGELLKVFAFLGMVLCNSPMFHSPWITFVMLLIIGVAQGLINPAGEAMLIDVSTPENRSFMYSVSYWANNLSIMIGIMVGGWFFVDYLFPLLVVLFIMSFVTAWLTISLISETLQQKEMPHKGSYGLMGMLKNYGQVLHDYRFLLYTIGGIAIMSIEFQRSNYISVRLAEDVKALLVHLGPLGNISLNGVQIVSVLTAVNTLFIVLFTVPIARFVTKRAQQPIMYVGFTLFALGFAVCAFANNLTVLLLATMVLSIGELLYVPTRQTVLAAIVDDERRGAYMAFNGIIFQIGKMIGSVSLVFAPFIGKYGMGAFTITLGVLSIVFSAVALKSGWEKVLVK ->ARGMiner~~~Klebsiella pneumoniae OmpK36~~~AF336098.1.gene1.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK36~~~AF336098.1.gene1.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKVKVLSLLVPALLVAGAANAAEIYNKDGNKLDLYGKIDGLHYFSSDDSVYGDQTYMRIGVKGETQINDQLTGYGQWEYNVQANNTESSSDQAWTRLAFAGLKFGDAGSFDYGRNYGVVYDVTSWTDVLPEFDGDTYGSDNFLQSRANGVATYRNSDFFGLVDGLNFALQYQGKNGSVSGEDQTNNGRGFQKQNGEGFGTSVTYEIWDGISAGFAYSSSKRTDEQNNSTYFSKSYRRTYGVLGEGDHAETYTGGLKYDANNIYLATQYTQTYNATRTGDIGFANKAQNFEVVAQYQFDFGLRPSVAYLQSKGKDMGRYGDQDILKYVDLGATYYFNKNMSTYVDYKINLLDDNKFTKDASISTDNVVALGLVYQF ->ARGMiner~~~mdtG~~~AEW73081.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~AEW73081.1~~~fosfomycin~~~unknown MRPSGPHAHLALKAPPHCSDHNPGAYLAGLLLQAYGALHLIMRIVMSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGLAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADNYGLRPVFFITASVLFLCFIVTLLCIRERFTPVPRKEMLHARDVLTSLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRDLAGDVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSMVQAPWQLGLLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLVGAGISASFGFRAVFIVTAGVVLFNAIYSWFSLSRALRPVVNKTNA ->ARGMiner~~~mtrE~~~WP_002243780.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~WP_002243780.1~~~macrolide;penam~~~unknown MDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGSVGTRSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYEAAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~macB~~~WP_044061609.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044061609.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARX ->ARGMiner~~~nalD~~~WP_058138287.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_058138287.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGTVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWSQASSAP ->ARGMiner~~~macB~~~WP_023215070.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023215070.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLLAAQAERIIEIHDGKIVHNPPAQEKKREQGVDVAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtA~~~CTY94634.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~CTY94634.1~~~aminocoumarin~~~unknown MCPPFRRFPIVFHNSSIFLPYWLATLVSFRETFQEEKLLTMKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLVKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLLFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~norA~~~WP_031923002.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031923002.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGSLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~lsaB~~~WP_000061805.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061805.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFGNIFEDVNFQIDTDWKLGFIGRNGRGKTTFLNLLLGNYEYSGKILASVEFNYFPYPVADKNKFTHEILEEICPQAEGWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEQATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKVIEEKSKLLKNVEKTESLKLEALKFKSNELVILADVSVKYDDQVVNEPISFIVEQDDRIVLDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDYIEEQKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~emrA~~~WP_001300410.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001300410.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVEANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~SRT-2~~~WP_048233629.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_048233629.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAHAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFKDPASHYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTNNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLGQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAKSYGIKSNARDLIRYLDANLQQVKVAQPWRDALAATHVGYYKAGAFTQDLMWENYPYPVQLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIIQALEKR ->ARGMiner~~~adeG~~~WP_032049540.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032049540.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANATLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPPQPQPTDKTSTPAKG ->ARGMiner~~~TEM-1~~~ANG23442.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG23442.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAVPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLVDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~WP_024242152.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_024242152.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYTFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~arnA~~~WP_000860297.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860297.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLIHDKILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKDGNILEIAQCENEATCFGRRTPEDSFLEWHKSAAVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPVCAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtN~~~WP_016244136.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_016244136.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIIELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~FosB~~~WP_048394111.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_048394111.1~~~fosfomycin~~~unknown MTIQNINHLLFSVSNLEESITFYEKVFDAKLLVKGNSTAYFDVNGLWLALNVEKDIPRNDIHHSYTHIAFTIAEDDFDKVYDRLVQLKVNILAGRQRDEKDKKSIYFTDPDGHKFEFHTGTLQDRLDYYKQEKQHMVFLDN ->ARGMiner~~~mdtH~~~WP_021546864.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_021546864.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRYVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~TEM-206~~~ANG15465.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-206~~~ANG15465.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVTLIPFFAAFCLPVFAHPATLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mexH~~~WP_034067203.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_034067203.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtB~~~CRL63207.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~CRL63207.1~~~aminocoumarin~~~unknown MTEKTHGTGGGPSRLFILRPVATTLFMVAILLAGIVGYRMLPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLMFQLTLPLDVAEQEVQAAINAATNLLPSDLPYPPIYSKVNPADPPILTLAVTSSTLPMTQLQDMVETRISQKISQVNGVGLVALAGGQRPAVRVKLNAQAAASYGLDSEKIRVAINNANVNSAKGSLDGPTRSVTLSANDQMKSLEDYRQLIVAYKNDAPIRLSDIATIEQAPENNQLGAWANNEQAIIINVQRQPGVNVIDTTDNIRNLLPDLVSNLPKSVNVEILTDRTTTIRASVKDVQFELGLAIALVVMVIYLFLRNGVATLIPSIAVPLSLVGTFAVMYFCGFSVNNLTLMALTIATGFVVDDAIVVIENISRYLERGDKPLTAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARLLKPENEIKHNRFEIACERFFEKMIAVYAVWLKRVLNHQWITLGVALSTLVLTVLLYMFIPKGFFPLQDNGLLQGTIETSQSISYQAMVEKQQQVVDKLIDDPAVDNIASFVGIDGSNATLNTGRLQITLKPLDQRDDRIDVIIPRLQARIASIAGMTLYLQPTQDLTIDTQVSRTQYQFTLQATSLDELTYWVPKLSQALKESPELTDISSDWQDNGMMAYIKVDRDSASRLGISMSEIDNALYNAFGQRLISTIYTQANQYRVVLEQDIRNDDGLQALSAVHLTGKDGAMVPLLSIASVEQRLAPLSINHQEQFPSATFSFNVAEQSSLEDAVKAVKLAEEQISMPKDITTQFQGATLAFESALSSTLWLIIAAIVAMYIVLGVLYESFIHPITILSTLPTAGVGALLALMAAGNELDIIAIIGIILLIGIVKKNAIMMIDFALAAEREQGLTPYEAIYQACLLRFRPILMTTMAALLGALPLMLSTGVGAELRQPLGVCMVGGLIMSQILTLFTTPVIYLLFDKLSLYVNRNKHVENNNGAVS ->ARGMiner~~~mexW~~~YP_001747848~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexW~~~YP_001747848~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol~~~unknown MAFTDPFIRRPVLASVVSLLILLLGFQAWNKLQIRQYPQMENALITVTTAYPGANAETIQGYITQPLQQSLASAEGIDYMTSVSRQNFSIISIYARIGADSDRLFTELLAKANEVRNQLPQDAEDPVLSKEAADASALMYISFYSKEMSNPQITDYLSRVIQPKLATLPGMAEAEILGNQVFAMRIWIDPVKLAAFGLAATDVTSAVRRYNFLAAAGEVKGEYVVTSINANTELKSAEAFAALPLKTSGDSRVLLGDVARVEMGAENYDTVSSFDGTPSVYIGIKATPAANPLEVIKEVRRIMPELESQLPSALKGSIAYDATLFIQASIDEVVKTLGEAVLIVIVVVFLFLGALRSVLIPVVTIPLSMIGVLFFMQMMGYSLNLLTLLAMVLAIGLVVDDAIVVVENIHRHMEEGKSPLDAALEGAREIAMPVVSMTITLAAVYAPIGFLTGLTGALFKEFALTLAGAVIISGVVALTLSPMMCALLLRREHNASGLAHRLDVLFERIKGRYQRLLHSTLNSRPVVLVFAVIILCLIPVLLKFTQNELAPNEDQGVIFMISSSPQPANLDYLNAYTDQFTPLFKAFPEYYSSFQINGFSGVQSGIGGFLLKPWNERDRTQMELLPLVQAKLDNISGLQIFGFNLPSLPGTGEGLPFQFVINTAGGYPALLEVAQRVKERAQASGKFAFLDIDLAFDKPEVVVDIDRAKAAQMGVSMDVLGGTLATLLGEGEINRFTLEGRSYKVIAQVERPYRDNAGWLNSYYVKNDQGQLLPLSTLITLSDRARPRQLNQFQQLNSAIIQGVPLVSIGEALQTVRDIAAEQAPEGFSFDYAGAARQYIQEGSALWVTFGLALAIIFLVLAAQFESFRDPLVILVTVPLSICGALLPLFLGVSSMNIYTQVGLVTLIGLISKHGILIVEFANQLREEKGLSARDAIEEAAAIRLRPVLMTTAAMVFGMVPLILATGAGAVSRFDIGTVIATGMSIGTLFTLFVLPCIYTLLAHKTAPTEPVVA ->ARGMiner~~~tolC~~~OCK71359.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~OCK71359.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWHALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macA~~~WP_050164976.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050164976.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQASYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLDMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERVMGGPPRR ->ARGMiner~~~macB~~~WP_052896466.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_052896466.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYHQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_057063453.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_057063453.1~~~macrolide~~~unknown MTALLELSHIRRSYPSGEGLVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPSQKPSGGRDIAEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~WP_001174971.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174971.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMVVLWNAGYIIRSMQNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKHARSMRDIRNEKGTAKPDPAALKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRAAR ->ARGMiner~~~FosB~~~WP_061654778.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_061654778.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRDRESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~mtrE~~~WP_014575519.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~WP_014575519.1~~~macrolide;penam~~~unknown MDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGTVGTGSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYESAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYAAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~macB~~~WP_032302787.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032302787.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVAILDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQKLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTAPVVKMASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~EYS31407.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EYS31407.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPCVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLKLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~macA~~~WP_045374057.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045374057.1~~~macrolide~~~unknown MTLNGKRRKVWWLLALVVVIAAIWGWRILNAPLPQYQTLVARKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAENQIKEVDATLMELRAQLKQAQAERKLAQVTLARQQQLAQRQLVSRQDLDTAATDVAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLAEVKNVITIPLSALGDAIGDNRYNVRLLRNGEVKEREIVIGARNDTDVAVAKGLEEGDEVIISESTPGATK ->ARGMiner~~~tolC~~~WP_032081234.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032081234.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLLISATLGGLSTTAFADDLAQIYDQAKQNDPQLLSAAAQRDAAFEAINSSRSSLLPQINLTAGYNINRSDVDPRDSDKLSAGINFSQELYDRSSWVSLDTAEKQARQADAQYANTQQALILRVAQAYFDVLSAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDTVLADEVLAENSLINSYESLREITGQEHTNLSILDTNRFSTSRTTESMEALIEQAQEKNLSLLSARISQDVAKDNISLASSGHLPSLTLDGGYNYGREFNDKYSSYNTYNENNDFNIGLNLTVPLYSGGNVSSQTKQAEYAYVAASQDLEAAYRSVVKNVRAYNNNINGSIGSVRAYEQSVISAQSALDATEAGFDVGTRTIVDVLDATRTLYSVKKNLSDARYSYIISVLQLRQAVGTLSEQDVIDVNAGLKAIKK ->ARGMiner~~~AAC(3)-Ia~~~WP_031950771.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~WP_031950771.1~~~aminoglycoside~~~unknown MLRSSNDVTQQGSRPKTKLGGSSMGIIRTCRLGPDQVKSMRAALDLFGREFGDVATYSQHQPDSDYLGNLLRSKTFIALAAFDQEAVVGALAAYVLPKFEQARSEIYIYDLAVSGEHRRQGIATALINLLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREEVMHFDIDPSPAT ->ARGMiner~~~aad(6)~~~NC_006663.1.orf0.gene.p01~~~aminoglycoside unknown +>ARGMiner~~~aad(6)~~~NC_006663.1.orf0.gene.p01~~~aminoglycoside~~~unknown MTLEGSRANINIPKDEFQDYDITYFVSDIEPFISNDDWLNQFGNIIMMQKPEDMELFPPEEKGFSYLMLFDDYNKIDLTLLPLEELDNYLKGDKLIKVLIDKDCRIKRDIVPTDIDYHVRKPSAREYDDCCNEFWNVTPYVIKGLCRKEILFAIDHFNQIVRHELLRMISWKVGIETGFKLSVGKNYKFIERYISEDLWEKLLSTYRMDSYENIWEALFLCHQLFRAVSGEVAERLHYAYPEYDRNITKYTRDMYKKYTGKTGCLDSTYAADIEERREQ ->ARGMiner~~~FosB~~~WP_061046908.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_061046908.1~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHQRLKDLNVSILNGRPRDKQDHKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~mdtM~~~WP_001489266.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001489266.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPGILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLSLLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVLAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~tet(C)~~~AMP47989.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~AMP47989.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIALDMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRGWMAFPIMILLASGGIGMPALQAMLSRQVDDDHQGQLQGSLAALTSLTSIIGPLIFTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~acrB~~~WP_041911061.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_041911061.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVARVELGGENYDIIAKFNGKPASGLGIKLATGANALDTATAIRAELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVQKGGHGEHKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYIIIVVGMAFLFVRLPSSFLPDEDQGVFLTMAQLPAGASQERTQKVLDEVTDYYLTKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGDENKVEAITGRAMGTFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQYKINIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAQYRMLPNDINNWYVRGSNGQMVPFSSFSTSHWEYGSPRLERYNGLPSMEILGQAAPGRSTGEAMNLMEELASKLPAGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSRKNEDVEHSHSVEPH ->ARGMiner~~~acrB~~~WP_021567999.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_021567999.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTNYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLEVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~macA~~~WP_023260800.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023260800.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVLIGESRPGATP ->ARGMiner~~~TEM-1~~~ANG20792.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG20792.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSTQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLTKHW ->ARGMiner~~~tolC~~~WP_063439524.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063439524.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGNTPAAQPAAARTTTSASKGNNPFRN ->ARGMiner~~~mgrA~~~WP_053026213.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_053026213.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLSILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKHERSEVDQREVFIHLTEKSEKFKPELSDASQKVANASSLSNDEVHELNRLLGKVIDAFTESK ->ARGMiner~~~mdtC~~~WP_017827492.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_017827492.1~~~aminocoumarin~~~unknown MKLFALFIQRPVATTLLSLAISLCGALGFMLLPVAPLPQVDYPVINIYASLPGASPETMASSVATPLERSLGRIAGIDEMTSSSALGSTSITLVFDLNKDINTAARDVQAALNTSQSLLPSGMPSRPRYYKSNPSDAPIMILTLTSETQNTGELYDLASTRLAQKISQIEGVSEVSVGGGSLPAVRVALNPDALFNQNVSLDDVRKAISQSNVRRPQGFIHNDENRWQIQTNDELSKAQDYRPIIVHYNQDAIVRLSDVAQVTDSVQNARAAGMSGGEPAILLVIRREAGANIIETVNRIRDELPELRELLPASVNLKVAQDRTPTIRASLAEVERALAIAVALVILVVFLFLRSGRATLIPAVAVPVSLIGTFSAMYLCGFSLNNLSLMALTVATGFVVDDAIVVLENISRHIENGLKPKQAALKGVSEVGFTVLSMSISLVAVFIPLLLMDGLVGRLFKEFAITLTTAIGISLFVSLTLTPMMCAHLLKGIKPKAQSHLRGFGKLIFRLQQGYSVTLQAALRHKRWIMAIFITTLGLNAYLYISAPKTFFPDQDTGRLMGFVRADQSISFQSMKEKMTRFMQEINADKDVDSVTGFTGGGRINSGFMFISLNPLSERTDSANQVINRLRIKLANEPGATLFLMPVQDVRAGGRQANASYQFTLLADDLSELRKWEPLIRKALGELPELVDVNSDKEDKGAEMALTYDRDTMSQLGINVSDANNLLNNAFGQRQISTIYAPLNQYKVVMEVSEQYTQDVSALDKMYVMNTQGERIPLSAFASWYPANAPLSVNHQGLSAASTIAFNVPEGYTLSDAINAIERTMTELGVPNTVRGTFAGTAQIFQETIKSQLILILAAIVTVYIVLGVLYESYIHPLTILSTLPSAGVGALLALRLFDTPFSLIALIGIMLLIGIVKKNAIIMVDFAITAQREGKLSAQEAIIQASLLRFRPIIMTTLAALFGALPLMLSSGDGAELRQPLGITIVGGLLMSQLLTLYTTPIIYLFFDGVRQRWQQRRHNKKEANA ->ARGMiner~~~Serratia marcescens Omp1~~~CP000647.1.gene956.p01~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~CP000647.1.gene956.p01~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVVIPALLVAGAANAAEIYNKNGNKLDFYGKMVGEHVWTTNGDTSSDDTTYARIGLKGETQINDQLIGYGQWEYNMDASNVEGSQTTKTRLAFAGLKAGEYGSFDYGRNYGAIYDVEAATDMLVEWGGDGWNYTDNYMTGRTNGVATYRNSDFFGLVDGLSFALQYQGKNDHDRAIRKQNGDGFSTAATYAFDNGIALSAGYSSSNRSVDQKADGNGDKAEAWATSAKYDANNIYAAVMYSQTYNMTPEEDNHFAGKTQNFEAVVQYQFDFGLRPSIGYVQTKGQGPAVACWLLRRRCGSG ->ARGMiner~~~amrA~~~WP_011351921.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_011351921.1~~~aminoglycoside~~~unknown MNNNRSLLRHRLAPFALAAVLALAGCGKGDKDAAPEAAKQATVVTVRPTAVPMTVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKQGAVLFRIDPAPLKAARDAAQGALAKAQAAALAASDKRRRYDDLVRDRAVSERDHTEAVAGDTQAKADVASAKAELARAQLQLDYATVTAPIAGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVDALRRAVKSGRATGIAQHDIAVTLLRADGTAYPLKGKLLFSDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDTAVDQRAILVPRDALLRTADRTSVRVVGTNGKVKDVEVAADQMSGRDWRITRGLAGGERVIVDNAAQFAPDTAVKPVEQAPPSKAAPAAAASQAAARQT ->ARGMiner~~~FosB~~~WP_000911685.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000911685.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVKGRKLAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMTFY ->ARGMiner~~~mdtG~~~WP_001774407.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_001774407.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGASDGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~hmrM~~~WP_032943310.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032943310.1~~~fluoroquinolone;acridinedye~~~unknown MQKYVSEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQIRQGFWLAGSVSVLIMVVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPAMVMGFLGLLVNIPVNYVFIYGHLGMPELGGVGCGVATAAVYWVMFIAMLSYIKRARSMRDIRNARGFQKPDTAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGVCMAVVTAIFTVTFREHIALLYNNNPEVVALAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAILMMLRMRFLQRQPSAVILQRAAR ->ARGMiner~~~mdtP~~~WP_000610585.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610585.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAQGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~FosB3~~~WP_049876753.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_049876753.1~~~fosfomycin~~~unknown MHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~tolC~~~WP_045909979.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045909979.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGISDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGTTPAAQPAAARTTAPASKSNNPFRN ->ARGMiner~~~FosB~~~AIE79198.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~AIE79198.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGKLLVRGRKLAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKSHMTFY ->ARGMiner~~~macB~~~WP_000188182.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188182.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDYFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tet(C)~~~WP_058659945.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_058659945.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALVQFACAPVLGALSDRFGRRPILLVSLAGATVDYAIMATVPFLWVLYIGRIVAGITGATGAVADAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITAPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~Bacillus subtilis mprF~~~WP_020977229.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_020977229.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDVHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPIGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~norA~~~WP_049308446.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049308446.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMMRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~MexD~~~WP_023089641.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_023089641.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAVIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~mdtE~~~WP_001081979.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001081979.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYGQTGTLKFSDPTVDETTGSVTLRAIFPNPNGNLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~tet(C)~~~CAA24909.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~CAA24909.1~~~tetracycline~~~unknown MKPNIPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALVQFACAPVLGALSDRFGRRPILLVSLAGATVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLSFVRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~Sed1 beta-lactamase~~~WP_044263728.1~~~cephalosporin;penam unknown +>ARGMiner~~~Sed1 beta-lactamase~~~WP_044263728.1~~~cephalosporin;penam~~~unknown MFKKRGHQTVLIAAVLAFFTASSPLLARTQGDPAQVQEKLAALEKQSGGRLGVALINTADRSQILYRGDERFAMCSTSKTMVAAAVLKQSETQHDILQQKMVIKKADLTNWNPVTEKYVDKEMTLAELSAATLQYSDNTAMNKLLEHLGGTSNVTAFARSIGDTTFRLDRKEPELNTAIPGDERDTTSPLAMAKSLHKLTLGDALAGAQRAQLVEWLKGNTTGGQSIRAGLPEGWVVGDKTGGGDYGTTNDIAVIWPEDRAPLILVTYFTQPQQDAKGRKDILAAAAKIVTEGL ->ARGMiner~~~cmeA~~~WP_002805122.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002805122.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MNLFQKNTLLLLSALFLFSACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIVEKLFKAGDLIKKGQTLFIIEQDKFKASVNSAYGKALMARANFDNASKDYNRSKTLYNKGAISQKEYDSALANFNNTKANLTSARADLENARIDLAYTEIKAPFDGIVGDALINIGDYVSSSSTELVRITNLNPIYADFYISDTDKLNIVRNTQDGKWDLSNIYADLNLNGEVVKGKLYFIDSVIDANSGTVKAKAIFDNNDSTLLPGAFATITSNGFIQKNGFKIPQIAIKQDQNEVYVFLLKEGKVAKAPVHISYQDNEYAIIDKGLQNGDKIILDNFKKIRLGSEVKEVGAQ ->ARGMiner~~~MexB~~~WP_041478908.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_041478908.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMSKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKNAIAAQNVQVSSGQLGGLPAVQGQQLNATIIGKTRLQTAEQFKEILLKVNKDGSQVRLKDVAEVGLGGENYAISAQFNGSPASGLAVKLANGANALDTAKALRNTIDSLKPFFPQGMEVVFPYDTTPVVTESIKGVVHTLVEAIALVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKPIPKGEHGTPKKGFFGWFNRNFDRGVRSYERGVGNMLTRKAPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAQRTQVVVDEMREYLLRPNKDGGEADAVASVFTVTGFNFAGRGQSSGMAFIMLKPWGERNADNSVFKLAARAQQHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKVLTQVRPNGLNDEPQFQLEIDDEKASALGITISDINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPDSRMSPEDLKKWYVRNAEGTMVPFSAFAKGEWVYGSPKLARYNGVEAVEVLGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLREAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSIGQRKKTDADETTETPKEAGQ ->ARGMiner~~~sdiA~~~WP_032429632.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032429632.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMATGDEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWNDSLFRDAPALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPGGYPEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~macA~~~WP_062932100.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_062932100.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAEAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAQ ->ARGMiner~~~floR~~~WP_014386801.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_014386801.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSILAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAVMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSSVV ->ARGMiner~~~macA~~~WP_045544561.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045544561.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMVM ->ARGMiner~~~OprN~~~WP_031629514.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_031629514.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIHRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~emrB~~~WP_044316681.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_044316681.1~~~fluoroquinolone~~~unknown MQQQKPLEDAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~norA~~~WP_049314519.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049314519.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSATSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~tolC~~~WP_032660352.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032660352.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGINSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDNNMGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENLQQDAAVDNFTANSSTPVAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~mdtM~~~WP_005134118.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_005134118.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIFAFFSQRATTLFFPIALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLFTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKILFAIIAAMGLIALIGLALAMPETVQRGAVPFSACSVLRDFRDVFRNRVFLFGAATLSLSYIPMMSWVAVSPVILIDAGGMTTSQFAWAQAPVFGAVIVANMVVVRFVKDPTRPRFIWRAAPVQLSGLAVLIAGNLLWPHIWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFNGGRISFHLLAVAAGIAVVFTLAALLKRVRQHEATTLATES ->ARGMiner~~~OpmH~~~WP_018577719.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~WP_018577719.1~~~triclosan~~~unknown MKKTLLFCVITLSLSPSVHATDLMDIYEQALENDTVFKNAYDTYMSSTEAVPQARSVLYPQVGFTSQAGRNLQKVTAGGLGTDQYYSNNVWQVTASQAVFNYQAWAKVAQAKASVKAAQATFNDAAQNLILRTAKAYFDVLFAKDTLDFAEAKKRANKRQYDQASQRFQVGLDAITSVYEAKAAYDQSIATVISARNNQVNQSENLRKLTNHVYEALAPLRDSRIPLISPEPNDVNQWIDTGIKQNYKLYAAKYNLEVAKENMKALSAGNWPVFSIQSNATQTRNAVAGSSFFVPSRQTQANVALAMNFPVFQGGLVQSQTRQAQYNFQGSAEQMEQTYRDVVVNSRIAFNTITDGISKVKADRQTIISQQNSLESTEAQFEVGTRTMVDVVNAQQRLFEAQEQLARDQYDLINSILTLKYLAGTLNVNDIEQINSWLATTRVNGFSSVGSAASK ->ARGMiner~~~macA~~~WP_001382086.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001382086.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARGTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~norA~~~WP_002487592.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_002487592.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRILGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPQKATTDGFHQYQPELLTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKHMSELNFIAWSLLYSAIVLVMLVLANGYWTIMMISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSCRKEAN ->ARGMiner~~~arnA~~~WP_001553222.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001553222.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLKWHKPASMLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_050867788.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_050867788.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLSHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_045339794.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045339794.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKETLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_039271212.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_039271212.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQSISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~TEM-1~~~ANG18433.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG18433.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIVAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIEHW ->ARGMiner~~~mdtH~~~WP_064325668.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064325668.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMGIAHDPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQQRGRFFSLLMMQDSAGAVVGALLGSWLLQYDFRLVCATGAALFILCAGFNAWLLPAWKLSTVKAPVREGLNRVLADKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDMAGSPAAVKWMYAIEASLSLTLLYPIARWSEKRFRLEHRLMAGLLLMTLSMLPVGLVGTVQQLFLLICTFYIGSIIAEPARETLGAGLADPRARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALNQPELPWMMLGMVGFITLLALWWQFSTKRSARGMLEPGA ->ARGMiner~~~sdiA~~~WP_001154272.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154272.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~Bacillus subtilis mprF~~~WP_064139257.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_064139257.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELFGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~tolC~~~WP_061284391.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_061284391.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSTMSQAENLLQVYQQARTSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDSNGVNSNVTSGSLQLTQSLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVESLRQVTGNYYPELASLNVDGFKTDKPQGVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLDLTASTGVSNTSYSGSKTNGTGNYNDNDAGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLLALNNTLGKPVSTSNASIAPENSQQDAAADGYTSAATSKARIR ->ARGMiner~~~bcr-1~~~WP_027662663.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_027662663.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIAVLMLSLFILKETRPAAPTTSDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILITCSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~mdtG~~~WP_032948983.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032948983.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVKGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAVVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFILTLFFIRERFQPVSKKEMLHIREVVASLRNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPKLGKLGDRIGPEKILIVALIVSVLLLIPMSFVQTPWQLGILRFILGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNALYSWNSLRRRRSTEVVG ->ARGMiner~~~mdtO~~~YP_672169~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~YP_672169~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAIAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPATKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMALRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQVQGATQ ->ARGMiner~~~sdiA~~~WP_016243617.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_016243617.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYINYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFNEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~sdiA~~~WP_015365907.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_015365907.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDIDFFSWRRDMLQQFQSTHDGDSVYNLLQQQTEELEYDYYALCVRHPVPFTRPKLTLQSTYPQAWMSHYQAENYFAIDPVLRRENFLRGHLPWNDQLFCETPELWNGARDHGLNKGVTQCLTLPNHALGFLSVSAKNAQPGPYHEDELELRLRTLTELSLLALLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~tet(C)~~~WP_053409934.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_053409934.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRDWMAFPIMILLASGGIGMPALQAMLSRQVDDDHQGQLQGSLAALTSLTSIIGPLIFTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~TEM-122~~~ANG36497.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-122~~~ANG36497.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTMGSQATMDEQNRQIAEIGASLIKHW ->ARGMiner~~~mexH~~~WP_057390342.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_057390342.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRGVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVTEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~gadX~~~WP_001191068.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~gadX~~~WP_001191068.1~~~macrolide;fluoroquinolone;penam~~~unknown MQSLHGNCLIAYARHKYILTMVNGEYRYFNGGDLVFADASQIRVDKCVENFVLVSRDTLSLFLPMLKEEALNLHAHKKISSLLVHHCSRDIPVFQEVAQLSQNKNLRYAEMLRKRALIFALLSVFLEDEHFIPLLLNVLQPNMRTRVCTVINNNIAHEWTLARIASELLMSPSLLKKKLREEETSYSQLLTECRMQRALQLIVIHGFSIKRVAVSCGYHSVSYFIYVFRNYYGMTPTEYQERSAQGLPNRDSAASIVAQGNFYGTDRSAEGIRL ->ARGMiner~~~mexH~~~WP_015502310.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_015502310.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQLLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mefA~~~WP_023918145.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_023918145.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVTALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~tolC~~~WP_014071786.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_014071786.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDSNGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDHFKTDKPQTVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSTQYDDSNIGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYTYLINQLNIKSALGTLNEQDLQGLNAALGKPVSTSPDSVAPENPQQVAAVDNFNAEGSAPAAQPAAARTNTGSNPFRN ->ARGMiner~~~aadK~~~WP_015714371.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_015714371.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVDSFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDHQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYKYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~macB~~~WP_047037467.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047037467.1~~~macrolide~~~unknown MTALLELREIRRSYPSGDGEVDVLKGITLSISAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRVRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSLEKGGILRAEARAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAELQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_064189330.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_064189330.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAVWGWRILNAPLPHYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLIELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~sul2~~~WP_010981367.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_010981367.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVGYLNDNRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRRETPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTDRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIRYQKTEGTSIESN ->ARGMiner~~~acrB~~~gi:697351584:pdb:4U8Y:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~gi:697351584:pdb:4U8Y:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDNAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHHLEHHHHHH ->ARGMiner~~~tetO~~~ACT76127.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~ACT76127.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPGGQSELCGQVFKIEYSEKRPRFVYVCIYSGTLHLRDVIKISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~emrB~~~EU408347.1.gene6.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~EU408347.1.gene6.p01~~~fluoroquinolone~~~unknown MAEARTAPLPPGEYPPLRGIALVLLSIAIGVSSFMEILDMTIVNVSIPAIAGSMGVSPSEGTWAISSYLLAAAVVQPLAGWIGRRFGEVRTFVISNLLFIVFSALCGLATSMPMLIACRLLQGLVSGPMMSVAQALLLRNYPVHLRGLAMGLWAMVVIVAPIMGPILGGWITDNYSWPWLFYINVPVGLGSAAITWFLLRRRETLRVKVPIDAIGLSLLVIGVGALQFMLDNGNEKDWFQSTEIVTAAVIGVVALVFFIPWELTDKHPIVDLALFRRRNFRVGTITLAIAYFAFTGVNIIFPLWLQTAMGYTATWAGLAMAPIGLVAIVMAPIVGRNLHRINLRAAPTIGFMVLAFALLWFSQQNDQASFSQMATPRFVMGIGLALFFLPLNQIIMSGLPSSQIASAAGLSNFLRTFAGSASTAICVFYWNDRSEHHYARLTEHIRPDSPAWIDYQAQLAAQGITGDTAYAATSQVLSVQSMTMGANDIFLMIAVMFIVLIPVIWFAKGPFRAVGTGSSH ->ARGMiner~~~vanSA~~~EOL95970.1~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~EOL95970.1~~~glycopeptide~~~unknown MKNKKNDYSKLERKLYMYIVAIVVVAIVFVLYIRSMIRGKLGDWILSILENKYDLNHLDAMKLYQYSIRNNIDIFIYVAIVISILILCRVMLSKFAKYFDEINTGIDVLIQNEDKQIELSAEMDVMEQKLNTLKRTLEKREQDAKLAEQRKNDVVMYLAHDIKTPLTSIIGYLSLLDETPDMPVDQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKTHIDLYYMLVQMTDEFYPQLSAHGKQAVIHAPEDLTVSGDPDKLARVFNNILKNAAAYSEDNSIIDITAGLSGDVVSIEFKNTGSIPKDKLAAIFEKFYRLDNARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNYTTFRVELPAMPDLVDKRRS ->ARGMiner~~~nalC~~~WP_003137949.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_003137949.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLVAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~lsaB~~~WP_049664555.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_049664555.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFENVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKINSSVEFNYFPYPVSDKNKYTHEIMEEICPQAEDWEILREISYLDVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTEARKIVSDYLRKKKGFILISHDRNFLDGCVDHILSINRTNIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRTSGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLESLAFHSNELIALADVSVQYDNQIVNKPISFKLEQGDRIVLDGKNGSGKSSILKLIQGNPIQHTGSMYVGSGLVISYVQQDTSHLKGMLSDFIEEYGIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQKFNPTMVFVEHDQAFQQSVATKTISM ->ARGMiner~~~mdtH~~~WP_025755838.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_025755838.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFVLCALFNGLFLPAWKLSTVKAPVREGLGRVLHDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEAALSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALQQPELPWMMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~hmrM~~~WP_042199190.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_042199190.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPTVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~tolC~~~WP_048887938.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048887938.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRRSAANRDAAFEKINEARSPLLPQLGLGADYTYNNGFRDNDGINSNVTSGSLQLTQTIFDMSRWRALTLQEKTAGVQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVSGNYYPQLASLNIDSFKTNKPDNVNALLKEAENRNLSLLQARLSQDLAREQIRYAESGHLPTLGLTASSSISDTSYSGSATRGNSLSSSSYADRNIGQNSVGLSFNLPIYSGGSVTSQVKQAQYNFVSASEQLESAHRSVIQTVRSSWNNVNASISSIKAYEQAVVSAQSSLDASEAGYAVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKAALGTLNEQDLVALNTTLGKPIPTSPESVAPENPQQDAAVDSFTANANAAGNDATMPAAQPAASVQPAAQSRRSGANPFRQ ->ARGMiner~~~sdiA~~~WP_033566957.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_033566957.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAKAMWDAAQRFGLRRGVTQCVMLPNRALSFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~acrB~~~WP_001132506.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132506.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKGTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~MexB~~~WP_017511990.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_017511990.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSNFFIERPIFAWVIALVIMLAGVLSIRSLPINQYPAIAPPTIAISVTYPGASAQTVQDTVVQVIEQQLNGLDRLRYISSESNSDGSMTITVTFEQGTNPDIAQVQVQNKLALATPLLPQEVQQQGIRVTKSVRNFLLIVGLISTDPNMTREDLSNYIVSNIQDPLSRTAGVGDFQVFGSQYAMRIWLDPAKLNSYQLAPLDVSNAIKAQNVQVASGQLGGLPAVRGQQLNATVIGKTRLQTAEQFGDILLKVNPDGSQVRLKDVADVALGGQDYTINAQYNGRPASGIAIRLASGANALETVKNIHNTLAQLEPTFPAGIKVVFPYDTTPVISDSIHEVIKTLLEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEDGLGPKEAARKSMGQIQGALVGIALVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMVLSVLVALILTPALCATMLQPIEKGDHGEHKQGFFGWFNRSFIRSTQSYERGVSGILKRRAPFLLIYLAIVVVMGFLFTRIPTSFLPEEDQGVLYAQVQTPAGSSAERTQAVLDQMRNYLLNDEGKIVESLFTVNGFNFAGRGQSSGLAFILLKPFKDREGDATSVFDLTKRAQAKFSTFRDSLAFAFAPPAVQELGNATGFDFYMQDQAGLGHDALMNARNKFLALAAKSPALQRVRPNGLNDEPQYVLEIDDERARALGLSLADINSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPNSRMSPEDLNKWFVRNDKGDMVPFSSFATGKWGFGSPKLQRYNGVPAVEILGEPAAGKSSGEAMAAVEEIMKQMPAGISYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATLARGLSNDVFFQVGLLTTVGLSAKNAILIVEFAKELHDHGKGLVEAAVEACRMRLRPIIMTSMAFMLGVFPLAVSQGAGAGSQHAIGTGVIGGMITATVLAIFWVPLFFVMVSALKERRGKSDSSSLEKGAQA ->ARGMiner~~~hmrM~~~WP_001458003.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001458003.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAGYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~emrB~~~WP_023181657.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_023181657.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESITAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGGGAH ->ARGMiner~~~mdtH~~~WP_053890475.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053890475.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGCSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERNA ->ARGMiner~~~FosB~~~WP_063222774.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_063222774.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQKDFERLQQRLEENKVHILQGRERDVKDCDSIYFVDPDGHKFEFHSGSLQDRLNYYREDKPHMTFY ->ARGMiner~~~mdtC~~~WP_001735415.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_001735415.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGMSLDDVRTAVSNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGMVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~mefA~~~WP_012102863.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_012102863.1~~~macrolide~~~unknown MTKENSNWKQKFFTIWTGQAVSLITSAILQMTIIFYLTEKTQSAVVLSMASLIGFLPYAVFGPAIGVLVDRYDRKMIMICADLIIASAGGVLAVIAIYMELPVWIVMVVLFIRSVGTAFHSPALSAVTPLLVPEEELTKCAGYSQSLQSISYILSPAAAAFLYSVWELNAIIAIDVVGAVIACIAVALVHIPKVNIEQESLKRNFIGEMKQGLFVLKENKGLFTLLLIGTLYMLVYMPINALYPLISMEHFGGTPMHISITEIAYASGMLTGGLLLGLFGGYKKRITLITASIFMMGVSLTISGLLPSNEFIFFVLCCGIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSVISLAMPFGLILSGAFADRIGVSHWFLLSGILIIGISVLCPLVPAIRKLEQK ->ARGMiner~~~tolC~~~WP_001533563.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001533563.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELATLNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~FosA2~~~WP_032655098.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_032655098.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHALLGLTLHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVAEEDFEPFSHRLEQAGVTVWKQNKSEGASYYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~msbA~~~WP_031880652.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031880652.1~~~nitroimidazole~~~unknown MIKRYLQFIKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~vanZA~~~KHD85090.1~~~glycopeptide unknown +>ARGMiner~~~vanZA~~~KHD85090.1~~~glycopeptide~~~unknown MLSRGLLVLYLVILIWLVLFKLQYNILSVFHYHHRSLNLIPFAGPSIINGSFGEIRDNVIIFIPLGLLLNVNFKKVGFLLKFAFILVLSIIIELFQFISAIGATDITDVITNTVGGFLGLELYVLSSKYVNNKILDRVIIFVGILLLVLLLDYRTHLRINY ->ARGMiner~~~OprN~~~WP_033895559.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_033895559.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRSPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRPRPDIRGAERGLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~MexB~~~WP_057449687.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_057449687.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTSSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLMVIGVVSRDGSMTKDDLANYIVSNLQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKNAIAAQNVQISSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNPDGSQVRVGDVAEVGLGGENYSVSAQFNGAPASGLAVKLANGANALDTAKALRKTIDDLKPFFPQGVEVVFPYDTTPVVSESIKGVVETLVEAVVLVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVMVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRNFDRGVRSYERGVGNMLKHKAPYLLAYVLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVVDKMREFLLRPSKDGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLKPWEERNADNSVFKLAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDKLMQARNQFLGMASQSKILSQVRPNGLNDEPQYQLEIDDEKASALGITLSDINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMSPEDLQKWYVRNSAGTMVPFTAFAKGEWVYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRTLMEAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSMGRRKPIDQDDTPETSKEAGQ ->ARGMiner~~~macB~~~WP_029602281.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_029602281.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKVQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mgrA~~~WP_016424444.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_016424444.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDHLNLKEQVCFSLYNAQRQVNRYYSNKIFKKYNLTYPQFLVLEILWDHSPVNVKKVVTDLALDTGTVSPLLKRMEQINLIKRERSEIDQREVFVHLTEKSKDMQPELANASQLVTEASSLTTDEVKELNRLLEKVITAFEEKK ->ARGMiner~~~mdtE~~~WP_003958356.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_003958356.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSGTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~mdtH~~~WP_032639622.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032639622.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVIGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVMRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~novA~~~WP_030329892.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030329892.1~~~aminocoumarin~~~unknown MKPDTPPWTPPPDARSVTDRKPGEVRRILRLFHPYRARLALVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEGVDFSYDEKNGPTLTGIDLTVPAGSGLAVVGSTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATAEEIETAARAAQIHDHIASLPDGYDTLVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLEGGRIAERGTHEELLARDGRYAALIRRDAHPVPAPAPAP ->ARGMiner~~~L1 beta-lactamase~~~WP_053498889.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_053498889.1~~~cephalosporin~~~unknown MRRCLTTLALTATLAFDVTAADSPLPQLQAYTVDPSWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMASHLISNMKVRDVAPQDLRLILLSHAHADHAGPVAELKRRTGAMVVANAESAVLLARGGSNDLHFGDSITFPPASTDRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLQGNARYPHLVEDYRRSFATVRGLPCDLLLTPHPGASNWNYAAGSKASDKALNCKAYADAAEKKFDAQLAKETAAAR ->ARGMiner~~~Escherichia coli emrE~~~CDN82496.1~~~macrolide unknown +>ARGMiner~~~Escherichia coli emrE~~~CDN82496.1~~~macrolide~~~unknown MLPGRVNSFVSLGFLLIIIVPAFISCHARAPWIHIHQDENSELCSNCSTILSSMNRKEYAMNPYIYLGGAILAEVIGTTLMKFSEGFTRLWPSVGTIICYCASFWLLAQTLAYIPTGIAYAIWSGVGIVLISLLSWGFFGQRLDLPAIIGMMLICAGVLVINLLSRSAPH ->ARGMiner~~~mdtP~~~WP_000610622.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610622.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSVPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQTAPVVEKK ->ARGMiner~~~macB~~~WP_040076354.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_040076354.1~~~macrolide~~~unknown MTALLELNAIRRSYPSGDGEVEVLKGISLQVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLSGDALAKLRREHFGFIFQRYHLLSHLSAVQNVEVPAVYAGVERRKRLERAQALLTRLGLGERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIVEIRDGELVSNPPAKQGQTRSQQVALPPPSGWRQFASSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQFQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVILDANSRRQLFPNKAKVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSTQAEQQLARLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLLIAFTLQLFLPGWEIGFSPMALMTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~WP_061381408.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_061381408.1~~~fluoroquinolone;acridinedye~~~unknown MQKYVSEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQIRQGFWLAGSVSVLIMVVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPAMVMGFIGLLVNIPVNYVFIYGHLGMPELGGVGCGVATAAVYWVMFAAMLSYVKHARSMRDIRNERGFQKPDTAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLEAQTAARTGLGVGVCMAVVTAIFTVTFREHIALLYNDNPEVVALAAQLMLLAAVYQISDSIQVIGSGVLRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAVILQRAAR ->ARGMiner~~~CTX-M-30~~~AIC64319.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64319.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLCAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~Escherichia coli mdfA~~~WP_000790123.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~WP_000790123.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MKNIQTTALNRTTLMFPLALVLFEFAVYIGNDLIQPAMLAITEDFGVSATWAPSSMSFYLLGGASVAWLPGPLSDRLGRKKVLLSGVLFFALCCFLILLTRQIEHFLTLRFLQGIGLSVISAVGYAAIQENFAERDAIKVMALMANISLLAPLLGPVLGAFLIDYVSWHWGFVAIALLALLSWVGLKKQMPSHKVSVTKQPFSYLFDDFKKVFSNRQFLGLTLALPLVGMPLMLWIALSPIILVDELKLTSVQYGLAQFPVFLGLIVGNIVLIKIIDRLALGKTVLIGLPIMLTGTLILILGVVWQAYLIPCLLIGMTLICFGEGISFSVLYRFALMSSEVSKGTVAAAVSMLLMTSFFAMIELVRYLYTQFHLWAFVLSAFAFIVLWFTQPRLALKREMQERVAQDLH ->ARGMiner~~~FosA2~~~WP_023299228.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_023299228.1~~~fosfomycin~~~unknown MLQSLNHLSLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~TEM-207~~~ANG33079.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG33079.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHLRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtH~~~WP_033145199.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_033145199.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKAFNQPELPWMMLGAVGFMTLLALWWQFSPKRSASGMLEPGA ->ARGMiner~~~mdtG~~~WP_000074170.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_000074170.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVSSWNSLRRRRIPQVSN ->ARGMiner~~~acrB~~~WP_001132473.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132473.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRASDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~MexE~~~WP_034084720.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_034084720.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFXVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~msbA~~~WP_000597230.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597230.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVELTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_059340323.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059340323.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVALATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~ACT-17~~~WP_063858869.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-17~~~WP_063858869.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKSLCCALLLGISCSALAAPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEITDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVKAVRVSPGMLDAQAYGVKTNVQDMANWVMANMAPENVADATLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVGGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILEALQ ->ARGMiner~~~lmrB~~~AHC40857.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~AHC40857.1~~~lincosamide~~~unknown MENAQPSKQYKVMPIMISLLTAGFIGMFSETALNIALTDLMKELHITPATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFIVSLAFSIIGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALLFGIAYMQNVSDITKPKIDVLSIILSTIGFGGIVFGFSNAGEGSDGWSSPTVIGSLTVGAIALILFSIRQLTMKQPMMNLRAFRYPMFVLGVVIVFICMMVILSTMLLLPMYLQSGLMLTAFTSGLILLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVITATVLSFFSNINGASTALLIVALHTCLMIGISMIMMPAQTNGLNQLPPEFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQKNYMSTAKNPDDPSAYSHALIAGVQHAFIFAMIVAVIGLISAFFMKRVKVNHS ->ARGMiner~~~lsaA~~~WP_002374069.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002374069.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLGNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~TEM-1~~~ANG14444.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG14444.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYVELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLVDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~arlR~~~NC_002745.3251084.p01~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~arlR~~~NC_002745.3251084.p01~~~fluoroquinolone;acridinedye~~~unknown MTVNGAEIELTKTEYDLLYLLAENKNHVMQREQILNHVWGYNSEVETNVVDVYIRYLRNKLKPYDRDKMIETVRGVGYVIR ->ARGMiner~~~mdtG~~~WP_000074178.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_000074178.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNTNALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~y56 beta-lactamase~~~WP_050875187.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050875187.1~~~cephalosporin;penam~~~unknown MKHSSLRRALLLAGITLPLVNFSLPTWAAAIPGSLDKQLAALEHSANGRLGIAMINTANGTKILYRGAQRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLVKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDASIRTGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATKIILSQIS ->ARGMiner~~~TEM-1~~~ANG15081.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG15081.1~~~monobactam;cephalosporin;penam;penem~~~unknown MGIQHSRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~lsaB~~~WP_055747198.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_055747198.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFEDVSFQIDTDWKLGFIGRNGRGKTTFFNLLLGKYEYGGKIISSVEYNYFPYPVSDKSKFTHEILEEICPQAEDWEFLREISYLDVDDEVMYRPFETLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTHARKMVSKYLKRKKGFILISHDRNFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLQQSSKRSANWSDQVEASKNGTTNSGSKLDKGYVGHKAAKMMKRAKNLESRQQKAIEEKSTLLKNVEKTESLQLEPLEYKSKELIQLTNVSVMYDGELVNTPISFHVEPGDRVVLDGKNGSGKSSILKLILRKPLQHTGALNVGSGLVISYVQQDTSHLKGKLSDFIEENQIDEQLFKSILRKLDFDRIQFEKDISHYSGGQKKKLLIAKSLCENAHLYIWDEPLNFIDVYSRMQIEELIQSFNPTMVFVEHDQTFQEKVATKTVAL ->ARGMiner~~~novA~~~WP_028800283.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_028800283.1~~~aminocoumarin~~~unknown MHPDQPTWTPAPADTEQPRQVRRILKLFRPYRGRLVIVGLLVGAASLVSVATPFLLKEILDVAIPEGRTGLLSLLALGMILSAVLTSVFGVLQTLISTTVGQRVMHDLRTAVYGRLQRMSLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATIVAMVALDWRLTVVSLLLLPVFVWISRRVGNERKKITTQRQKQMAVMAATVTESLSVSGILLGRTMGRADSLTRSFADESERLVDLEVRSNMAGRWRMSVIGIVMAAMPAVIYWTAGIALQMGGPSISIGTLVAFVSLQQGLFRPTVSLLSTGVQIQTSLALFQRIFEYLDLPIDITEPERPVHLDQVKGEIRFEDVVFRYDGKSGPILDGIDITVPAGGSLAVVGPTGSGKSTLSHLVPRLYDVSGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATDEELYAAARAAQIHEHIASLPDGYDTIVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEYAVQEAIDALSANRTTLTIAHRLSTVRGADQIVVLDAGHTVERGTHEELLGLDGRYAALVRRDAQLEPTT ->ARGMiner~~~macB~~~WP_057109467.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_057109467.1~~~macrolide~~~unknown MTPLLELKDIRRSYPACDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sdiA~~~WP_023259816.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023259816.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFIWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSVSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mecA~~~WP_049951317.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_049951317.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLRDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~APH(6)-Id~~~WP_050583593.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_050583593.1~~~aminoglycoside~~~unknown MQQCPFSSLVWKVSLPDGTPAIVKGLKPIKDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~mdtM~~~WP_001385523.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001385523.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIIPSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLSLLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVLAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~norA~~~WP_060546533.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_060546533.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFSVSEFMFAAGQSFTILIISRILGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPHKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKHMSELNFIAWSLLYSAIVLVMLVLANGYWTIMMISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~FosA2~~~WP_058686534.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_058686534.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPSQESDYTHYAFTVAEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDKA ->ARGMiner~~~BLA1~~~WP_061403071.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_061403071.1~~~penam~~~unknown MILKNKRMLKIGMCVGILGISLTGLETFKGGTLQVEAKEKTGQVKHKNQATHKEFSKLEQKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIARNLKDFTVGNALPHQKRNILTDWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRSPIIIAILSNKDEKGATYDNQLIAEAAEVVVNAFK ->ARGMiner~~~mdtH~~~WP_012542248.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_012542248.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRYRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGFITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~smeR~~~WP_008305505.1~~~aminoglycoside;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~smeR~~~WP_008305505.1~~~aminoglycoside;cephalosporin;cephamycin;penam~~~unknown MKHIMLVEDEVELAQLVRDYLEAAGFEVSMFHDGQEAYNSFTQRKPSLMILDLMVPRMDGLTICRKVREQSDLPIIMVTARTEEIDRVLGLNMGADDYICKPFSPKELVARVQAVLRRLDRKAEPESNDLFRMDKSQQRIWYQQKALNLTPTEFRLLELFLEHVGQVYSRAQLLDHINPDSFDVADRVIDNHIKNLRRKISEAAETGNRHEWIQAVYGVGYRFEYPED ->ARGMiner~~~tolC~~~WP_000735290.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735290.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGATAGQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mdtP~~~WP_016233730.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_016233730.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRNVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLKGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mecR1~~~WP_053032304.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_053032304.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCRVLLQSKKYS ->ARGMiner~~~norA~~~WP_002500051.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_002500051.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPQKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWMIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~mdtG~~~WP_048214478.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_048214478.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLTGGLLADQYGLRPVFFITASVLLVCFILTLFFIRERFQPVSKKEMLHIREVVASLKNPKLVLSLFITTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPKLGKLGDRIGPEKILIVALIVSVLLLIPMSFVHTPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNALYSWNSLRRRRSTEVVG ->ARGMiner~~~APH(3')-IIb~~~WP_003101274.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIb~~~WP_003101274.1~~~aminoglycoside~~~unknown MHDAATSMPPQAPSTWADYLAGYRWRGQGEGCSAATVHRLEVARRPTLFVKQEVLSAHAELPAEIARLRWLHGAGIDCPQVLNETQSDGRQWLLMSAVPGDTLSALAQRGELEPERLVRLVAAALRRLHDLDPAACPFDHRLERRLDTVRQRVEAGLVDEADFDDDHRGRSATELYRLLLDRRPAVEDLVVAHGDACLPNLLAEGRRFSGFIDCGRLGVADRHQDLALAARDIEAELGAAWAEAFLVEYGGDIDGERLAYFRLLDEFF ->ARGMiner~~~MexF~~~WP_028689378.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_028689378.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFITRPIFAAVLSLVLLIAGSISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTASDVVAAIREQNRQVAAGQLGAPPAPGSTSFQLSINTQGRLVNEEEFENIIIRAGANGEITRLKDIARVELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIEISDEVRAKMAELKKDFPEGMDYSIVYDPTVFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLMAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPLEATQKAMSEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKDHHAPKDRFSRFLEKLLGSWLFAPFNRFFDRASHGYVGGVRRVIRSSGIALFVYAGLMGLTYLGFSSTPTGFVPAQDKQYLVAFAQLPDAASLDRTEAVIKKMSEIALKQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAAAIAAALNAQFADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNLGYEALYKETQNIIAKSHNVPELAGLFTSYQVNVPQVDAAIDREKAKTHGVAINDIFDTLQVYLGSLYTNDFNRFGRTYQVNVQAEQQFRLDAEQIGQLKVRNNLGEMIPLATFLKVSDTSGPDRVMHYNGFITAEINGAAAPGYSSGQAEAAIEKLLKEELPNGMTFEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIISGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDEQAKGLDPLAAVLEACRLRLRPILMTSIAFIMGVVPLVFSSGAGSEMRHAMGVAVFSGMIGVTVFGLFLTPVFFFLIRRFVERRQARKAEHAVALETHA ->ARGMiner~~~mdtH~~~WP_008915600.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_008915600.1~~~fluoroquinolone~~~unknown MAQVSQARSLGKYFLLLDNMLVVLGFFVVFPLISIRFVDQLGWAAVVVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIVIGMLLRACGFALMAVAYDPWILWLSCVLSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLTYDFHYVCWAGTFVFILAAICNAWLLPAYRISTIRTPVKQGMQLVLKDKRFVTYVITLAGYFMLSVQVMLMFPILINELAGTPTAVKWMYAIEAAISLTLLYPIARWSEKHFRLEQRLMAGLFLMSLSMFPIGLASSLSVVFGLICLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLALGGAVGYTGGGWMYDLGHQWHMPHLPWFLLGTIGFITLWALHKQFNRKKIETAMLNN ->ARGMiner~~~tolC~~~WP_023340732.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023340732.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDVGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPIPTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~mepA~~~WP_023914633.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_023914633.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGMALGLILILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFIVCMIAVFTIGHHMVGLFTTDQDIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~arnA~~~WP_000860286.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860286.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVTRADSGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCGDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_005128370.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_005128370.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEGQVEVLKDVSLRIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPPQKPAAGRDIDEPTVTTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLVAIQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPSKAKVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAQAEQQLTRLLSLRHGKKDFFTWNMDGILKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB~~~WP_016095028.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_016095028.1~~~fosfomycin~~~unknown MGQSINHICFSVSNLEKAIEFYQNILQAKLLVKGRKLAYFDLNGLWIALNVEESIPRNEIQYSYTHIAFTVTDNEFDSLKEILIQNHANILPGRERDERDKRSIYFTDPDGHKFEFHTGTLQERLQYYKEDKKHMTFY ->ARGMiner~~~Erm(41)~~~AKP16682.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~AKP16682.1~~~macrolide;lincosamide;streptogramin~~~unknown MSGQRSRRQWGWYPLTDDWAARIVAESGVRSGEFVVDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRVAEADLLAFRWPRRPFRVVASPPYHVTSALIRSLLTPESRLLAADLVLQRGAVHKHAKRAPVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~lsaB~~~WP_048374247.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_048374247.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYPVSDKNNFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKMVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSAGWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEALKFKSNELVTLADVSVKYDDQFVNEPISFIVEQGDRIVLDGKNGSGKSSILKLILGHPIQHTGSFTLGTGLIISYVQQDTSHLKGSLSDFIEEHKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTIPM ->ARGMiner~~~sdiA~~~WP_032328024.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032328024.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRSSAREIPILSDELQLKMQLLVRESLMVLMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~Escherichia coli acrA~~~WP_053881997.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_053881997.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQIPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~mdtG~~~CP001918.1.gene2608.p01~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~CP001918.1.gene2608.p01~~~fosfomycin~~~unknown MPYGALHLIMRIVMSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADSYGLRPVFFITASVLFLCFIVTLICIRENFTPVAKKEMLHARDVLASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSMVQSPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLVGAGISASFGFRAVFIVTAGVVLFNAVYSWFSLSRTLRPVAE ->ARGMiner~~~macA~~~WP_013366889.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_013366889.1~~~macrolide~~~unknown MNLKGKGKKRYFVIVVLVLLAGGWVWRTLNAPVPQYQTLIVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAVAERKLAQVTLARNQQLAKTQLISRQDLDTSATDLAVKEAQIGTIDAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLTPGQKAWFTVLGDPLTRYEGRLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLEMTAQVHIQLSGVNNVLTIPLSALGDAVGDNRYSVRLLRNGDVKDREVTIGARNDTEVEIVKGLEEGDEVITGESRPGAAK ->ARGMiner~~~rosB~~~YP_001007250~~~peptide unknown +>ARGMiner~~~rosB~~~YP_001007250~~~peptide~~~unknown MHHSTPLITTIVGGLVLAFLLGSLAHRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKAIAIPGAVAQIAVATLLGMGLSHLLGWDLMTGFVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFAGVMGNETTSLSQLFTELAITIGKVIAFITLMIVVGRRLVPWILAKTASTGSRELFTLAVLVLALGIAYGAVGLFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILLREPLAVLASLAIIIFGKSAAAFILVRMFGHSKRTALTISVSLAQIGEFAFILAGLGISLGLMSEHGRNLVLAGAILSIMLNPLLFTLLDRYLAKNETMEDLILEEAVEEEKQIPVDLCNHALLVGYGRVGSLLGAKLHAEGIPLVVIENSRPRVEALREQGINAVLGNAASADIMSLARLDCARWLLLTIPNGYEAGEIVASARIKRPDLEIIARAHYDDEVVYISDRGANQVVMGEREIANSMLNMLKIETLTEEDKRPLCPI ->ARGMiner~~~mdtA~~~WP_000678972.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~WP_000678972.1~~~aminocoumarin~~~unknown MKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLTNARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~tolC~~~WP_049100593.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049100593.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSFTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNSLDNAVEELRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSNVSNSSYSGSKSTTPDRDIGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~tet(K)~~~WP_031867491.1~~~tetracycline unknown +>ARGMiner~~~tet(K)~~~WP_031867491.1~~~tetracycline~~~unknown MFSLYKKFKGLFYSVLFWLCILSFFSVLNEMVLNVSLPDIANHFNTTPGITNWVNTAYMLTFSIGTAVYGKLSDYINIKQLLIIGISLSCLGSLIAFIGHNHFFILIFGRLVQGVGSAAFPSPIMVVVARNITRKKQGKAFGFIGSIVALGEGLGPSIGGIIAHYIHLSYLLILPMITIVTIPFLIKVMVPGKSTKNTLEIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFILGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVATIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVASGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGVYSNILVAMAILIILCCLLTIIVFKRSEKQFE ->ARGMiner~~~tolC~~~EXI36006.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EXI36006.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADRLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~mdtM~~~WP_047601827.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_047601827.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFPRHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFMWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~macA~~~WP_032005212.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032005212.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSEKASSTPSTERKHEGNGARLERLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~mdtH~~~WP_032677868.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032677868.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLHDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDITGTPAAVKWMYAIEAALSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~TEM-1~~~ANG33710.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG33710.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRVHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIQHW ->ARGMiner~~~CTX-M-3~~~AIC64348.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64348.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRVDERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~macB~~~WP_053272142.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053272142.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPPKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_053882765.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053882765.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVASQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRVQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli acrA~~~ESH50507.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~ESH50507.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTIAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLCQECRRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGDKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~norA~~~WP_061740869.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061740869.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKNSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~macB~~~WP_000188202.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188202.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKSITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_001201743.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201743.1~~~macrolide~~~unknown MRAKGKKFKKRYLAIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~vanA~~~AKE81063.1~~~glycopeptide unknown +>ARGMiner~~~vanA~~~AKE81063.1~~~glycopeptide~~~unknown MNRIKVAILFGGCSEEHDVSVKSAIEIAANINKEKYEPLYIGITKSGVWKMCEKPCAEWENDNCYSAVLSPDKKMHGLLVKKNHEYEINHVDVAFSALHGKSGEDGSIQGLFELSGIPFVGCDIQSSAICMDKSLTYIVAKNAGIATPAFWVINKDDRPVAATFTYPVFVKPARSGSSFGVKKVNSADELDYAIESARQYDSKILIEQAVSGCEVGCAVLGNSAALAVGEVDQIRLQYGIFRIHQEVEPEKGSENAVITVPADLSAEERGRIQETAKKYIKRSAVEV ->ARGMiner~~~emrA~~~WP_004853464.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_004853464.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIVAVAYGIYWFLVLRHFEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAQTQLAASVRQTRQQMINSKQLQASIDVKKTALSQAQTDLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTKIVSPISGYVSRRSVQPGAQISSTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRGGEMLASQVRSSPVYESNAREIGLEPVNKLINDIIQANAG ->ARGMiner~~~macA~~~WP_002230317.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002230317.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATAKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~FosB~~~WP_031407470.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_031407470.1~~~fosfomycin~~~unknown MLIGGINHLTFSVSDLEKSIHFYQNVFGAKLLVKGRNLAYFDLNGIWLALNVQRDIPRNDIQHSYTHIAFSVKEEDFDRVVEKLKELGVNILPGRERDERDKRSVYFTDPDGHKFEFHTGTLNDRLSYYKSEMHHMQFLD ->ARGMiner~~~tolC~~~WP_016262560.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_016262560.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGTTAGQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVISAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPAVQQVSARTSTSNGHNPFRN ->ARGMiner~~~macA~~~WP_055316401.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_055316401.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESELAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~mgrA~~~WP_002508344.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_002508344.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEPLNLKEQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWAESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEIDQREVFIHLTDKSEAIRPELDTACQDVAVASSLSTDESKELNRLLSKVITAFTEEKAK ->ARGMiner~~~Escherichia coli ampC~~~WP_024258874.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_024258874.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMHQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~FosA2~~~WP_032670628.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_032670628.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARGNVPPQESDYTHYAFTVAEADFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~hmrM~~~WP_001174931.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174931.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFISVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~lsaB~~~WP_053589133.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_053589133.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKIMSLVEFNYFPYPVSDKNKYTHEIFEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKVVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNQHLQKDIERLKQSSKRSTGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKTIEEKSKLLKNVEKTESLKLEPLDFQSNDLIVLADVSVKYDDQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGTPIQHTGSMNLGSGLIISYVQQDTSHLKGLLSDFIEEHEIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQSFNPTMVIVEHDQAFQQTVATKIIYM ->ARGMiner~~~mfpA~~~WP_031711821.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_031711821.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETRLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~macB~~~WP_032260279.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032260279.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTSALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDYFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mepA~~~WP_031769354.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031769354.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMTSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~mexY~~~WP_058153776.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_058153776.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYTLVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGSGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~acrB~~~WP_021524078.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_021524078.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MFSRFFVRRPVFAWVIAILIMLAGILAIRTLPVAQYPDVAPPTIKISATYTGASAETLENSVTQVIEQQLTGLDNLLYFSSTSSSDGSVSINVTFEQGTDPDTAQVQVQNKIQQAESRLPSEVQQTGVTVEKSQSNFLLIAAVYDTTDKASSSDIADWLVSNVQDPLARVEGVGSLQVFGAEYAMRIWLDPAKLASYSLMPSDVQSAIEAQNVQVTAGKIGALPSPNTQQLTATVRAQSRLQTVDQFKNIIVKSQSDGAVVRIKDVARVEMGSEDYTAIGKLNGHPSAGVAVMLSPGANALNTATLVKDKIAEFQRNMPQGYDIAYPKDSTEFIKISVEDVIQTLFEAIVLVVCVMYLFLQNLRATLIPALAVPVVLLGTFGVLALFGYSINTLTLFAMVLAIGLLVDDAIVVVENVERIMRDEGLPAREATEKSMGEISGALVAIALVLSAVFLPMAFFGGSTGVIYRQFSITIISAMLLSVVVALTLTPALCGSVLQHVPPHKKGFFGAFNRFYRRTEDKYQRGVIYVLRRAARTMGLYVVLGGGMALMMWKLPGSFLPTEDQGEIMVQYTLPAGATAARTAEVNRQIVDWFLINEKANTDVIFTVDGFSFSGSGQNTGMAFVSLKNWSQRKGAENTAQAIALRATKELGTIRDATVFAMTPPAVDGLGQSNGFTFELLANGGTDRETLLQMRNQLIEKANQSPELHSVRANDLPQMPQLQVDIDSNKAVSLGLSLNDVTDTLSSAWGGTYVNDFIDRGRVKKVYIQGDSEFRSAPSDLGKWFVRGSDNAMTPFSACATTRWLYGPERLVRYNGSAAYEIQGENATGFSSGDAMTKMEELANSLPAGTTWAWSGLSLQEKLASGQALSLYAVSILVVFLCLAALYESWSVPFSVILVIPLGLLGAALAAWMRDLNNDVYFQVALLTTIGLSSKNAILIVEFAEAAVAEGYSLSRAALRAAQTRLRPIIMTSLAFIAGVMPLAIATGAGANSRIAIGTGIIGGTLTATLLAIFFVPLFFVLVKRLFAGKPRRQE ->ARGMiner~~~VIM-7~~~gi:659835205:pdb:4D1W:A~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-7~~~gi:659835205:pdb:4D1W:A~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFQIRSFLVGISAFVMAVLGSAAYSAQPGGEYPTVDDIPVGEVRLYKIGDGVWSHIATQKLGDTVYSSNGLIVRDADELLLIDTAWGAKNTVALLAEIEKQIGLPVTRSISTHFHDDRVGGVDVLRAAGVATYTSPLTRQLAEAAGNEVPAHSLKALSSSGDVVRFGPVEVFYPGAAHSGDNLVVYVPAVRVLFGGXAVYEASRESAGNVADANLAEWPATIKRIQQRYPEAEVVIPGHGLPGGLELLQHTTNVVKTHKVRPVAE ->ARGMiner~~~macB~~~WP_047362283.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047362283.1~~~macrolide~~~unknown MTALLELTDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLARAQALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRHVRAAAPKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexE~~~WP_003160387.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_003160387.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETIQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~Bacillus subtilis mprF~~~WP_057486511.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_057486511.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVIPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGEFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~tet(C)~~~WP_053320764.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_053320764.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLSSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~nalC~~~WP_034064582.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_034064582.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGSQQSYLLLSERLVAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~fusH~~~WP_003972812.1~~~fusidicacid unknown +>ARGMiner~~~fusH~~~WP_003972812.1~~~fusidicacid~~~unknown MATLIPKKGSTLLNKGIRTRRARGALAGGTVLTAAAALLTAVPAAQAISGEPAAATDHAFTARLHIGEGDTLRGCSAALVHQQWLLTATSCFAATPGGEVKSGKPALKSTATLGGKTLGIVEVVPRDDRDVAMVRLAEPVTTVEPVRLAADAPVAAETLLGAGFGRTRTEWAPDQLHTGEFRVDSVTGTTVELTGQDGVSVCKGDTGGPALRGTGGEVELAAVHSRSWQGGCFGETETRTGAVDARADGLADWVTDVRNRDRTQSADVDGDGRADLVVLRSNGDVVVHRNLGDSFAAGRVMSGGWGLFVTWKDLGRLYFADVDGDRKADMIVHTSDGNIEVRFNHGTYWDQGTHWSGGWGRFIDGSDLGRLYFADVDGDGRADMIVHTGDGNVEVRFNHGTYWDQGTHWSGGWGRFVTWKDLGRLYFADVDGDGRADMIVHTGDGNVEVRFNHGTYWDQGTHWSGGWGRFVDGSDLGSLEFGDATGDGKADLLVRTKDGKVALRTNHGTYWDQGKFMITL ->ARGMiner~~~acrF~~~ESH13448.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~ESH13448.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MLLQRKRRNKVIVHGKLFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNTSIIAQTRLKDPEEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLSPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGFFGWFNTRFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMAVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVLTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIDQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAPGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVISRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~mfpA~~~WP_031698148.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_031698148.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFPDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~APH(6)-Id~~~WP_054474145.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_054474145.1~~~aminoglycoside~~~unknown MLMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~TEM-1~~~ANG37464.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG37464.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILQSFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~SRT-2~~~WP_044032075.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_044032075.1~~~cephalosporin~~~unknown MTKMNRLATALIAALILPTAQAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFNDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTDNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYNKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAGTHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEATYRIIQALEKR ->ARGMiner~~~mdtG~~~WP_032410208.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032410208.1~~~fosfomycin~~~unknown MSSAETPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADHKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~ykkC~~~WP_024572769.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~WP_024572769.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MKWGLVVLAAVFEVVWVIGLKHADSALTWSGTAIGIIFSFYLLIKATHSLPVGTVYAVFTGLGTAGTVLSEIVLFHEPIGWPKLLLIGVLLIGVIGLKLVTQDETEEKGGEA ->ARGMiner~~~macA~~~WP_021555171.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_021555171.1~~~macrolide~~~unknown MKKRKTVKKRYVIAMVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSLQDLDKAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKINDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtG~~~WP_024704961.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_024704961.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFITGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~macB~~~WP_032943949.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032943949.1~~~macrolide~~~unknown MTALLELSHIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPPQNPSGGRDIAEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGILKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_001632756.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001632756.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEGILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexC~~~WP_034045676.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexC~~~WP_034045676.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MADLRAIGRIGALAMAIALAGCGPAEERQEAAEMVLPVEVLTVQAEPLALSSELPGRIEPVRVAEVRARVAGIVVQKRFEEGADVKAGDLLFQIDPAPLKAAVSRAEGELARNRAVLFEAQARVRRYEPLVKIQAVSQQDFDTATADLRSAEAATRSAQADLETARLNLGYASVTAPISGRIGRALVTEGALVGQGEATLMARIQQLDPIYADFTQTAAEALRLRDALKKGTLAAGDSQALTLRVEGTPYERQGALQFADVAVDRGTGQIALRGKFANPDGVLLPGMYVRVRTPQGIDNQAILVPQRAVHRSSDGSAQVMVVGADERAESRSVGTGVMQGSRWQITEGLEPGDRVIVGGLAAVQPGVKIVPKPDGAQAQAQSPAPQQ ->ARGMiner~~~arnA~~~WP_032281254.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032281254.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAGRGIPVYAPDDVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVTRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEVAQRENEATCFGRRTPDDSFLEWYKPASVLHNMVRAVADPWPGAFSYAGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~arnA~~~WP_023308121.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_023308121.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFIEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPVCTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~norA~~~WP_061738209.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061738209.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSIFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~macA~~~WP_061537619.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_061537619.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMXLNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~tolC~~~WP_052973340.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_052973340.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLSLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~TEM-1~~~ANG20295.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG20295.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDGRNRQVAEIGASLIKHW ->ARGMiner~~~mdtB~~~WP_024798501.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_024798501.1~~~aminocoumarin~~~unknown MQVLPPGSTGGPSRLFILRPVATTLLMAAILLAGIIGYRFLPVAALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVVTLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPIYSKVNPADPPIMTLAVTSNAMPMTQVEDMVETRVAQKISQVSGVGLVTLAGGQRPAVRVKLNAQAVAALGLTSETIRTAITGANVNSAKGSLDGPERAVTLSANDQMQSADEYRKLIIAYQNGAPVRLGDVATVEQGAENSWLGAWANQAPAIVMNVQRQPGANIIATADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVRDTQFELMLAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAVTLAVAILISAVVSLTLTPMMCARMLSQQSLRKQNRFSRACERMFDRVIASYGRGLAKVLNHPWLTLSVAFATLLLSVMLWIVIPKGFFPVQDNGIIQGTLQAPQSSSYASMAQRQRQVAERILQDPAVQSLTTFVGVDGANPTLNSARLQINLKPLDARDDRVQQVISRLQTAVATIPGVALYLQPTQDLTIDTQVSRTQYQFTLQATTLDALSHWVPKLQNALQSLPQLSEVSSDWQDRGLAAWVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTASTPGLAALETIRLTSRDGGTVPLSAIARIEQRFAPLSINHLDQFPVTTFSFNVPEGYSLGDAVQAILDTEKTLALPADITTQFQGSTLAFQAALGSTVWLIVAAVVAMYIVLGVLYESFIHPITILSTLPTAGVGALLALIIAGSELDIIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIFQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIAMVGGLLVSQVLTLFTTPVIYLLFDRLSLYVKSRFPRHKEEA ->ARGMiner~~~macB~~~EGI96255.1~~~macrolide unknown +>ARGMiner~~~macB~~~EGI96255.1~~~macrolide~~~unknown MLELKDIRRSYPAGDEQVEVLKGITLDIYVGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLCLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~lmrP~~~HE999704.1.gene2348.p01~~~macrolide;lincosamide;streptogramin;tetracycline unknown +>ARGMiner~~~lmrP~~~HE999704.1.gene2348.p01~~~macrolide;lincosamide;streptogramin;tetracycline~~~unknown MFRELHPNIRARILIQFLSKVIGSMIFPFMAIYFSMEINSSVAGFLLMINVLAQFLAGMYGGHLADIIGRKKLMVTGELLKVFAFLGMVLCNSPMFHSPWITFVMLLIIGVAQGLINPAGEAMLIDVSTPENRSFMYSVSYWANNLSIMIGIMVGGWFFVDYLFPLLVVLFIMSFVTAWLTISLISETLQQKVPQKGSYGLMGMLKNYGQVLHDYRFLLYTIGGIAIMSIEFQRSNYISVRLAEDVKVLLVHLGPLGNISLNGVQIVSVLTAVNTLFIVLFTVPIARFVTKRAQQPIMYVGFTLFALGFAVCAFANNLAVLLLATLVLSIGELLYVPTRQTILAAIVDDDKRGAYMAFNGIIFQIGKMIGSVSLVFAPFIGKYGMGAFTIILGILSIVFSAVALKSGWEKVLVK ->ARGMiner~~~macA~~~WP_023327007.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023327007.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISESLPGAAK ->ARGMiner~~~tolC~~~WP_007764020.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_007764020.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGIDSTAKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDSFKTTKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVTDTDYSGSNTGGAAASNYADRKIGQNAVGLSFNLPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTAPENVAPENPQQDAAVNNMTNSGGSASAMQPAAATRSGNSNSSNPFRQ ->ARGMiner~~~mdtP~~~ESE68782.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~ESE68782.1~~~nucleoside;acridinedye~~~unknown MIRTSSRLFLCCLLGSATALSGCALIRSDSAPHQQLQPEQIKLADDIHLASSGWPQAQWWRQFNDPQLDAIIQQTLAGSHTLAEAKLREKKAQSQAELLEAGSQLQVAALGMLNRQRASANGFLGPYALDAPRLGMDGPYYTEATIGLFAGIDLDFWGVHRSAVAAALGAQNAALAETAAVELSLTTGVAQLYYSMQASYQMLSLLQQTRDVVDYAIQAHQSKVAHGLEAKVPYHGARAQMLAVDKQIAAVKGQIKETRESLRALMGTEAIPDIKPTSLPQVNTGIPSTLSYELLARRPDLQAMRWYVQASLNQVDAARALFYPSFDIKAFFGLDSIHLDNLFKNTSKQINFIPGLRLPLFDGGRLNANLASTRAASNILIERYNQSVLNAVRDVAINGTRLQTLNEERDMQAQRVDATRYTQASAEAALKQGLGSRLQATEARLPVLSEQVSLLMLDTQRIIQSIQLIKSLGGGYQAAKAEQD ->ARGMiner~~~mdtH~~~WP_063149009.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063149009.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGFALGLRQFVQQGLGVFDGAIADRFGAKPMIVTGMLLRAAGFATMAIAHDPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~vanA~~~WP_001079844.1~~~glycopeptide unknown +>ARGMiner~~~vanA~~~WP_001079844.1~~~glycopeptide~~~unknown MNRIKVAILFGGCSEEHDVSVKSAIEIAANINKEKYEPLYIGITKSGVWKMCEKPCAEWENDNCYSAVLSPDKKMHGLLVKKNHEYEINHVDVAFSALHGKSGEDGSIQGLFELSGIPFVGCDIQSSAICMDKSLTYIVAKNAGIATPAFWVINKDDRPVAATFTYPVFVKPARSGSSFGVKKVNSADELDYAIESARQYDSKILIEQAVSGCEVGCAVLGNSAALVVGEVDQIRLQYGIFRIHQEVEPEKGSENAFITVPADLSAEERGRIQETAKKIYKALGCRGLARVDMFLQDNGRIVLNEVNTLPGFTSYSRYPRMMAAAGIALPELIDRLIVLALKG ->ARGMiner~~~macA~~~WP_053882764.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_053882764.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTALGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macA~~~WP_002872589.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002872589.1~~~macrolide~~~unknown MKKKVILIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIANKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLATKMKLRF ->ARGMiner~~~tolC~~~WP_000735330.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735330.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSDQDPRESDLLSAGINFSQELYQRSSWINLDTAEKKARQADSQYAAAQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAKNSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARINQDVARDSISLASSGHLPSLTLDGGYNYGNNSNDNAKNTSGEEYNDFKIGVNLKVPLYTGGHTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDSTRRLFDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDINAGLKAAKK ->ARGMiner~~~mecR1~~~WP_064131164.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_064131164.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISEHILKEMELI ->ARGMiner~~~macB~~~WP_032657917.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032657917.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPSSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWAQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_057214157.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_057214157.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLARLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARQQEEPSAWSQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSDTAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexD~~~WP_003134989.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_003134989.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGSAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~lsaB~~~WP_016114558.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_016114558.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKITSSVEFNYFPYPVSDKNKFTHEILEEICPQAEDWEFLREISYLNVDAEAMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKMVSNYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSAGWSHQVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKSLESRQQKAIEEKSKLLKNVEKTESLKLEQLKFQSNELVVLTDVSVKYDDQIVNEPISFIVEQGDRFVLDGKNGSGKSSILKLLLGHPIQHTGSVNLGSGLIISYVQQDTSHLKGSLSDFIEEHEIDETLFKSILRKMDFDRIQFEKDISYYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNSTMVIVEHDKAFQQTVATKTISI ->ARGMiner~~~bcr-1~~~WP_021570298.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_021570298.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPAAPTASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~mtrE~~~WP_014573844.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~WP_014573844.1~~~macrolide;penam~~~unknown MDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGSVGTGSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYESAVQSAFQDVANALTAREQLDKAYAALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYAAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~mdtF~~~WP_001544991.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001544991.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~tolC~~~WP_049076646.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049076646.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDAKDQNSDVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNATSSVSNNSYSGSKNTTQDRDIGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~macB~~~WP_044316883.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044316883.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQIVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIVAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~WP_032604580.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_032604580.1~~~fluoroquinolone;acridinedye~~~unknown MLYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPQKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~macA~~~WP_062914572.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_062914572.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAVWGWRILNAPLPHYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMVGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~tolC~~~WP_032481917.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032481917.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSDQDPRESDLLSAGINFSQELYQRSSWINLDTAEKKARQADSQYAAAQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAKNSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARINQDVARDSISLASSGHLPSLTLDGGYNYGNNSNDNAKNTSGEEYNDFKIGVNLKVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDSTRRLFDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDINAGLKAAKK ->ARGMiner~~~macA~~~WP_054175077.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_054175077.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMPVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~macB~~~WP_000125891.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000125891.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKKMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~nalD~~~WP_034033846.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_034033846.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLLNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~emrB~~~WP_004235564.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_004235564.1~~~fluoroquinolone~~~unknown MQAPLTGARLAWMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFAISSWLCGISNSLGMLIFFRVIQGLVAGPLIPLSQSLLLNNYPPAKRTMALALWSVTIVIAPICGPILGGYISDNYHWGWIFFINVPFGIVIMMLTAKTLEGRETKTEIRPIDTVGLILLVVGVGCLQIMLDQGKELDWFNSTEIIVLTVIAVVAIAFLIVWELTDDHPVVDLSLFKSRNFTIGCLSLSLAYMLYFGTIVLLPQLLQGVFGYTATWAGLASAPVGILPLLITPLIGKYAHKVDYRIIVTFSFIMYTVCFYWRAYTFEPGMDFAAAAWPQFVQGLAIACFFMPLTTITLSGLPPEKMASASSLSNFTRTLAGAIGTSITTTMWTQRESMHHANFAEHINPFSPDTQQMYHTLGEMGMSQQQSSAYIAKVITDQGLIISANEIFWLSAGVFLVLMLLVWFAKPPFTAGGGGGGAH ->ARGMiner~~~Escherichia coli ampC~~~WP_061103657.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_061103657.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGCREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~msbA~~~WP_033862212.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_033862212.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVSTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~MexB~~~WP_050834841.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_050834841.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MAKFFIDRPVFAWVIAIVLMMAGALSILSLPVAQYPNIAPPAISINVTYPGASAQTVQDTVVQVIEQQMNGIDNLQYISSESNSDGSMSITLTFSQGTNPDTAQVQVQNKLAVAQPLLPLEVQQQGIRVTKATKNFLIVAGFVSTDGTMDKSDLADYVASYIQDPISRTPGVGNFQLFGAPYAMRIWISPEKLVNFGLTASDVTTAIREQNVQVSSGQLGGQPAVRGQQLNATVIGPSRLQTPEAFGRILLKVNRDGSQVRLKDVASIELGAQTYAIDSYYNGKPASGLAIKLASGANALDTAQAVRETINGLKPYFPPGMDVVYPYDTPPFVSLSIEGVVKTLFEAVLLVFLVMYLFLQNVRATLIPTLAVPVVLLGTFGVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPRQATRKSMGQITGALIGIAMVLAAVFVPMAFFGGSTGVIYRQFSITIVSSMVLSVVVAIVFTPALCATMLKPIPKGHHGSKKGFFGWFNRTFERSSQAYANNVARSMGRTKRLLLIYVVILLGMAWMFMRIPTAFLPDEDQGILFAQVQAPAGATSERTQATIDAAVKYLLEDEKESVASVFAVNGFSFGGRGQNAAILFIKLRDWNERKGAEHRAAAVAARANAKFRAELRDAQAAVFAPPAVMELGNATGFDFQLQDRAGVGHEKLLAARNQLLQEAGASPMLVAVRPNGIEDAPQYQLDIDREKARALGVSITEINNTLATAWGSSYVNDFIDRGRVKKVFVQGEASSRMLPQDLDKWYVRNNAGDMVPFSAFSSAQWTFGPQKLNRYNGVPSYNIQGQAAPGYSSGDAMAEMERLADKLPLGIGYDWTGLSFEERLSGAQAPALYAISLIVVFLCLAALYESWSIPTAVMLVVPLGVVGALLATMTRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKEHYEEGASLTEAAVHAARQRLRPILMTSLAFILGVVPLAISTGAGSGSQNAIGTGVIGGMLTGTFLAIFFVPLFFVLTLRLFKVKRASENREDDDPHGGAQPATHGGQPQ ->ARGMiner~~~patB~~~HE999704.1.gene2674.p01~~~fluoroquinolone unknown +>ARGMiner~~~patB~~~HE999704.1.gene2674.p01~~~fluoroquinolone~~~unknown MSQFDEVIPRIGTNSEKWDGAEELFGRKDIIPMWVADMDFRAPKPVLDAFQRQIDHGIFGYSTKSKALVEAVIDWNKEQHQFEIDPSTLFFNGAVVPTISLAIRSLTNEGDAVLMVSPIYPPFFNVTKATERKVVMSPLIYENRQYRIDFNDLEKRMKEENVKLFLLCNPQNPGGRCFTKEELVELAKLCEKYQIPIVSDEIHADLVMKNHKHVPMMVAAPFYQDQIITLMAATKTFNLAAIKASYYIITNKDYQTRFAAEQKYATTNGLNVFGIVGTEAAYRHGAPWLKELKEYIYSNYEYVKAELEKEVPEVGVTDLEATYLMWLDCRALPKDEKTIYTDLIEAGVGVQMGSGFGHSGKGFVRFNIACPKETLEKAVKLLIQGLKK ->ARGMiner~~~emrB~~~CRL64641.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~CRL64641.1~~~fluoroquinolone~~~unknown MIKEPLQGGKLALMTIALALATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFALTSWLCGISQSLEMLIFFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSVTIVVAPILGPILGGYISDNYHWGWIFFINVPFGVLIIMCISNTLAGRETKTEIKPIDTIGLVLLVVGIGALQIMLDQGKELDWFNSTEIIVLTIVAVVALSFLIVWELTDDHPVIDLSLFKSRNFTIGCVTLSLAYMIYFGTIVLLPLLLQEVFGYTATWAGLASASVGLLPLIITPIIGKFGGKVDLRYIISFSFIMFSVCFYWRAYTFEPGMDFATVAWPQFWQGLAVACFFMPLTTMTLSGLPPEKMASASSLSNFLRTLAGAIGASLTTTIWTQRESLHHETFVEKINPLDPDSQMAFQQMNDLGLSNEQASAYLAKTITEQGLIISANEIFWLAAGIFLIMMIVVWFAKPPFSPGK ->ARGMiner~~~MexD~~~WP_016852128.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_016852128.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPTEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASAFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~mdtH~~~WP_052908477.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_052908477.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVCEGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~emrA~~~WP_021575316.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_021575316.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAD ->ARGMiner~~~macB~~~WP_000188185.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188185.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLLLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~CTX-M-30~~~AIC64488.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64488.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAGLSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~tolC~~~CRL64100.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CRL64100.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLSLLVTMSLAGFSTASQAEDLLQVYQKAKDSNPELRKSLAERNSAFEKINEVRGSLLPQLGLGASADYKSGYRDAKDTESNSIGASLTLTQSVFNMSLWRQLNLQEKTAGMSDVTYQTSQQKLILDTATAYFDVLRAIDSLSFIEAQKEQVYRQLDQTTQRFNVGLVAITDVQNARANYDSVLAQEVSGRNQLDNALEKLRQVSGIYYINLASLNISRFSTTSPDSIEKLLKDAEERNLSLLSARLGQDLARENIRLAQSGHLPTVDLNASTGVSNSHNHGSALPDVSSRNSYSGQNSIGLSVKIPLYTGGRTSSQVEQAQYDFTSASEQLESVYRSIVQIARSSYNNISASISSIKAYQQVVVSAQSSLDATEAGYQVGTRTIVDVLNATTTLYDAKQKLSNARYDYLINQLNIEYARGTLNENDLIQLNNALGEEISTSPDSIIRPLTSPVLNVAP ->ARGMiner~~~FosB~~~EEK73638.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~EEK73638.1~~~fosfomycin~~~unknown MSNLENSITFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRKEIHQSYTHLAFSVEQKDFERLLHRLEENNVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~emrA~~~WP_006893879.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_006893879.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQANIDGQKPPRAQAKSALNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSKLEDQPAVQQAATEVRNAWLALERTRIVSPMTVYVSRRAVQPGAQISPPPPLMAVVPAPDLWVDANLKEPQLGKMRIGQPVTVITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRGGQVMASPGRTTPGAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~acrE~~~WP_023284336.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_023284336.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNQQPHAQAPQVTVHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGVDPQAILVPQQGVIRTPRGDASVMLVNDKNQVETREVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEVERAAPAVQ ->ARGMiner~~~emeA~~~WP_004257449.1~~~acridinedye unknown +>ARGMiner~~~emeA~~~WP_004257449.1~~~acridinedye~~~unknown MKNKQWMINLAISNLLLVFLGVGLVIPVLPQLKEQMHFSGTTMGMMISIFAIAQLIASPIAGHLSDKVGRKKLIALGMIIFAFSELLFGLAQVKALFYVSRALGGIAAALLMPSVTAYVADLTTLGERAKAMGKVSAAISGGFIIGPGVGGFLATFGIRVPFFVAALLAFIGFILSMTVLKEPEKTMDINPDTPKASFLDILKNPMFTSLFVVILISSFGLQAFESIYSIMATINFGFTTSEIALVITVSGIIALFFQLFLFDWIVGKIGEMHLIHLTFFASALFIAIIAFTGNRITVAISTFVVFLAFDLFRPAVTTYLSKHAGDQQGAINGLNSTFTSFGNVLGPLAAGVMFDINHFFPYYISAVILFGTGVLSMLMNRKSKKMKI ->ARGMiner~~~adeG~~~WP_038787604.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_038787604.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAFAVVFIASLGTFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRAAQGNARA ->ARGMiner~~~BcI~~~WP_060751856.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_060751856.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKVGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKDDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRSGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEAAEVIVKALR ->ARGMiner~~~macA~~~WP_001201759.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201759.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~macA~~~WP_016506294.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_016506294.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPAGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~nalC~~~WP_058354899.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_058354899.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLVAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTIALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~norA~~~WP_002508336.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_002508336.1~~~fluoroquinolone;acridinedye~~~unknown MNKQFVILYFNIFLVFLGIGLVVPVLPVYLKDLGLKGSDLGVLVAVFALAQMVISPFGGTLADKLGKKLIICIGLGLFAISEFLFAASHTFSLLIVSRILGGFSAGMVMPGVTGMIADISIGKDKAKNFGYMSAIINSGFILGPGIGGFLAEFSHRLPFYVAGFSGCLALLLSIILIKNPKNETQDGFTVYQPELLTKIDWKVFLTPITLTLVLAFGLSAFETLFPLYTADKAHYSPLDISFAITGGGILGAVFQVFFFDKFMKHFKELTFINYSLIYSAIVLLALTFVDGYWSIMVISFIVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLVAGTLYDVNFEYPLYMAVVVMILGMFVILVEKMIRTNLRKS ->ARGMiner~~~aadA22~~~WP_012728223.1~~~aminoglycoside unknown +>ARGMiner~~~aadA22~~~WP_012728223.1~~~aminoglycoside~~~unknown MFDVMEQQRLISTRLDIMSNAVPAEISVQLSLALNAIERHLESTLLAVHLYGSALDGGLKPYSDIDLLVTVAARLDETVRQALVVDLLEISASPGQSEALRALEVTIVVHGDVVPWRYPARRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~mdtG~~~WP_048289156.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_048289156.1~~~fosfomycin~~~unknown MSSAETPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFHAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~mepA~~~WP_031878923.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031878923.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILIGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~Klebsiella pneumoniae OmpK35~~~WP_016529535.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK35~~~WP_016529535.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MMKRNILAVVIPALLVAGAANAAEIYNKNGNKLDFYGKMVGEHVWTTNGDTSSDDTTYARIGLKGETQINDQLIGYGQWEYNMDASNVEGSQTTKTRLAFAGLKAGEYGSFDYGRNYGAIYDVEAATDMLVKWGGDGWNYTDNYMTGRTNGVATYRNSDFFGLVDGLSFALQYQGKNDHDRAIRKQNGDGFSTAATYAFDNGIALSAGYSSSNRSVDQKADGNGDKAEAWATSAKYDANNIYAAVMYSQTYNMTPEEDNHFAGKTQNFEAVVQYQFDFGLRPSIGYVQTKGKDLQSRAGFSGGDADLVKYIEVGTWYYFNKNMNVYAAYKFNQLDDNDYTKAAGVATDDQAAVGIVYQF ->ARGMiner~~~mdtH~~~WP_048334667.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_048334667.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQIGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAAGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~hmrM~~~ZP_02665869~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~ZP_02665869~~~fluoroquinolone;acridinedye~~~unknown MQKYTSEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLVMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNEKGFGKPDSVAMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGICMAVVTAIFTVTLRKHIALLYNDNPEVVALAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAIILQRAAR ->ARGMiner~~~mdtH~~~WP_031605445.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_031605445.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADAKARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~sdiA~~~EFF00637.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~EFF00637.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRFTMQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRSSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~mdtH~~~WP_052920887.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_052920887.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGTLLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGCSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~mdtP~~~WP_000610573.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610573.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLDGGYQAAPVVEKK ->ARGMiner~~~mfpA~~~WP_015291392.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_015291392.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLQTERAVFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFAACRMRPLTLDDVDFTLAVLGGNDLRGLDLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAVAHGLCLAGG ->ARGMiner~~~mdtH~~~WP_050870466.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_050870466.1~~~fluoroquinolone~~~unknown MALVSQARSLGKYFLLLDNLLVVLGFFVVFPLISIRFVDQLGWAAVLVGLALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFALMAMADEPWILWLACALSGLGGTLFDPPRTALVIKLTRPHERGRFYSLLMMQDSAGAVIGALIGSWLLQYDFHFVCWTGAVIFILAAGWNVWLLPAYRISTVRAPMKEGLMRVLRDRRFVTYVLTLTGYYMLSVQVMLMLPIVVNEIAGSPAAVKWMYAIEAALSLTLLYPVARWSEKRFRLEQRLMFGLLIMTLSLFPVGLITHLQTLFMFICFFYMGSIIAEPARETLSASLADSRARGSYMGFSRLGLALGGALGYTGGGWMYDTGRTLEMPELPWFLLGVIGLITLVGLYWQFNQRRIESAMLSGS ->ARGMiner~~~lsaA~~~WP_002394896.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002394896.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQASITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~mdtH~~~WP_024359554.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_024359554.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLIMTFAMMPIGLSSNLQQLFTLICVFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGAFGYAGGGWLFDAGKAAGQPELPWLMLGVIGLATFIALWWQFSPKRSASGMLEPRT ->ARGMiner~~~emrB~~~WP_050193133.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_050193133.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLIIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGISSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLLPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~emrA~~~WP_048334316.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_048334316.1~~~fluoroquinolone~~~unknown MRANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKGDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~mexH~~~WP_023097180.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_023097180.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHPGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~macB~~~WP_000125900.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000125900.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDVAVVNTAPGWRQFASSLREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_047655667.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047655667.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVGKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macA~~~WP_021439677.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_021439677.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATAKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGQPRR ->ARGMiner~~~tolC~~~WP_032264984.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032264984.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDITYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~lsaA~~~WP_010819589.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010819589.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLMLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~CTX-M-3~~~AIC64355.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64355.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFATCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mdtN~~~WP_046082052.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_046082052.1~~~nucleoside;acridinedye~~~unknown MESTPKNATRNKLPALILTVAAVVALVYVIWRVDSAPATNDAYASADTVDVVPEVSGRIVELAVKDNQLVKQGDLLFRIDPRPYEASLAKAQASLTALDKQIMLTQRSVEAQQFGAAAVKTSVEKALAIVHQTSKTFQRTESLLAEGYVSDEDVDRARTAHRAAQVDYAALLLQAQSAVSGVGGVDALVAQREAVLADIALTKLHLEMATVRAPFDGRVVSLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETELNNIHAGTPATVRLMSDSGKTFEGKVDSIGYGVLPDDGGMVLGGLPRVSRSINWVRVAQRFPVKIMVDNPDPEMFRIGASAVANLEPQ ->ARGMiner~~~FosB~~~WP_061786473.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_061786473.1~~~fosfomycin~~~unknown MLSINHICFSVSNLDKSIDFYQNVLQAKLLVKGRKLAYFDLNGLWIALNTEEDIPRNEIQHSYTHIAFTVTDEEFLNLKSDLIKNKVNILPGRERDQRDKMSIYFTDPDGHKFEFHTGSLQDRLEYYKEDKTHMEFYI ->ARGMiner~~~smeE~~~WP_057630678.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_057630678.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGALAMIKLPISMYPEVAPPAVSISANYPGASAKVVEDSVTQIIEQNMKGLDGLMYFSSNSSSNGQASITLTFQSGTDSDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVLGFVSEDGSMDENDISDYVGSNIVDPLSRVPGVGSIQVFGGKYAMRIWLDPTKLQTYKVSVSEVTAAVQAQNAQVAVGQLGGAPAVKGQQLNATINAQDRLQTPEQFRNIVVRTELDGSTLKLGDVARVELGAETYDFVTRYNGKPASGLAITLATGANALETAEGVSKTLDELRANFPAGLKAVIPYDTTPFVKVSIKGVVKTLLEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGILSVLGFSINMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMSQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHKGISGSFFNWFNNGFDRTSGTYQRGVRGILNRPGRFMAVFLALAVVMGLLFVRLPSSFLPNEDQGILMALVNAPVGATQERTLESIYKLEDHFLKNEKDAVESVFSVQGFSFSGMGQNSGMAFVKLKDWSERSADQGVGPITGRAMAALGQIKDAFIFAFPPPAMPELGIASGYTFFLKDNSGAGHDALVNARNQLLGAAGQSKLLANVRPNGLDDTPQLRLDIDVAKAGAHGLSLDAINGTLATAWGSSYVDDFIDRGRVKRVYVQADDPFRMNPEDFNLWTVKNSAGEMVPFSAFASQRWDYGSPRLERYNGVSALEIQGEAAPGVASGDAMLEVEKLAKQLPPGFTIEWTAVSYQEREAGSQTPLLYTLSLLIVFLCLAALYESWSVPTAVLMVAPLGILGAVLANTMRGMERDVYFQVAMLTTVGLTSKNAILIVEFAKEHLEKGAGVIEATMHAVRDRLRPIIMTSLAFGLGVLPLAIASGAGSGAQRAIGTGVLGGMVVGTLLGLFFIPLFFVVVQRVFNRKQLAKNGDLPQQ ->ARGMiner~~~macB~~~WP_044067152.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044067152.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDGNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli ampC~~~WP_023308602.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_023308602.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAAHPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~MexD~~~WP_023111858.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_023111858.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATAKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSRPAPIEQAASAGE ->ARGMiner~~~tolC~~~WP_059310593.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_059310593.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTAQYDDSNQGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNGDSNTPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~mdtA~~~SAC74540.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~SAC74540.1~~~aminocoumarin~~~unknown MELCRVALRLPGLRFEDLVGRVRRSRHPAKQRTTLRPFLTVFHISSIFPLCYLTKLVSFPESVSGRERITMKGSNKSRWAIAVGLIVVVLAAWYWHSQSANSTAPAGANSPSQRPTGGGRHGMRGAALAPVQAATAVNKAVPRYLSGLGTITAANTVTVRSRVDGQLMAIHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLVKTNLVSRQELDTQQSLVSESQGTIKADEAAVASAQLQLDWSRITAPIDGRVGLKQVDIGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVIQAQKAGKALVVEAWDRTNKQKLSEGSLLSLDNQIDTTTGTIKLKARFNNQDDALFPNQFVNARMLVATEENAVVIPTAALQMGSEGNFVWVLNSENKVSKHLVKTGIQDSQTVVISAGLSAGDRVVTDGIDRLTEGAQVEVVEAQNTGAKA ->ARGMiner~~~mdtF~~~WP_004004810.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_004004810.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVGVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~TEM-1~~~ANG25309.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG25309.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMYERNRQIAEIGASLIKHW ->ARGMiner~~~tet(C)~~~WP_011666300.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_011666300.1~~~tetracycline~~~unknown MSTNLSVIKNPRVQSDQRRLVRRPDVKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSQAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWRNSSNSRCT ->ARGMiner~~~floR~~~WP_014325824.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_014325824.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSVLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNSDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~FosA2~~~WP_032675865.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_032675865.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFEPFSQKLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~macB~~~WP_063927084.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063927084.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPSSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWAQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRGQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~WP_042308660.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_042308660.1~~~fluoroquinolone;acridinedye~~~unknown MQKYVSEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQVRQGFWLAGSVSVLIMVVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPAMVMGFIGLLVNIPVNYVFIYGHLGMPELGGVGCGVATAAVYWVMFAAMLSYVKHARSMRDIRNERGFQKPDTAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLEAQTAARTGLGVGVCMAVVTAVFTVTFREHIALLYNDNPEVVALAAQLMLLAAVYQISDSIQVIGSGVLRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAVILQRAAR ->ARGMiner~~~lsaA~~~WP_048949453.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_048949453.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~emrB~~~WP_053509023.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_053509023.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVVVVLMTLQTLRGRETRTERRRIDAIGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMNFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtM~~~WP_001137025.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001137025.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGSISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLDRVRQHQAAELAEER ->ARGMiner~~~FosB~~~WP_032874434.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_032874434.1~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSHLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEVDIPRNEIHKSYTHMAFTIDPKDFDAIHQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPQMKFYI ->ARGMiner~~~pmrA~~~ALS00865.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~ALS00865.1~~~fluoroquinolone~~~unknown MKIDWKKNLMVAWLGCFFTGASISLVMPFIPVYVEQLGTPKNQIELFSGLAIAVTAFAAAIVAPIWGNLADRKGRKLMMIRAAAGMTITMGSLAFVPNVYWLLIMRFFNGILSGYIPNATAMIASQAPKEKSGWALGTLSTGAIAGNLIGPSMGGALAQWFGMENVFIITGVVLLITTLLTIFMVKEDFQPVEKKDLLSTKEIFSTMDHVSILIGLFITTLILQIGVTSISPILTLYICSLSQDTGNILFISGLIVSVAGVSAVISSPVLGKLGDKIGNHKVLIAGLILSMICYIPMAFVKTPFQLGLLRFFLGFSTGALMPSINTLISKITPSEGVSRIYSYNQMFSNFGQVLGPMIGSTVAHGLGYSAVFIVTACFVLGNICLSLFNFRKILNKRL ->ARGMiner~~~tet(30)~~~AIA14492.1~~~tetracycline unknown +>ARGMiner~~~tet(30)~~~AIA14492.1~~~tetracycline~~~unknown MNKALIVILATVTIDAIGGGLIFPILPGLLAEVAGSGDIAWLFGAMLAAYAIMQFVASPVLGALSDRFGRRPVLLLSLAGTLVDYLVMAFAPYGWVLVVGRTLAGITSANMAVASAYITDITPEDQRAQRFGLVGAVMSTGFIIGPIIGGVMGAWWLRSPFLVAAVFNGLNLALALFVLPESRKAAAGKFALKELNPLAPLVWLWNFKPLLPLVVVSVVFGLIAAIPGTIWAFYGAEKFGWDSLHLGLSLSVFGISGALAQGLLVGPLSRRFGDLGTLMIGIGFDTVAYTLMGFADQSWMGYAVAPLFALGGVAMPALNSLLTRRVGEDQQGQLQGVMASLMSLAGIVGPVLTTALYFSTKNVWIGTVWLVGAGLYLLATPLFATVRSPKAVAA ->ARGMiner~~~lsaA~~~WP_010825742.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010825742.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIEPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAQFMKKITDKKIALKS ->ARGMiner~~~pmrA~~~WP_005877868.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~WP_005877868.1~~~fluoroquinolone~~~unknown MIITWIGCFFTGSSISLVMPFIPVYVEQLGTPKDQIELFSGLAISVTAFASAIVAPIWGNLADRKGRKIMMIRAAAGMTFTMGSLAFVPNVYWLLIMRFLNGILSGYIPNATAMIASQAPKEKSGWALGTLSTGAIAGNLIGPSMGGALAQWFGMENVFLITGGLLLITTMLTIFLVKEDFHPIEKKDLISTKELFSKMDHFSILVGLFVTTLILQIGITTISPILTLYIRQLSGNSENILFVSGLIVSVAGVSAVFSSPKLGKLGDKIGNQKVLLAGLVMSACCYLPMAFVTTPLQLGILRFILGFSTGALMPSINTLISKITPTEGVSRVYSYNQMFSNFGQVLGPMLGSTVAHAFNYSAVFIVTSLFVLSNIFLSLFNFRKVLHQKL ->ARGMiner~~~mdtG~~~WP_004943005.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_004943005.1~~~fosfomycin~~~unknown MASVPEPVNWKRNLFVAWLGCFLTGAAFSLIMPFLPLYVETLGVSGHEALNMWSGLVFSITFLFSAIASPFWGGLADRRGRKLMLLRSALGMSIVMLLMGMAQNIWQFLALRAVLGLLGGFIPNANALIATQVPRNRSGWALGTLSTGGVSGALIGPLIGGLLADQYGLRPVFYITAAVLLLCFVLTLLYVKEQFTPVQKKDMLHARQVFASLKNPKLILSLFVTTMIIQIATGSIAPILTLYVRDLAGNIHNLAFISGLIASVPGVAALMSAPRLGKLGDRIGPERILVFMLIVSVLLLIPMAFVQTPWQLGVLRFLLGAADGALLPAVQTLLIYNCTNQVAGRIFSYNQSFRDVGNVSGPLLGAAVSASYGFRAVFCVTALVVLFNAAYSWWCLQRRTTRMREDTLQEEQ ->ARGMiner~~~tolC~~~ELX07924.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~ELX07924.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEVTLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDMSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~mdtO~~~WP_021539663.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021539663.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIASLFLIYKWSYGEPLIRLIIAGPILMGSMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAIAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPATKPPSIVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVVLERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPAKEQAQGATQ ->ARGMiner~~~bcr-1~~~WP_042034967.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_042034967.1~~~bicyclomycin~~~unknown MSRFLMCSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIALLMLSLFILKETRPAAPTTSDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~tolC~~~WP_064625882.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064625882.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFISAALGSLSSSVWADTLTEIYNQAKENDPQLLSSAAQRDAAFEAVTSSRGDLLPQINLTAGYNINRSDTDSRESDKLTAGISFSQQLYQRSSWVSLDTAEKNARKADSAYAATQQGLILRVAQAYFEVLRAKDNLAFVRAEKAAVARQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAKNSLTNSYETLREITGQEHSDLSVLDTNRFSASKTTQPIDALLEEAQQKNLSLLTARIAQDVAKDNISLASSGHLPSLTLDGGYKYGDESNDNSNSQGDYNDFNVGINLNVPLYTGGKTTSKTKQAEFAYVAASQDLEQTYRSVVKDVRAFNNNINASIGALRAYEQSVISAKSALEATEAGFDVGTRTIVDVLDSTRRLYDANKNLSNARYDYVLSVLQLRQAVGTLSEQDILDINAGLKADS ->ARGMiner~~~TEM-1~~~ANG29235.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG29235.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSAIPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~WP_064404259.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064404259.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDAKDQNSDVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSSVSNNSYSGSKNTTQDRDIGQNQIDLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~mdtP~~~WP_000610588.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610588.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNTALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~norA~~~WP_049404256.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049404256.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPQKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMSNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~vanB~~~AGG19413.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~AGG19413.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDMSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAVCMDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYPRMVAAAGITLPALIDSLITLALKR ->ARGMiner~~~norB~~~WP_003725847.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_003725847.1~~~fluoroquinolone~~~unknown MTSTAYKGTNKLIVGIVFGVITFWLFAQSMVNIVPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGATLLIIGRIIQGLSAACIMPATLALMKTYFEGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGSTFGWTSPITITMLVVFLISAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSGITAVGIALMALTFIPGTLYTVLVFIGFALFGIGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLISVAITTPSAKKALELKAAKE ->ARGMiner~~~Escherichia coli ampC~~~WP_059039705.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_059039705.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAVPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQINLKPLDINEKTLQQGIQVAQSRYWQTGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~sdiA~~~WP_063143666.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_063143666.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCSLRFQELTCADEVYQELQRQTQTLEFDYYALCVRHPVPFTRPKIAVHTTYPKQWMAQYQSANYFAIDPVLKPENFIQGHLPWTDALFADAQELWHSAQDHGLRAGITQCLMLPNHALGFLSVSRTSVLEGPFAREEIELRLQMLVQMALTSLMRFEDQMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~emrB~~~WP_001187293.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001187293.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSNSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~FosB~~~WP_000911703.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000911703.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEEEHIPRNEIYQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDYESIYFVDPDGHKFEFHSGTLQDRINYYRDEKPHMTFY ->ARGMiner~~~sul1~~~WP_031970694.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_031970694.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLAPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRMVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~MexE~~~CDH71753.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~CDH71753.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFSPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~norA~~~NC_002952.2859165.p01~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~NC_002952.2859165.p01~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFAVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~acrE~~~WP_032694491.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_032694491.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTPHVRVTLLSSLIIPAILLSGCDNSGDRQPHAQIPQVSVYVVHSAPLSVTTELPGRTSAYRVAEVRPQVSGIILQRNFVEGSDVTAGQSLYQIDPATYQAAYNSTKGDEAKAEAAAAIAHLTVKRYAPLLGTKYISQQEYDQAVATARQADADVIAAKAAVESARINLAYTKVTSPISGRIGKSSVTEGALVTNGQADAMATVQQLDPIYVDVTESSNDFMRLKQESLQHGSDTKSVQLIMENGKPYALQGTLQFSDVTVDESTGSITLRAIFPNPQHALLPGMFVRARIDEGVSPNAILIPQQGVTRTPRGDASVMLINDKNQVETRAVTASQAIGDKWLITSGLKAGEKVIVSGLQKVRPGVTVKAEEDTATSVAQ ->ARGMiner~~~novA~~~WP_051809904.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_051809904.1~~~aminocoumarin~~~unknown MPHDEPKWTPSKDPLDPTRPAPAEQPRELRRIVALFRPYRGRLAVVGLLVCASSLVGVASPFLLKEILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGHERKKITTKRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTAAFSAESEKLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQTGAPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERADPVRLDRAKGEVALEDVHFTYDSENGPTLTGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTRGRVAIDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEITEAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDHLSAGRTTITIAHRLSTVRDADQIVVLDGGRIAERGTHEELLKADGRYAALVRRDRDAALAPEPPEDAPLAPVNV ->ARGMiner~~~arnA~~~WP_000860318.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860318.1~~~peptide~~~unknown MKTVVFAYHNMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~tolC~~~WP_045178037.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045178037.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLEREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVISAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~tolC~~~WP_047648643.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047648643.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYQELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~Escherichia coli mdfA~~~CCM84499.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~CCM84499.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MFPLCLVLYEFSTYIGNDMIQPGMLAVVQEFQVGNEWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLTGVVWFIVTCLATLLAQTIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEMMFVLFAVLAAISFFGLQRAMPETATRLGEKLSVKELGRDYRLVLKNLRFVAGALATGFVSLPLLAWIAQSPVIIISGEQATSYEYGMLQVPIFGALIAGNLVLARLTARRTVRSLIIMGGWPIMFGLILSAAATVVSSHAYLWMTAGLSFYAFGIGLANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIELSKHAYELGGNGLFSLFNLLGGVLWLGLMIYFLKDKSVGNSQQG ->ARGMiner~~~emrB~~~WP_052936095.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_052936095.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALSLWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtG~~~WP_000074175.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_000074175.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCLFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~mdtH~~~WP_045401856.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045401856.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERHFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALSQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~macA~~~EHM47085.1~~~macrolide unknown +>ARGMiner~~~macA~~~EHM47085.1~~~macrolide~~~unknown MLAHESGRFMKLKGRSKKVYWILAVLVVIAGIWLWRTLNAPQPHYQTLIVRKGALEQSVLATGKLDALRKVDVGAQVSGQLKTLSVNIGDKVKKDQLLGVIDPDQAENQIREVEATLMELRAQLRQARAEFKLAQVTLTRQQQLVKNNLISRQELDQAATDVAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPMTRFEGVLKDILPTPEKVNDAIFYFARFEVPNPKGILRLEMTAQVHIQLAGVDNVLTIPLSALGDVVGDNRYNVRLLRNGEVKTREVTIGARNDTDVEITKGLQEGDEVITGESKPGAAK ->ARGMiner~~~oprA~~~KGC91538.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline unknown +>ARGMiner~~~oprA~~~KGC91538.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline~~~unknown MKAMMKPRARRRGARAARRPNGPRAWPLAVAAALVAGCTLAPRYERPAAPVPAHYWSTAAGAPREAGPAAAGGPRAMPDARGGDAGRDARDARLDDWRAYFTDPALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFSGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGADAERLRLAQRRYDSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDGCA ->ARGMiner~~~CRP~~~WP_050336136.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_050336136.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEKGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSSQMANRLQITSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~norA~~~WP_053012334.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_053012334.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGISGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~MexB~~~WP_047292171.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_047292171.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNKYNLTPADVSSAISAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNQDGSQVHIGDVADVGLGGENSTISAQFNGKPSSGLAVKLANGANALDTAKALRKTIDELKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIALVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYRQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKKGFFGWFNRNFDRSVRSYERGVGNILQRKAPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVIDEMRSYLLDKEAGAVSSVFTVNGFNFAGRGQSSGLAFIMLKPWHERDSSNSVFALAQRAQQHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKVLYQVRPNGLNDEPQYHLEIDDAKARALGVSISDINSTLSISFGSSYVNDFIDRGRVKKVYVQGQAGARMSPEDLKKWYVRNSAGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGSPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSMGQRKIADPDETTETPKEAGQ ->ARGMiner~~~mdtH~~~WP_000092225.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092225.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATTGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~mdtP~~~WP_052961770.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_052961770.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGVSDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~CTX-M-3~~~AIC64337.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64337.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIATDGL ->ARGMiner~~~TEM-1~~~ANG13191.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG13191.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPIFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mefA~~~WP_027630679.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_027630679.1~~~macrolide~~~unknown MTNRTSKWKQDFFIIWTGQAISLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRYDRKKIMIGADLIIATAGAVLAVVALYTELPVWMVMVVLFIRSIGTAFHSPALNAVTPLLVPEEQLTKCAGYSQSVQSISYIISPAAAALLYSVWELNAIIAIDVLGAVIASITVAIVSIPKLNNQVQSLKPNFIREMKEGIVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEYFNGTPMHISITEIAFASGMLVGGLLLGRLGSFEKRVLLIIGSFFIMGASLAVSGILPPSGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSFSMPIGLILSGFFADRIGVNHWFLLSGILIIGIAIVCPMMTEVRKLDLK ->ARGMiner~~~emrB~~~WP_001187291.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001187291.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSPIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRRRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtH~~~WP_008805972.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_008805972.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRYRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADSRARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGFITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~novA~~~WP_030980047.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030980047.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPRDGTQPPAELRRIFRLFHPYRGRLTLVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLSLLALGMILTAVMNSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTLVSLALLPAFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRADSLTKGFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLALQSGGPAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLRVDITEPEKPVRLEKIRGEIRFEDVDFSYDEKSGPTLSGVDVAVPAGGSLAVVGPTGAGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPEATDAEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEFAVQEAIDALSAGRTTITIAHRLSTVRDADQIVVLDGGRTAERGSHEELLQLDGRYAALLRRDTQLAPVAS ->ARGMiner~~~mdtH~~~WP_050196208.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_050196208.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTARTPVREGMRRVMSNKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASPADARARGSYMGFSRLGLAIGGAISYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRWMLEPGA ->ARGMiner~~~smeR~~~WP_000680577.1~~~aminoglycoside;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~smeR~~~WP_000680577.1~~~aminoglycoside;cephalosporin;cephamycin;penam~~~unknown MKHIMLVEDEVELAHLVRDYLEAAGFEVSMFHDGQDAYTSFQQRKPNLMILDLMVPRMDGLTICRKVREQSDLPIIMVTARTEEIDRVLGLNMGADDYVCKPFSPKELVARVQAVLRRLERKAEPEQNDSFRIDKAQQRIWYQQKSLSLTPTEFRLLELFLEHVGQVYSRAQLLDHINPDSFDVADRVIDSHIKNLRRKITEVAETGNRHEWIQAVYGVGYRFEYPEE ->ARGMiner~~~SRT-2~~~WP_063919386.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_063919386.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAHAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFSDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTDNAQLMAYYRAWQPKHPVGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYIQVPAAQMANYAQGYNKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWREALAGTHVGYYKAGAFTQDLMWENYPYQVKLPRLIEGNNAGMIMNGTPATALTPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIIQVLDKR ->ARGMiner~~~MexB~~~gi:237823426:pdb:2V50:A~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~gi:237823426:pdb:2V50:A~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLAGGLSILSLPVNQYPAIAPPAIAVQVSYPGASAETVQDTVVQVIEQQMNGIDNLRYISSESNSDGSMTITVTFEQGTDPDIAQVQVQNKLQLATPLLPQEVQRQGIRVTKAVKNFLMVVGVVSTDGSMTKEDLSNYIVSNIQDPLSRTKGVGDFQVFGSQYSMRIWLDPAKLNSYQLTPGDVSSAIQAQNVQISSGQLGGLPAVKGQQLNATIIGKTRLQTAEQFENILLKVNPDGSQVRLKDVADVGLGGQDYSINAQFNGSPASGIAIKLATGANALDTAKAIRQTIANLEPFMPQGMKVVYPYDTTPVVSASIHEVVKTLGEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPREAARKSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVIVALILTPALCATMLKPIEKGDHGEHKGGFFGWFNRMFLSTTHGYERGVASILKHRAPYLLIYVVIVAGMIWMFTRIPTAFLPDEDQGVLFAQVQTPPGSSAERTQVVVDSMREYLLEKESSSVSSVFTVTGFNFAGRGQSSGMAFIMLKPWEERPGGENSVFELAKRAQMHFFSFKDAMVFAFAPPSVLELGNATGFDLFLQDQAGVGHEVLLQARNKFLMLAAQNPALQRVRPNGMSDEPQYKLEIDDEKASALGVSLADINSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPDARMNPDDLSKWYVRNDKGEMVPFNAFATGKWEYGSPKLERYNGVPAMEILGEPAPGLSSGDAMAAVEEIVKQLPKGVGYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSMRGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKELHEQGKGIVEAAIEACRMRLRPIVMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMVTATVLAIFWVPLFYVAVSTLFKDEASKQQASVEKGQHHHHHH ->ARGMiner~~~TEM-206~~~ANG24713.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-206~~~ANG24713.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVTLIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMTSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mecR1~~~WP_042744636.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_042744636.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHILYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~macA~~~WP_004641168.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004641168.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIVIIAVLAWMFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEFRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTRSTAQTNIGYTRILAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGEDTKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKASSTPEAAKKSQGNGARLERLNLTAEQKQLIEQGKLTLSVVRILQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~mdtF~~~WP_001570413.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001570413.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFSILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~acrE~~~WP_001466418.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_001466418.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESPGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~novA~~~WP_030624018.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030624018.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPDARTDADRPPAEVRRILRLFRPYRGRLAVVGLLVGASSLVGVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQGFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGITLQSGATAVSLGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEKPVRLEKIRGEIAFENVDFSYDEKNGPTLTGIDVTVPAGTSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEAAARAAQIHDHIATLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRAAERGTHEELLDRDGRYAALIRRDSHQVPVPSS ->ARGMiner~~~arnA~~~WP_016242282.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_016242282.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSHPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~arnA~~~WP_048217311.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_048217311.1~~~peptide~~~unknown MKAVVFAYHDMGCQGVQALLDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSDEILSLAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQQRVAISPDDVALTLHHKLCQAARQLLEEALPAIKTGDYAEHAQQEAEATCFGRRTPEDSFLDWNKPAAELHNQVRAVSDPWPGAFSYVGTQKFTVWSSRVCKNDRAAQPGTVISVSPLLIACADGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTAKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAISRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPENEASIQELAEMLLTCFEKHPLRNHFPPFAGFRDVESSSYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~norA~~~WP_001041276.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041276.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYIFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~tet(C)~~~WP_000804065.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_000804065.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALVQFACAPVLGALSDRFGRRPILLVSLAGATVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARLFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~sdiA~~~WP_059310217.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_059310217.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCSLRFQELTCADEVYQELQRQTQALEFDYYALCVRHPVPFTRPKISVQTTYPKQWMAQYQSANYFAIDPVLKPENFIQGHLPWTDALFADAQELWHGAQDHGLRTGITQCLMLPNHALGFLSVSRTSVLEGPFASEEIELRLQMLVQMALTSLVRFEDGMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGVI ->ARGMiner~~~emrA~~~WP_064529930.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_064529930.1~~~fluoroquinolone~~~unknown MSANAETQSPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVLGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~OprJ~~~gi:346061078:dbj:GAA20961.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprJ~~~gi:346061078:dbj:GAA20961.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MNEMRKPAFGVSALLIALTLGACSMAPTYERPAAPVADSWSGAAAQRQGAAIDTLDWKSFIVDAELRRLVDVALDNNRSLRQTLLDIEAARAQYRIQRADRVPGLNAAATGNRQRQPADLSAGNRSEVASSYQVGLALPEYELDLFGRVKSLTDAALQQYLASEEAARAARIALVAEVSQAYLSYDGALRRLALTRQTLVSREYSFALIDQRRAAGAATALDYQEALGLVEQARAEQERNLRQKQQAFNALVLLLGSDDAAQAIPRSPGQRPKLLQDIAPGTPSELIERRPDILAAEHRLRARNADIGAARAAFFPRISLTGSFGTSSAEMSGLFDGGSRSWSFLPTLTLPIFDGGRNRANLSLAEARKDSAVAAYEGTIQTAFREVADALAASDTLRREEKALRALANSSNEALKLAKARYESGVDNHLRYLDAQRSSFLNEIAFIDGSTQRQIALVDLFRALGGGWDEGRSLVVHRGGRS ->ARGMiner~~~EXO beta-lactamase~~~WP_060731796.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_060731796.1~~~penam~~~unknown MRKPTTSLTRRSVLGVGLGLGGALALGSTTASAASAGAVTSGTTRPGTTSSGNLAAVRRLRALEREHQARVGVFALNLATGATLLHRAHELFPICSVFKTLAAAAVLRDLDHDGTQLSRVIHYTGADVTKSGHAPVTKDHIDTGMTIRALCDATIRYSDNCAGNLLLRELGGPTAITRFCRSLGDPVTRLDRWEPELNSAEPDRRTDTTAPYAIARTYQRLVLGNALDRPDRALLTDWLLRNTTSVERFRKGLPKDWKVADKSGGGSTYGTSNDVAIAWTPDGAPIVLALFTHKPTQPTASGDTPLVIEAATVMSEAVTTA ->ARGMiner~~~FosA2~~~WP_032625316.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_032625316.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFEPLSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~mexY~~~WP_057453916.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_057453916.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGQLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~acrB~~~WP_050950063.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_050950063.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAIAQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~mtrR~~~AMP19675.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~AMP19675.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQAPGVTRGALYWHFKNKEDLFDALFQRICDDIENCIAQDTEDAEGGSWAVFRHTLLHFFERLQSNDIYYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITTVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWFSSCERFDLGKTAPRIIGIMMDNLENHPDLRRK ->ARGMiner~~~mecR1~~~WP_001606121.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_001606121.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFI ->ARGMiner~~~AAC(3)-IIc~~~WP_031944095.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IIc~~~WP_031944095.1~~~aminoglycoside~~~unknown MPLDRYSVLQFRGDIAMHTRKAITEAIRKLGVQTGDLLMVHASLKAIGPVEGGAETVVAALRSAVGPTGTVMGYASWDRSPYEETLNGARLDDKARRTWPPFDPATAGTYRGFGLLNQFLVQAPGARRSAHPDASMVAVGPLAETLTEPHELGHALGEGSPVERFVRLGGKALLLGAPLNSVTALHYAEAVADIPNKRWVTYEMPMLGRNGEVAWKTASEYDSNGILDCFAIEGKPDAVETIANAYVKLGRHREGVVGFAQCYLFDAQDIVTFGVTYLEKHFGATPIVPAHEAAQRSCEPSG ->ARGMiner~~~FosB3~~~WP_031911067.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_031911067.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPNGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~CTX-M-3~~~AIC64475.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64475.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIRAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~tolC~~~WP_048290042.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048290042.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNVSKTNTPLASDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~nalC~~~WP_034070483.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_034070483.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSRYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~FosB~~~WP_047477759.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_047477759.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEEDIPRNEIHKSYTHTAFSIDPSDFDAIHQMLENLNVNILNGRPRDQQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMTFYI ->ARGMiner~~~mgrA~~~WP_053464252.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_053464252.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQLNLGGRLCFSLYNAQRQVNRYYSNKVFKKYKLTYPQFLVLTILWEDSPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTEKSEAIKPELGQACNQLADISGLSENEEKELNRLLDKLIDSLAKENA ->ARGMiner~~~macA~~~WP_017385758.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_017385758.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEAAKKSQGNGARLQRLNLTAEQKQLIEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~tolC~~~WP_004867776.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_004867776.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLMQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLSAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNAVEALRQVTGNYYPELASLNVDGFKTNKPQTVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLTASTGISNTTYNGSKTNDPTRYGDTDAGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESSHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLLALNNTLGKPVSTSPDSVAPETPQQDANADGYSSNAAPAATPASTRTTKTSGANPFRQ ->ARGMiner~~~macB~~~WP_045343641.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045343641.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRIDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_004201358.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_004201358.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLARLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_019281107.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_019281107.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFISAALGSLSSSVWADTLTEIYNQAKENDPQLLSSAAQRDAAFEAVTSSRGDLLPQINLTAGYNINRSDTDSRESDKLTAGISFSQQLYQRSSWVSLDTAEKNARKADSAYAATQQGLILRVAQAYFEVLRAKDNLAFVRAEKAAVARQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAKNSLTNSYETLREITGQEHSDLSVLDTNRFSASKTTQPIDALLEEAQQKNLSLLTARIAQDVAKDNISLASSGHLPSLTLDGGYKYGDESNDNSNSQGDYNDFNVGINLNVPLYTGGKTTSQTKQAEFAYVAASQDLEKTYRSVVKDVRAFNNNINASIGALRAYEQSVISAKSALEATEAGFDVGTRTIVDVLDSTRRLYDANKNLSNARYDYVLSVLQLRQAVGTLSEQDILDINAGLKADS ->ARGMiner~~~aadK~~~WP_021480175.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_021480175.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVESFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSYKEWEKLMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYQYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~msbA~~~WP_031883325.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031883325.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLMQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~FOX-2~~~WP_042030296.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-2~~~WP_042030296.1~~~cephalosporin;cephamycin~~~unknown MHFSTHHKTDVMKQPTTLSRLALGSLLISAFASAAQDAPLTAIVDGAIQPVLKEYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAVVKGGFKLDDKVSQHAAWLKGSAFDGVTMADLATYSAGGLPLQFPDAVDSAEKMQAYYRQWTPLFAAGTQREYSNPSIGLFGYLAASSLGQPFEQLMSQTLLPGLGLAHTYLKVPAAAMGDYAYGYAKEEKPIRVNPGVLADEAYGIKTSSADFIKFVEANMTKCGDATMQQAIAMTHTGFFSVGGMTQGLGWESYAYPVTEQALLAGNSPAVSYKANPVERFAAPKDMGEQRLYNKTGSTNGFGAYVAFVPAKGIGIVMLANRNYPNDARIKAAYAILSKLAD ->ARGMiner~~~vanRO~~~WP_059301720.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_059301720.1~~~glycopeptide~~~unknown MRVLIVEDEPYLAEAIRDGLRLEAIAADIAGDGDTALALLSVNAYDIAVLDRDIPGPSGDEIAARIVASGSGMPILMLTAADRLDDKASGFGLGADDYLTKPFELQELALRLRALDRRRAHSRPPVREIAGLRVDPFRREVYRDGRYVALTRKQFAVLEVLVAAEGGVVSAEELLERAWDENADPFTNAVRITVSALRKRLGEPWIIATVPGVGYRIDTGPAAGPDGGDRG ->ARGMiner~~~lmrB~~~NC_009487.5169896.p01~~~lincosamide unknown +>ARGMiner~~~lmrB~~~NC_009487.5169896.p01~~~lincosamide~~~unknown MMAKVELTTRRRNFIVAVMLISAFVAILNQTLLNTALPSIMRELNINESTSQWLVTGFMLVNGVMIPLTAYLMDRIKTRPLYLAAMGTFLLGSIVAALAPNFGVLMLARVIQAMGAGVLMPLMQFTLFTLFSKEHRGFAMGLAGLVIQFAPAIGPTVTGLIIDQASWRVPFIIIVGIALVAFVFGLVSISSYNEVKYTKLDKRSVMYSTIGFGLMLYAFSSAGDLGFTSPIVIGALIISMVIIYLFIRRQFNITNVLLNLRVFKNRTFALCTISSMIIMMSMVGPALLIPLYVQNSLSLSALLSGLVIMPGAIINGIMSVFTGKFYDKYGPRPLIYTGFTILTITTIMLCFLHTDTSYTYLIVVYAIRMFSVSLLMMPINTTGINSLRNEEISHGTAIMNFGRVMAGSLGTALMVTLMSFGAKIFLSTSPSHLTATEIKQQSIAIGVDISFAFVAVLVMAAYVIALFIREPKEIESNRRKF ->ARGMiner~~~EXO beta-lactamase~~~WP_030699302.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_030699302.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAAALVPGTAHASSGGRGRGHGSASDAERRLAGLERASGARLGVYAYDTGSGRTVAYRADELFPMCSVFKTLSSAAVLRDLDRDGEFLSRRIFYTQADVEQADGAPETGKPENLANGMTVEELCKVSITASDNCAANLTLRELGGPAAVTRFARSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLVLGDALNPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRPPIVLTVLTAKTEQDAVRDDALVADAARVLAETLG ->ARGMiner~~~mdtH~~~WP_004190992.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_004190992.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPYQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~mdtG~~~WP_032439274.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032439274.1~~~fosfomycin~~~unknown MSSAETPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLEDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~mtrE~~~WP_002244265.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~WP_002244265.1~~~macrolide;penam~~~unknown MDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPEDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGTVGTGSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQVQIVAYESAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYAAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~tolC~~~WP_058647937.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_058647937.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGAGNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~Escherichia coli mdfA~~~ZP_03050303~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~ZP_03050303~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIITCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGQFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~norA~~~WP_049420414.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049420414.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFTLYFNIFLIFVGIGLIIPVLPVYLKDLGLKGSDLGILVAIFSLSQMIISPFGGSLADKLGKKLIICLGLVFFTISEFLFAMSHSFILLIVSRILGGFSAGMVMPGVTGMIADISKAKDKAKNFGYMSAIINSGFILGPGIGGFLAEVSHRLPFYFAGALGVMAFVISVILIRQPQNTVESHHIHFETKELSKIQWGVFITPIILTFVLAFGLSSFETLFSLYTSAKANYAPGDISIAIVGGGVAGAVFQIFFFDKFMRYITELTFITWALLYSVIVIFSLIIAHSYWSIMLISFIVFIGFDLIRPALTNYYSNIAGNRQGFAGGLNSTFTSMGNFVGPLVAGSLFDVNIEFPLYMSIIVMLFGIVIIFIEKNLKLNRSGCD ->ARGMiner~~~macB~~~WP_065226469.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_065226469.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPSAIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVMVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB~~~EEL88310.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~EEL88310.1~~~fosfomycin~~~unknown MFYEKILEGELLVKGRKLAYFNICGVWVALNEEVHIPRNEIHQSYTHISFTVEQEDFNCLLKRLEENEVHILKGRERDVRDCESIYFQDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~macB~~~WP_048293411.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048293411.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHDLLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIEIRDGEIVRNPPGSRQGGGLRARQQPEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYHDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_063413406.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063413406.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanM~~~WP_064017431.1~~~glycopeptide unknown +>ARGMiner~~~vanM~~~WP_064017431.1~~~glycopeptide~~~unknown MYRLKIAILFGGCSEEHDVSVKSAKEIANNINTEKYEPIYIGITRSGVWKMCEKPCMDWDNESCRSAVLSPDKRMHGLLVMRDKGYQIQRIDAAFSVLHGKSGEDGAIQGLFELSGIPYVGCDIQSSAVCMDKSLAYIIAKNAGIATPEFWVINKDDRPATDAFTYPVFVKPARSGSSYGVKKVNGVDELDAAIESASQYDSKILIEQAVLGCEVGCAVLGNSSELIVGEVDQIRLQHGIFRIHQEAEPEKGSENAVITIPADLSAEERGRIRETAKKIYKALGCRGLARVDMFLQDNGRIVLNEVNTLPGFTSYSRYPRMMVAAGITLPELIDRLIVLALKG ->ARGMiner~~~lrfA~~~NP_826974~~~fluoroquinolone unknown +>ARGMiner~~~lrfA~~~NP_826974~~~fluoroquinolone~~~unknown MTSQTTIDTTGPGDKAPLAPSDKAPAKGLRGHPWYTLITVAVGVMMVALDGTIVAIANPTIKADLGASFADVQWITNGYFLALAVTLITAGKIGDRFGHRQTFLIGVVGFAAASGAIGLSDSIALVITFRVFQGLFGALLMPAALGLLRATFPAEKLNMAIGIWGMVIGASTAGGPILGGVLVQHVSWQSVFFINVPVGALALVLGLLILLDHRAENAPRSFDILGIALLSGAMFCLVWALIKAPTWGWGDGKTWAFLIASLVGFALFGFWETRVREPLIPLALFRSVPLSAGVVLMVLMAIAFMGGLFFVTFYLQNVHGMSPVDAGLHLLPLTGMMIVGSPVAGMLITKTGPRIPLAGGMALTAIAMYGMSTLETDTSGAVMSLWFALLGLGLAPVMVGATEVIVGNAPMELSGVAGGLQQAAMQIGGSLGTAVLGAVMASKVDNDLAGNWADAKLPPLTGAQLDQASEAVQVGVAPVPKGTPAAIAEQITGVAHDTFISGMSLASLVASGVAAVAILVAMLTKRGENADAAGAGAGHI ->ARGMiner~~~BcI~~~WP_002162797.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002162797.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDTRVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALLAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEASYDNQLIAEATEVIVKALR ->ARGMiner~~~tolC~~~WP_047373087.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047373087.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDSNGVNSNATSGSLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVESLRQVTGNYYPQLASLNVDGFKTDKPQAVNALLKDAESRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTAVSNTSYSGSRTNSAQYDDSKIGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLLALNNTLGKPVSTSPENVAPENPEQEARVEKMANGQASQAQPASVRKTTR ->ARGMiner~~~emrB~~~WP_024215363.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_024215363.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASSWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~msbA~~~WP_000597247.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597247.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDFITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~mdtE~~~WP_001080591.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001080591.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRKRILLIPLLFCGAMLTACDDKSTENAAAMTPEVSVVTLSPGSVNVMSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREINATKAIGNQWVVTSGLQAGDRVIVSGLQRIHPGIKARAISSSQENASTESKQ ->ARGMiner~~~macA~~~WP_047347378.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047347378.1~~~macrolide~~~unknown MNLKGKRRTLILLLAVVVLAGGYWLWQVLNAPLPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAK ->ARGMiner~~~FosB~~~WP_029439419.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_029439419.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKILEGELLVRGRKLAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQERLNYYREDKPHMTFY ->ARGMiner~~~blaR1~~~WP_062749127.1~~~penam unknown +>ARGMiner~~~blaR1~~~WP_062749127.1~~~penam~~~unknown MTLPHILLSLVLITATILVIFCARAVFYKQLSAKWRYHLWFLLITVMTLPFIPIHLLTGLSFFDQGRQQIPPSSQERFGFANQNEQWMVDFGTSVSRFDDTFIHSAVVLIWIGGMIFFLLLTLYHYVKLQRLVKAATSIQNEKVQKLFSECVQELDITKKLTILESPAIQTPMTFGLLKTYILLPQNIELYLSDDEIRHVLLHELHHYKSKHIKVNYVFVVYQMVYWFHPLVWKAFKEMRLDRELACDTEVLLTLGQREYKTYGQTIMRFLERNSRFFHLTNQLHSSKKALKIRILNIASFTGESKRRQLKSMFVFAILSVFVIAQFPFLTATAVSTERYQFDESQAVVEDYSTHFAGNEGSFVLYSLNSDQFEIYNKEKSVRRVSPNSTYKIYTALMALELGVIGRDDSWLDWDGVEYEDEAWNSGQDLKSAMSQSVTWYFQELDERMKQRNIQSFVNQLDYGNKDLSGGLNHYWLESSLKISPVEQVELLHSFYTNQLDFKEEHVQFVKEVMKLEENQKGTLYGKTGTGIVNGHAINGWFIGFVETETDTYFFATNIQQQDHAFGSTAAEITLSILSSKGIY ->ARGMiner~~~adeB~~~WP_000987606.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987606.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~nalC~~~WP_034014953.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_034014953.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSAEQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~Escherichia coli ampC~~~WP_052925175.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_052925175.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPVWAPGTQRLYANSSIGFFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNTLQ ->ARGMiner~~~macA~~~WP_048212058.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_048212058.1~~~macrolide~~~unknown MKLKGKIKKRYFLLAIIIIVAMISLWRTLNAPLPQYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAEAEWKLARVTLSRQQQLAKTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMGTMLVKAQVSEADVIHLQPGQKAWFTVLGDPQTRYEGVLKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTDVKNVLTIPLSALGDPIGNNRYNVRLLRNGETREREVVIGARNDTDVEIVKGLEEGDEVITGEGKAGVAQ ->ARGMiner~~~macA~~~WP_032222656.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032222656.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAKTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~Escherichia coli mdfA~~~ELP05609.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~ELP05609.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MLYEFSTYIGNDMIQPGMLAVVEQYQACLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLATLLAKNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFILFAALAAIAFFGLQRAMPETATRRGETLSFKALGRDYRLVIKNRRFVAGALALGFVSLPLLAWIAQSPIIIISGEQLSSYEYGLLQVPVFGALIAGNLVLARLTSRRTVRSLIVMGGWPIVAGLIIAAAATVVSSHAYLWMTAGLSVYAFGIGLANAGLVRLTLFSSDMSKGTVSAAMGMLQMLIFTVGIEVSKHAWLSGGNGLFSLFNLANGILWLLLMLVFLKDKRTGNSQTV ->ARGMiner~~~hmrM~~~EIQ10520.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~EIQ10520.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTATRTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSTAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~vanZA~~~WP_052137894.1~~~glycopeptide unknown +>ARGMiner~~~vanZA~~~WP_052137894.1~~~glycopeptide~~~unknown MILIWLVLFKLQYNILSVFHYHHRSLNLIPFAGPSIINGSFGEIRDNVIIFIPLGLLLNVNFKKVGFLLKFAFILVLSIIIELFQFISAIGATDITDVITNTVGGFLGLELYVLSSKYVNNKILDRVIIFVGILLLVLLLDYRTHLRINY ->ARGMiner~~~mdtN~~~WP_038341525.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_038341525.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAVVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIHAGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~macB~~~WP_047047573.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047047573.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGEVDVLKGITLSIAAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVARVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRIRLERARDLLTRLGLGDRAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSSEKGGVLRAETRAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~novA~~~WP_051762280.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_051762280.1~~~aminocoumarin~~~unknown MPHDEPKWIPSKEPIDPARPAPAEQPRELRRIVGLFRPYRGRLAVVGLLVGASSLVGVASPFMLREILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTFISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGHERKRITTKRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTAAFSAESEKLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQTGAPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERADPVRLDRAKGEVTLEDVHFAYEAKNGPTLTGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVALDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEITEAARAAQIHEHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQRAIDNLSAGRTTITIAHRLSTVRDADQIVVLDAGRIAERGTHEELLKVDGRYAALVRRDRDAALTPEPPEGFQLAPVNV ->ARGMiner~~~TEM-166~~~ANG28131.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-166~~~ANG28131.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVGELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDEQNRQIAEIGASLIKHW ->ARGMiner~~~OpmH~~~WP_058491627.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~WP_058491627.1~~~triclosan~~~unknown MKRSLLFCVLTLGLSSYSHATDLMDIYQQALENDTVFKNAYDNYMSSTEAIPQARAALFPQVGINSQAGRNLQNVQAGGLSTNLSYSNTIWQVTASQAVFNYQAWAKVAQAKASVKSAQATFNDAAQNLILRTAKAYFDVLFAKDTLDFAEAKKRANKRQFEQATQRFQVGLDAITSVYEAKAAYDQSIATVISARNNQINQSENLRKLTNHVYESLAPLRDSKIPLIKPEPNDVDQWIDTGLKQNYKLYAARYSLEVAKENVKSLSAGNWPVLAIQTNATQSHNTVDSTSFFAPTKQTQANVALTMNFPIFQGGLVQSQTRQAQYGFQSTSEQLEQTYRDVVVNSRIAFNTITDGISKVKADRQTIISQKNSLESTEAQFEVGTRTMVDVVNAQQRLFEAQEQLARDQYDLINAMLTLKYLAGTLNVNDIELVNSWLATTRVNGMASVSSATTK ->ARGMiner~~~MexD~~~WP_015503665.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_015503665.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSRPAPIEQAASAGE ->ARGMiner~~~FosB~~~WP_002064996.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002064996.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENAIMFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQEDFERLLQRLEENKVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKAHMTFY ->ARGMiner~~~AQU-1~~~WP_050555284.1~~~cephalosporin unknown +>ARGMiner~~~AQU-1~~~WP_050555284.1~~~cephalosporin~~~unknown MLGTRRPVRLTAPEHTEIFAMKQTRSLPLLALGTLLLAPLSLAAPVDPLNAVVDDAIRPMLKQHRIPGMAVAVLKGGQAHYFNYGLADMAAGKKVNEQTLFEIGSVSKTYTATLGAYAVVKGGIGLDDKVSRHAPWLKGSAFDGVTMAELATYSAGGLPLQFPDEVESVEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGYLAASSLRQPFAQLMAQTLLPGLGLHHTYLEVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANISGVGDKALQQAISLTHQGRYSVGEMTQGLGWESYTYPVSEQTLLAGNSSAVIYNANPVKPVAASQETGGARLYNKTGSTNGFGAYVAFVPAKGIGIVMLANRNYPNEARISAAHAILSQLAP ->ARGMiner~~~aadK~~~WP_014480369.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_014480369.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVESFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYQYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~tolC~~~WP_007701627.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_007701627.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNDGVDNTVKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDNFKTTKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSNTGGAAASNYADRKIGQNSVGLSFNLPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTAPESVAPENPEQDAAVNNMANGGGSAPAMQPAAATRSSNSNSGNPFRQ ->ARGMiner~~~sdiA~~~SAF46352.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~SAF46352.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLYVLGYIHHNNDYLYQRLHSVSMRDIDFFTWRRECFLRFQEMTCAEEVYQELQRQTQAFEFDYYALCVRHPVPFTRPRTSVHSSYPQQWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFTDAQQLWDGARDHGLRKGITQCLMMPNHALGFLSVSCTSLQAHSISSEEVELRLQMLVQMALTTLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKIKIACYAAATGLI ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061843909.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061843909.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNILFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~macB~~~WP_023289005.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023289005.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPSASRQGGGLRARQQEEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSDTAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_064278936.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064278936.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGFGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~macB~~~WP_059445588.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059445588.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRERGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAEAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKASVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtM~~~WP_005767800.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_005767800.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MAGLKATHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIIPSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVSFSAKSVLRDFRNVFCNRQFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~mdtF~~~WP_001467705.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001467705.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLIVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTPIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~adeG~~~WP_022575371.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_022575371.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMFLHENADAKAAPTSAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVRKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGTQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPITPHLVPMPNTQITANATSSQPQPTDKTSTPAKG ->ARGMiner~~~macB~~~WP_060578893.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_060578893.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTSMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLVLLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtP~~~WP_032257441.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032257441.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHPSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~macA~~~WP_063859320.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063859320.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGGLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~sdiA~~~WP_001154285.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154285.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMETAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVNYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~mdtO~~~WP_021573478.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021573478.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIASLFLIYKWSYGEPLIRLIIAGPILMSCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEAVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVLCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHSITEGQCWQSDWRISESEAMTARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLHIRIGLHAAFNACEEMCQRVVLERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGVATALSHSPQITLEETSASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~bacA~~~Q6HLG8~~~peptide unknown +>ARGMiner~~~bacA~~~Q6HLG8~~~peptide~~~unknown MADWLIGIIMGAVEGLTEFLPVSSTGHMILTGHLIGFDDDRAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGVLGVLFHSAIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSTADIQLFATGFITAFVVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~emrB~~~WP_025711508.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_025711508.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMLSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESITAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macA~~~WP_046595100.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_046595100.1~~~macrolide~~~unknown MSAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~tolC~~~WP_064371646.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064371646.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNSLDNAVEELRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSGVSNNRYSGSKSLAQDADVGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPTSARSTSGSNPFRQ ->ARGMiner~~~mgrA~~~WP_001830335.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_001830335.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEMIRPELSNASEKVATASSLSKEEVKELNRLLGKVIDAFIETKEK ->ARGMiner~~~TEM-1~~~ANG15402.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG15402.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQFGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~ErmB~~~WP_032495453.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_032495453.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNTRVTLIHQDILQFQFPNKQRYKIVGNIPYHLSTQIIKKVVFESHASDIYLIVEEGFYKRTLDIHRTLGLLLHTQVSIQQLLKLPAECFYPKPKVNSVLIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKYAKVNDLSTVTYEQVLSIFNSYLLFNGRK ->ARGMiner~~~mefA~~~WP_024399345.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_024399345.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGIVALRQNKGLFALLLLGTLYTFVYMPINALFPLISMEYFNGTPVHISITEIAFASGMLVGGLLLGRLGNFEKRVLLITGSFFIMGASLAVSGLLPPSGFVIFVACCAVMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSFAMPIGLILSGFYADRIGVNHWFLLSGILIIGIAIVCPMITEIRKLDAK ->ARGMiner~~~mdtH~~~WP_038639676.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_038639676.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMGHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYVGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRPTRGMLEPDA ->ARGMiner~~~FosB~~~WP_039072690.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_039072690.1~~~fosfomycin~~~unknown MANNNILGINHLLFSVSDLSVSISFYEKVFDAKWLVKAEKTAYFDLNGIWLALNEEKDIKRQEIHDSYTHIAFSIQQEDLPFWEKKLRDLSVNVLKGRKRHEGDKDSIYFSDPDGHKFELHTGSVFDRLQYYQNEKQHLSFHEGHIKALYNRNK ->ARGMiner~~~FosA2~~~WP_063943913.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063943913.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQEIDYTHYAFTVAEADFEPFSNKLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~APH(6)-Id~~~WP_013023852.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_013023852.1~~~aminoglycoside~~~unknown MGLMFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIKDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~mgrA~~~WP_016898353.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_016898353.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSTASEKVATASSLSKEEVKELNRLLGKVIDAFTETKK ->ARGMiner~~~vanRA~~~WP_016130597.1~~~glycopeptide unknown +>ARGMiner~~~vanRA~~~WP_016130597.1~~~glycopeptide~~~unknown MSDKILVVEDEREIADLVELYLKNENYTVFKYYTAKEALECIDKTDLDLAILDIMLPGASGLDICQKIRDKHTYPIIMLTAKDTEIDKITGLTIGADDYITKPFRPLELIARVKAQLRRYKKYNGVTAQNKNVIVHSGLVINISTHECSLNEKPLSLTPTEFSILRILCENKGNVVSSEQLFHEIWGDEYFSKSNNTITVHIRHLREKMNDTIDNPKYIKTVWGIGYKIET ->ARGMiner~~~adeB~~~WP_000987596.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987596.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLNPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSAVNTSMANSTEGETMAVLQPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~TEM-1~~~ANG09482.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG09482.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRVVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~bcr-1~~~WP_020245477.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_020245477.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLMMLNFPWQSLFWTMAIMGVAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~tolC~~~WP_004249473.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_004249473.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLSLLVTMSLAGFSTASQAEDLLQVYQKAKDSNPELRKSLAERNQAFEKINEARSPLLPQLGLGASIDYKSGYRDAKNTESNSLGANLTLTQTIFDMSLWRQLTMQEKTAGMSDVTYQTSQQQLILDTATAYFNVLRAIDSLSFIEAQKEQVYRQLDQTTQRFNVGLVAITDVQNARANYDSVLAQEVAGRNELDNALEKLRQVSGVYYINLASLNIARFSTTPPDAIDKLLKDAEERNLSLLSARLGQDLARENIRLAQSGHLPTVNLNASTGVSNSHNHGSALLPETPANSRNSYNGQSSIGLSLSIPLYTGGRTSSQVEQAQYGFTSASEQLESVYRSIVQIARSSYNNISASISSIKAYQQVVVSAQSSLDATEAGYQVGTRTIVDVLNATTTLYDAKQKLSSARYDYLINQLNIQYARGTLNENDLIQLNNALGEEISTSPDNIIRPLTSPVLNTTN ->ARGMiner~~~SRT-2~~~WP_037414833.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_037414833.1~~~cephalosporin~~~unknown MMKINRLAAALLATLILPAGHAADKADIDAIVQPLMQKYGVPGMAIAVSVGGKQQFYHYGVASKQTGKPITNQTLFEIGSLSKTFTATLAAYAQNEGKLSFSEPASRYLPELRGSAFDHVSLLNLATHTSGLPLFVPDEVTNNTQLMAYYKNWQPQHPAGSTRVYSNLGIGMLGMITAKSLNQPFAQAMEKTLLPALGMTHTYIHVPTAQMANYAQGYDKQDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQIKVTEKWRSALAATHVGYYKAGVFTQDLMWENYAYPVDLARLTEGNNAGMIMNGTPATAITPPQQEQAASWYNKTGSTGGFSTYAVFIPSQKIAVVMLANKWFPNDDRVAATYRIVQLLDKP ->ARGMiner~~~vanWB~~~SAM46405.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~SAM46405.1~~~glycopeptide~~~unknown MDRKRLTQRFPFLLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIRPGETFSFWWLVRHADKDTPYKDGLTVTNGKLTTMSGGGMCQMSNLLFWMFLHTPLTIIQRRGHEVKEFPEPNSDEIKGVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVFADKEPQALYKITNGSIQYVRESGGIYEYAQDKRMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEENQ ->ARGMiner~~~PDC-7~~~WP_060844168.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_060844168.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRQITLTGRGLFGACALLLGASQALAEITPDSALKATVDATIRPLMQQQGIPGMAVAIIVDGKRHYFNYGVASKDNQRPVDHDTLFEVGSVSKTFTATLAAYAQASGKLALNDHASQYLPALRGSAFDGISLLQLGTYTAGGLPLQFPDDVKGEDKTLDYYNTWKPTFSPGTQRLYSNPSLGLFGYLAARSLGQPFDQLMEQTLFPKLGLKHSYVRVPQDQRSHYAQGYDKQNKPSRVGPGAMDSEAYGVKTSAADLLQFVAGNLQPGQLDATVQRAIASTQSGYYRVGDMTQGLGWERYAYPVPLARLLAGNSSAMALEPHPVQWLTPAQAPQADALYNKTGSTNGFGAYVLFVPGKQIGIVLLANKNYPNEERVKAAHGILGALEAAR ->ARGMiner~~~y56 beta-lactamase~~~WP_050106980.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050106980.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITLPLVNFSLPAWAAAPSDLLGNQLAELERKSNGRLGVTMINTANGRKIQYRGTQRFPFCSTFKFMLAAAVLGKSQTQPDLLNKHIKYRESDLLSYAPITRKNLAHGMTVSQLCAATMQYSDNTAANLLIKELGGVESVNAFARSIGDQAFRLDRLEPDLNTALPNDPRDTTTPAAMAASMNKLVLGDALPATQREQLTLWLKGNTTGAETIQAGAPADWIVGDKTGSGDYGTTNDIAVLWPTQGAPIVMVVYFTQREKDAKPRRDVLAAATKIVLSSLSPAKS ->ARGMiner~~~CTX-M-30~~~AIC64386.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64386.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPTSWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mefA~~~WP_008665090.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_008665090.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNSVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGDRVQSLEPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMECFNGTPMHISITEIAYASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADRIGVNHWFLLSGVLIIGIAIACPMITEVRKLDLKQNS ->ARGMiner~~~mdtF~~~WP_001636780.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001636780.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKAPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~macB~~~WP_032223114.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032223114.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDLGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrA~~~WP_001348400.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001348400.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPVQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~bcrA~~~WP_000074587.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074587.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGTQKSVDNLNINVQQGEVYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGENLFQNQKNILRRIGSIVEVPGFYENLTAKENLLINAKIIGIHKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITIFISSHILAEVEQLVDRMGIIHEGKLLEEVSLDTLRKSNRKYIEFQVNNDNRATMLLENHLQIFDYEVHDEGNIRIYSHFGQQGHINRTLVLNDVDVLKMAMSEDRLEDYFTNLVGGGTIG ->ARGMiner~~~vanXD~~~WP_002586572.1~~~glycopeptide unknown +>ARGMiner~~~vanXD~~~WP_002586572.1~~~glycopeptide~~~unknown MEKNFVFLDEMLPGIRWDAKYATWDNFTGKPVDGYMVNRIVGTKELGAALRKAQKAAEKLGYGLLLWDGYRPQCAVDCFLNWASLPENNLTKKRYYPNIERKEMVAKGYVASQSSHSRGSAIDLTIFRLDTGMLVPMGGDFDFMDVRSHHAASGLSEEETNNRESLRAIMERSRFEAYRYEWWHYVLVDEPYPDTYFDFCVA ->ARGMiner~~~mecR1~~~WP_031795113.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_031795113.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSLSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~CRP~~~WP_005159757.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_005159757.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSSQMANRLQITSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGAR ->ARGMiner~~~mecA~~~WP_031783560.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031783560.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGIWKLDWDHSVIIPGMQKDQSIHIEKLKSKRGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLEKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~vanZF~~~WP_031414939.1~~~glycopeptide unknown +>ARGMiner~~~vanZF~~~WP_031414939.1~~~glycopeptide~~~unknown MLTLTVLYTYFCTIIFCIVFQIGFLVKAQQNLSIKHFLWVYVFLFYLALVYMVTGIGNIWVIGRYETLIRISEINLLPFASEGSTTYILNIILFMPLGFLLPTIWPQFRSIKNVAYTGLSFSLAIELSQLLNHRITDIDDLLMNTLGAIIGYLIYSALFVMVHKRGEIKPDIKSSLVVKYEAVFYLVCSFIGMILLHYPILFRKII ->ARGMiner~~~TEM-1~~~ANG12819.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG12819.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRVHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLVDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~Sed1 beta-lactamase~~~WP_044257656.1~~~cephalosporin;penam unknown +>ARGMiner~~~Sed1 beta-lactamase~~~WP_044257656.1~~~cephalosporin;penam~~~unknown MFKKRGHQTVLIAAVLAFFTASSPLLARTQGDPAQVQEKLTALEKQSGGRLGVALINTADRSQILYRGDERFAMCSTSKTMVAAAVLKQSETQHDILQQKMVIKKADLTNWNPVTEKYVDKEMTLAELSAATLQYSDNTAMNKLLEHLGGTSNVTAFARSIGDTTFRLDRKEPELNTAIPGDERDTTSPLAMAKSLHKLTLGDALAGAQRAQLVEWLKGNTTGGQSIRAGLPEGWVVGDKTGGGDYGTTNDIAVIWPEDRAPLILVTYFTQPQQDAKGRKDILAAAAKIVTEGL ->ARGMiner~~~tetO~~~ACT76126.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~ACT76126.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPGGQSELCGQVFKIEYSEKRRRFVYVCIYSGTLHLRDVIKISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~mexH~~~WP_047943019.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_047943019.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGXPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~BLA1~~~WP_042513561.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_042513561.1~~~penam~~~unknown MIVLKNKKMLKIGMCVGILGLSITSLVTFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMRDRVTMSDRFETELNEAIPGDIRDTSTAKAIARNLKDFTVGNALPHQKRNILTEWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRSPIIIAILSSKDEKEATYDNQLIKEAAEVVIDAIK ->ARGMiner~~~tolC~~~WP_000735320.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735320.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGVSDTSYSGSNTHGPGSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDANEAGYAVGTRTIVDVLDATTTLYEAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~mefA~~~WP_039236476.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_039236476.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPIWMVMVVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAMIASITVAIVRLPKLGDQVQSLKPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMEYFNGTPMHISITEIAYASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFIADRIGVNHWFLLSGILIICIAIVCPMITEIRKLDLKQNS ->ARGMiner~~~BLA1~~~WP_042511893.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_042511893.1~~~penam~~~unknown MIVLKNKKMLKIGMCVGILGLSITSIEAFTGGPLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTAGNALPNHKRNILTEWMKGNATGDKLIRAGVPTNWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~mdtH~~~WP_036417583.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_036417583.1~~~fluoroquinolone~~~unknown MSLVRQARTLGKYWLLVDNLLVVLGFFVVFPLISIRFVEQLGWAGIVVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIVIGMFLRAGGFALMAMADEPWILWLSCILSAIGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVLGALLGSWLLIYDFHLVCWVGAAIFIITALCNAWLLPAYRISTTRTPVKEGLTRVLSDKRFSRYVLTLTGYFILSVQVMLMFPIIVNELAGTPAAVKWMYAIEAALSLTLLYPLARWSEKHFRLETRLMAGLFLMSLSMFPVALTHSLHVLFAIICLFYLGSVIAEPARETLSASLADPRARGSYMGFRRLGLAFGGAIGYTGGGWLYDLGKEMQLPELPWFLLGTIGLITLIALYRQFNPKKISPAVLN ->ARGMiner~~~Klebsiella pneumoniae OmpK36~~~AF336097.1.gene1.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK36~~~AF336097.1.gene1.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MKVKVLSLLVPALLVAGAANAAEIYNKDGNKLDLYGKIDGLHYFSSDDSVDGDQTYMRIGVKGETQINDQLTGYGQWEYNVQANNTESSSDQAWTRLAFAGLKFGDAGSFDYGRNYGVVYDVTSWTDVLPEFGGDTYGSDNFLQSRANGVATYRNSDFFGLVDGLNFALQYQGKNGSVSGEDQTNNGRGFQKQNGEGFGTSVTYEIWDGISAGFAYSSSKRTDEQNNSTYFSKSYQRTYGVLGEGDHAETYTGGLKYDANNIYLATQYTQTYNATRTGDIGFANKAQNFEVVAQYQFDFGLRPSVAYLQSKGKDMGRYGDQDILKYVDLGATYYFNKNMSTYVDYKINLLDDNKFTKDASISTDNVVALGLVYQF ->ARGMiner~~~pmrA~~~WP_058128770.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~WP_058128770.1~~~fluoroquinolone~~~unknown MEINWRKNLVIAWIGCFFTGASISLVMPFIPVYVEQLGTPKDQIELFSGLAISVTAFASAVVAPIWGNLADRKGRKLMMIRAAAGMTLTMGSLAFVPNAYWLLIMRFWNGILSGYIPNATAMIASQAPREKSGWALGTLSTGAIAGNLIGPSMGGALAQWFGMENVFLITGALLMITTVLTIFLVKEDFHPIEKKDLISTKEIFSKMDHLSILIGLFITTLILQIGITTISPILTLYIRELSGSTENILFVSGLIVSIAGVSAVFSSPKLGKLGDKIGNQKVLIGGLILSFCCYLPMAFVTTPLQLGILRFILGFSTGALMPSVNTLISKITPQEGVSRIYSYNQMFSNFGQVLGPMLGSTVAHAYNYSAVFIVTSLFVLSNILLSLFNFRIVLRSRL ->ARGMiner~~~tolC~~~WP_000735341.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735341.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSNRDSRDSDTLSAGVGFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLTARISQDVARDNISLASSGHLPSLTLNGDYNYADNRNSHASNPSDYNDFKIGVNLKVPLYTGGKTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~arnA~~~WP_001374292.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001374292.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDLFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_022645444.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_022645444.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVSNPPAIEKVNVAGGTEPVVNTASGWRQFASGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~lsaB~~~WP_000061790.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061790.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGKYEYSGKILASVEFNYFPYSVSDKNKFTHEILEEICPQAADWELLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNAGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEKATNERLQKDIGRLKQSSKRSASWSNDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELVTLVDVSVKYNDQIVNEPISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVTLGTGLIISYVQQDTSHLKGSLSGFIEEHKIDETLFKSILSKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKIFQQTVATKTISM ->ARGMiner~~~lmrB~~~AFI26811.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~AFI26811.1~~~lincosamide~~~unknown METTAKASQQYKVMPIMISLLLAGFIGMFSETALNIALTDLMKELNITAATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFTVSLIFSILGTFIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALVFGIAYMQNVSETTKPKIDVLSIILSTIGFGGIVFGFSNAGEGSGGWSSPTVIVSLIVGVVGLILFSIRQLTMKQPMMNLRAFKYPMFILGVVMVFICMMVILSSMLLLPMYLQGGLVLTAFASGLVLLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVIVTVVLWFFSNVTTTSTAVLIIILHTCLMIGISMIMMPAQTNGLNQLPREFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQHDYMSTVKNPADPAVIPQALTAGVQHAFVFAMIVAIIGLVGAFFMKRVKVDH ->ARGMiner~~~mecA~~~WP_000721307.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_000721307.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTKETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~arnA~~~WP_000860262.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860262.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~SHV-26~~~AMQ81152.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-26~~~AMQ81152.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVARLDRWETELNEALPGDARDTTTPASMTATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~msbA~~~WP_031905440.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031905440.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKDFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_060588471.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_060588471.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVDIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQIWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtP~~~WP_001556350.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001556350.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLANDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~mdtM~~~WP_001136998.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001136998.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLTMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mdtH~~~WP_045441637.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045441637.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALSQPELPWMMLGVIGLITFLALGWQFSHKRTSRGMLEPGA ->ARGMiner~~~macA~~~WP_044782102.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_044782102.1~~~macrolide~~~unknown MKKWKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtP~~~WP_021524792.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_021524792.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATLFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPIVEKK ->ARGMiner~~~FosB3~~~WP_047502600.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_047502600.1~~~fosfomycin~~~unknown MIQSINHVTYSVSDIKASITFYKDILKANILVESDKTAYFTVGGLWLALNEEKDIPRNEIAYSYTHMAFTIDESEFDEWYQWYKDNNVNILEGRNRDVRDKNSIYFTDPDVHKLELHTGTLQDRLDYYKEEKPHMNFYK ->ARGMiner~~~CTX-M-12~~~AIC64331.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-12~~~AIC64331.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPSLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~tolC~~~WP_060568392.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_060568392.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~tolC~~~WP_014226875.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_014226875.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDAKDQNSDVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSSVSNNSYSGSKNTTQDRDIGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKSIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~macA~~~WP_047926674.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047926674.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQASYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLDMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~tolC~~~WP_007778110.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_007778110.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNDGVDNTVKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDNFKTTKPAAINALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTSGAAASRYADSKIGQNSIGLSFNLPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTAPESVAPENPEQDAAVNNMANGGGSAPAMQPAAATRSGNSNSGNPFRQ ->ARGMiner~~~MexA~~~WP_049317199.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_049317199.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRVAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~macA~~~WP_000746473.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746473.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRNVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSLQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macA~~~NC_002695.1.917702.p01~~~macrolide unknown +>ARGMiner~~~macA~~~NC_002695.1.917702.p01~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSLQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mexY~~~WP_058161875.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_058161875.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATTKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~CTX-M-3~~~AIC64335.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64335.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGW ->ARGMiner~~~Bacillus subtilis mprF~~~WP_064139870.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_064139870.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAILGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~FOX-2~~~WP_042020517.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-2~~~WP_042020517.1~~~cephalosporin;cephamycin~~~unknown MELIYADARIDCASHGVGVTYIHHETHKMEKATTRSLLVLSTLLLSPLSHAKEAEPLTAVVDGAIQPLLKEHRVPGMAVAVLKEGKAYYFNYGVADRESGARVSEQTLFEIGSVSKTLTATLGAYAAVKGGFQLEDKASQHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSTDKMRAYYRQWTPAYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPVRVNPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAVVKSAIALTHTGFYSVGEMTQGLGWESYAYPVTEQTLLAGNSSAVIYNANPVKSVAKSQEMGGARLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPNEARVKAAHAILSQLAE ->ARGMiner~~~TEM-1~~~ANG16922.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG16922.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYVELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIEHW ->ARGMiner~~~Klebsiella pneumoniae OmpK36~~~WP_017384819.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK36~~~WP_017384819.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MMKRNILAVVVPALLVAGAANAAEIYNKDGNKLDLYGKAVGLHYFSDYAGNDGDNTYARLGFKGETQINDQLTGYGQWEYNFQGNNSEGGDAQNGNKTRLAFAGLKFGDAGSFDYGRNYGLVYDAIGITDMLPEFGGDTGASDNFFAGRTGGLATYRNSNFFGLVDGLNFGVQYLGKNERDDAVRSNGDGWATSLSYDFDGFGIVGAYGAADRTNNQQTLEWGKGDKAEQWATGLKYDANNIYLAAIYGEMRNAARLGSRGFANKSQDFSVVAQYQFDFGLRPSIAYYKSKAKDVEGIGDEDYINYIDVGATYYFNKNMSTYVDYQINQLKDDNKLGINNDDIVALGLVYQF ->ARGMiner~~~bcr-1~~~WP_001504858.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_001504858.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITIAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~macB~~~WP_023240896.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023240896.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPHALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTASGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGSTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Bacillus subtilis mprF~~~WP_050975032.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_050975032.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVCDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGEFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~nalC~~~WP_034018922.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_034018922.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMTLLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~macB~~~WP_032314545.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032314545.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSIFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_032054411.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032054411.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLCIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSEKASSTPSTERKHEGNGARLERLNLTAEQKQLVEQGKATLSVVRILQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSDTSAASANSGNNRRRGPMGM ->ARGMiner~~~lsaB~~~WP_001990779.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_001990779.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYNGKILASVEFNYFPYPVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLKKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEQATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTEPLKLEALKFKSNELVILADVSVKYDDQVVNEPISFIVEHGDRIVLDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDFIEEQKIDETLFKSFLRKMDFDRIQFEKDISHYSSGQKKKLLIAKSLCKKAHLYIWDEPLNFIDIYSRMQIEELIQEFNPTMVIVEHDKVFQQTVATKTISM ->ARGMiner~~~macA~~~CRL63266.1~~~macrolide unknown +>ARGMiner~~~macA~~~CRL63266.1~~~macrolide~~~unknown MAFLPLKKRGRVLTLILIAFAIIAYFFWPKENVPSYQTQIITRGDLSKEVTATGKLDAVRKVDVGAQVSGQLQTLFVKEGDAVKKGDLLAIIDPKKAQNEVTESQETNNELRANLQQAQAELRLAQLTYQRQLKLIGTHAIAQDELDRTKTDVDVKKARIITYEAQIKKNQATLDTAKTNLQYTRITAPMDGIVTFIKTLEGQTVIAAQEAPTILTLADLDTMLVKAEVSEADVIYLKPDLNASFTVLGAPDKAFSGKLKDILPTPEKINDAIFYYARFEVPNEQHLLRLQMTAQVKILIENKKDVLLIPLSVLGDDAGINEYYVDVLVNGQPEKRTVKIGMRTDVYAEVLSGLKEND ->ARGMiner~~~mdtH~~~WP_053901877.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053901877.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLSPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSVHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~arnA~~~WP_001574734.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001574734.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPGYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~acrB~~~WP_050193143.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_050193143.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVVNTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~macB~~~WP_064579073.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064579073.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQVLKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtM~~~WP_021570581.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_021570581.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFSAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~tolC~~~WP_024561671.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_024561671.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRRSAANRDAAFEKINEARSPLLPQLGLGADYTYNNGFRDNDGVNSNVTSGSLQLTQTIFDMSRWRALTLQEKTAGVQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVSGNYYPQLASLNIDSFKTNKPDNVNALLKEAENRNLSLLQARLSQDLAREQIRYAESGHLPTLGLTASSSISDTSYSGSATRGNSLSSSSYADRNIGQNSVGLSFNLPIYSGGSVTSQVKQAQYNFVSASEQLESAHRSVIQTVRSSWNNVNASISSIKAYEQAVVSAQSSLDASEAGYAVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKAALGTLNEQDLVALNTTLGKPIPTSPESVAPENPQQDAAVDSFTANANGNDVAMPAAQPAASVQPAAQSRRSGANPFRQ ->ARGMiner~~~MexB~~~WP_064593993.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_064593993.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKTAIAAQNVQVSSGQLGGLPAVQGQQLNATIIGKTRLQTAEQFKEILLKVNKDGSQVRLKDVADVGLGGENYAISAQFNGSPASGLAVKLANGANALDTAKALRKTIDDLKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFGGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPHGEHGTPKKGFFGWFNRGFDRSVKSYERGVGNILRHKAPYLLAYLIIFVGMIWLFLRIPSSFLPEEDQGVLFAQVQTPAGSSAQRTQVVVDEMREFLLRPTKDGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLKPWHERNADNSVFKLAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEQLMAARNQFLGMAAQSKVLSQVRPNGLNDEPQFQLEIDDEKASALGITISDINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPDSRMSPEDLKKWYVRNASGTMVPFSAFAKGEWIYGSPKLSRYNGVEAVEILGAPAPGYSTGEAMAEVEAIAAKLPKGVGISWTGLSYEERLAGSQAPALFALSLLMVFLCLAALYESWSIPIAVVLVVPLGIIGALLATSLRGLSNDVYFLVGLLTTIGLAAKNAILIVEFAKELHEQGSSLRDAAIEACRMRLRPIIMTSLAFILGVVPLAISTGAGSGSQHAIGTVVIGGMITATVLAIFWVPLFFVTVSSMGQRKNAGKDDAIETPKEAGQ ->ARGMiner~~~mtrR~~~AMP19673.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~AMP19673.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQTAGVTHGALYWHFKNKEDLFDALFQRICDDIENCMSKDTENNAEGQSWVVFRRTLLHFFERLQSNDIHYKFHSILFLKCEHTEQNAAVIAIARKHQAIWREKITAFLTEAVENQDLSGDLDKEMAVIFIKSMLDGLIWRWLSSGENFDLGKTAPRIIEIMMDNLENHPQLRRK ->ARGMiner~~~macA~~~AAN79487.1:AE016758_91~~~macrolide unknown +>ARGMiner~~~macA~~~AAN79487.1:AE016758_91~~~macrolide~~~unknown MAYGVFRIFMKKRKTVKKRYVIAMVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDNAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKINDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~sdiA~~~WP_001661470.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001661470.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYPNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~mepA~~~WP_031867798.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031867798.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVALPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGTALGTAISNVAAALFFIVYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMSNKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGVVIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~FosB3~~~WP_047449523.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_047449523.1~~~fosfomycin~~~unknown MLKSINHICFSVSNLNNSIHFYKDILCGELLLSGNKTAYFNIAGLWIALNEEKDIPRNEIQLSYTHIAFTIDENEFNYWYQRLKNNKVNILEGRVRDVRDKQSIYFTDPDGHKLELHTGTLENRLNYYKSTKPHMTFYQ ->ARGMiner~~~lsaB~~~WP_022586684.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_022586684.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFHLLLGNYEYSGKIISSVEFNYFPYPVSDKNKYTHEIFEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNQRLQKDIGRLKQSSKRTAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEPLEFQSNELIVLADVSVKYNDQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGNSIQHTGSINLGSGLIISYVQQGTSHLKGLLSDFIEEHEIDEPLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQRFNPTMVIVEHDQAFQQTVATKTISM ->ARGMiner~~~vanG~~~gi:405945042:pdb:4FU0:A~~~glycopeptide unknown +>ARGMiner~~~vanG~~~gi:405945042:pdb:4FU0:A~~~glycopeptide~~~unknown MQNKKIAVIFGGNSTEYEVSLQSASAVFENINTNKFDIIPIGITRSGEWYHYTGEKEKILNNTWFEDSKNLCPVVVSQNRSVKGFLEIASDKYRIIKVDLVFPVLHGKNGEDGTLQGIFELAGIPVVGCDTLSSALCMDKDRAHKLVSLAGISVPKSVTFKRFNEEAAMKEIEANLTYPLFIKPVRAGSSFGITKVIEKQELDAAIELAFEHDTEVIVEETINGFEVGCAVLGIDELIVGRVDEIELSSGFFDYTEKYTLKSSKIYMPARIDAEAEKRIQEAAVTIYKALGCSGFSRVDMFYTPSGEIVFNEVNTIPGFTSHSRYPNMMKGIGLSFSQMLDKLIGLYVELEHHHHHH ->ARGMiner~~~Erm(41)~~~ACO89583.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~ACO89583.1~~~macrolide;lincosamide;streptogramin~~~unknown MSGQRSRRQWGWYPLTDDWAARIVAESGVRSGEFVVDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRVAEADLLAFRWPRRPFRVVASPPYHVTSALIRSLLTPESRLLAADLVLQRGVVHKHAKRAPVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~y56 beta-lactamase~~~WP_050145866.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050145866.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITLPLVNFSLPAWAAAPSDLLGNQLAELERKSNGRLGVTMINTANGRKIQYRGTQRFPFCSTFKFMLAAAVLGKSQTQPDLLNKHIKYRESDLLSYAPITRKNLAHGMTVSQLCAATMQYSDNTAANLLIKELGGVESVNAFARSIGDQAFRLDRLEPDLNSALPNDPRDTTTPAAMAASMNKLVLGDALPATQREQLTLWLKGNTTGAETIQAGAPADWIVGDKTGSGDYGTTNDIAVLWPTQGAPIVMVVYFTQREKDAKPRRDVLAAATKIVLSSLSPAKS ->ARGMiner~~~otr(B)~~~WP_015252872.1~~~tetracycline unknown +>ARGMiner~~~otr(B)~~~WP_015252872.1~~~tetracycline~~~unknown MDTTTAKQASTKFVVLGLLLGILMSAMDNTIVATAMGNIVADLGSFDKFAWVTASYMVAVMAGMPIYGKLSDMYGRKRFFLFGLIFFLIGSALCGIAQTMNQLIIFRAIQGIGGGALLPIAFTIIFDLFPPEKRGKMSGMFGAVFGLSSVLGPLLGAIITDSISWHWVFYINVPIGALSLFFIIRYYKESLEHRKQKIDWGGAITLVVSIVCLMFALELGGKTYDWNSIQIIGLFIVFAVFFIAFFIVERKAEEPIISFWMFKNRLFATAQILAFLYGGTFIILAVFIPIFVQAVYGSSATSAGFILTPMMIGSVIGSMIGGIFQTKASFRNLMLISVIAFFIGMLLLSNMTPDTARVWLTVFMMISGFGVGFNFSLLPAASMNDLEPRFRGTANSTNSFLRSFGMTLGVTIFGTVQTNVFTNKLTDAFSGMKGSAGSGAAQNIGDPQEIFQAGTRSQIPDAILNRIIDAMSSSITYVFLLALIPIVLAAVTILFMGKARVKTTAEMKKKAN ->ARGMiner~~~FOX-2~~~WP_059168423.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-2~~~WP_059168423.1~~~cephalosporin;cephamycin~~~unknown MELIYADARIDCASHGVGVTYIHHETHQMEKATTRSLLVLSTLLLSPLSHAKEAEALTAVVDGAIQPLLKEHRVPGMAVAVLKEGKAHYFNYGVADRESGARVSEQTLFEIGSVSKTLTATLGAYAAVKGGFQLEDKASQHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSTDKMRAYYRQWTPAYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPVRVNPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAVVKSAIALTHTGFYSVGEMTQGLGWESYAYPVTEQTLLAGNSSAVIYNANPVKSVAKSQEMGGARLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPNEARVKAAHAILSQLAE ->ARGMiner~~~MexT~~~WP_004703082.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~WP_004703082.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNLERVDLNLLIYLDVLLREKNVTRAAEQLGVTQPAMSNILRRLRNLFNDPLLIRSSEGMTPTERALELQPRIRDALSDLSMILEPRTEFRPYTSNRVFRIMTSDYAEATLVPRLVKALRSEAPNVVLDFLTPSDVSYRDMEQGKVDLAINRFNEIPQSFHQVLVWRDSFSCILNDKHPAVTHLNLKSYLDAQHIWVSKTGMGVGFGVNPDKQGGLGWIDQALERIGQRRKISVFTRHYQMPALLAQNVDLIATLPTRMARLQTQNPKLVIKDPPFYIPEFELKMAWCPLLHHHPAHRWLRQLILFVARQMIEEENREFLTNNSQFSHY ->ARGMiner~~~macA~~~WP_025745144.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_025745144.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAELITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSLQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~VIM-7~~~gi:659835204:pdb:4D1V:A~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-7~~~gi:659835204:pdb:4D1V:A~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFQIRSFLVGISAFVMAVLGSAAYSAQPGGEYPTVDDIPVGEVRLYKIGDGVWSHIATQKLGDTVYSSNGLIVRDADELLLIDTAWGAKNTVALLAEIEKQIGLPVTRSISTHFHDDRVGGVDVLRAAGVATYTSPLTRQLAEAAGNEVPAHSLKALSSSGDVVRFGPVEVFYPGAAHSGDNLVVYVPAVRVLYGGCAVHEASRESAGNVADANLAEWPATIKRIQQRYPEAEVVIPGHGLPGGLELLQHTTNVVKTHKVRPVAE ->ARGMiner~~~macB~~~WP_000188152.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188152.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLEWKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtC~~~WP_001543945.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_001543945.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAISNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVAVPVSLIGTFASMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGVVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~tolC~~~EXB82204.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EXB82204.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLKLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLTEYIGPYQDRLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGGEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~FosB3~~~WP_031416589.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_031416589.1~~~fosfomycin~~~unknown MTVQSINHLLFSVSDLEKSIAFYENVFGAKLLVKGNSTAYFDVNGLWLALNVEKDIPRNDIQYSYTHIAFTISEDEFDKMYDKLVQLKVLILDGRQRDERDKKSIYFTDPDGHKFEFHTGTLQDRLDYYKKEKLHMEFFNH ->ARGMiner~~~novA~~~WP_018522839.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_018522839.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPDDTAQPPAELRRILSLFRPYRGRLAVVGLLVGASSLVSVASPFLLREILDTALPQGRTGLLTLLALGMILTAVMNSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRGFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGMALQSGGPAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEKPVHLDTIRGEVRFENVDFSYDEKSGPTLSGVDVTVPAGAGLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATDEEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEFAVQEAIDSLSAGRTTITIAHRLSTVRDADQIVVLDGGRTAERGTHEELLQQDGRYAALVRRDTELTPVGG ->ARGMiner~~~MexD~~~WP_016264177.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_016264177.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLASQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAALEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~emrA~~~WP_064001115.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_064001115.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFERAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVKANAG ->ARGMiner~~~acrB~~~WP_053008066.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_053008066.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTSLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLKRYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~adeG~~~WP_032012412.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032012412.1~~~fluoroquinolone;tetracycline~~~unknown MSFSSKQFALSAIFVALLATGGSFMFLHENADAKAAPTSAQQAATVDISNVISKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAQAQLASAEAQVTYTGSNLSRIQRLIQSNAVSRQELDLAQNDARSASATLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFSREGTINSIDNNLDATSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRSAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNAQITANATTPQPQPTDKTSTPAKG ->ARGMiner~~~macA~~~WP_064192057.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_064192057.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQHPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAKIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~norA~~~WP_002490717.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_002490717.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRILGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPHKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAVVLVMLVLANGYWTIMMISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~arnA~~~WP_000860281.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860281.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPTIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtM~~~WP_001680159.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001680159.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVFRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPGFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~cmeB~~~WP_038401021.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_038401021.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDIADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVIKTFIEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLNKAVPSSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQAAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~macA~~~WP_002233608.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002233608.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~sul1~~~WP_001706143.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_001706143.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGPLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~MexB~~~WP_038581593.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_038581593.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSEDGSMSKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKNAVAAQNVQVSSGQIGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNADGSQVRLGDVADVALGGENYSVSAQFNGAPASGLAVKLANGANALDTAKALRKTISDLEPFFPQGMKVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITVVSAMALSVLVALIFTPALCATMLKAIPHGEHAAPKRGFFGWFNRTFDRSVKSYERGVGNILRHKAPYLLAYVLIVVGMIWLFARIPTAFLPEEDQGVLFAQVQTPAGSSSERTQVVVDEMRAYLLDKEKDTVASVFTVNGFNFAGRGQSSGMAFIMLKPWDERSVENSVFNLAARAQQHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKILSQVRPNGLNDEPQYQLEIDDEKASALGVTISDINNTLSIALGSSYVNDFIDRGRVKKVYIQGQPNARMSPEDLKKWYVRNSAGTMVPFASFAKGTWIYGSPKLARYNGVEAVEVLGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLVTIGLAAKNAILIVEFAKELHEQGRSLVDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATVLAIFWVPLFFVTVSSMSRRKAIEAQTTETPEEAGQ ->ARGMiner~~~mdtP~~~WP_032218920.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032218920.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSSSHTLAEAKQREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mdtN~~~WP_054495375.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_054495375.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWIRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~sul2~~~WP_052970363.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_052970363.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQSDRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~emrA~~~WP_001327585.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001327585.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAHEISLAPVNKLIDDIVKANAG ->ARGMiner~~~bcrA~~~WP_016095885.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_016095885.1~~~peptide~~~unknown MPPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLLRNPKDILRRMGSIVEVPGFYENLTARENLLINAKIIGVHKRNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITILISSHILAEVEQLVDRMGIIHEGRLLEEVSLDTLRKANRKYIEFQVNNDNKAAMLLENHFQIFDYEVHDEGNIRVYSHFGQQGHINRTLVRNDIEVLKIVMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~TEM-1~~~ANG31159.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG31159.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSVQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKVLESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~sdiA~~~WP_001537184.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001537184.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALKRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~adeG~~~WP_038728217.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_038728217.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGVLVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRAAQGNARA ->ARGMiner~~~emrA~~~WP_001374608.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001374608.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTFLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~tolC~~~WP_049292215.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049292215.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRRSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDSDGVNSSVTSGSLQLTQTIFDMSRWRALTLQEKTAGVQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVSGNYYPQLASLNVDTFKTNKPDNVNALLKEAENRNLTLLQARLSQDLAREQIRYAETGHLPTLGLTASSSVSDTSYSGSATRGNAISSSSYADRNIGQNSVGLSFNLPIYSGGSVTSQVKQAQFNFVSASEQLESAHRSVIQTVRSSWNNINASISSIKAYEQAVVSAQSSLDASEAGYAVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKAALGTLNEQDLVALNTTLGKPIPTSPESVAPENPQQDAAVDSFTANVNAAANGEGNGAAMSTAQPAASVQPAAASRTSGANPFRQ ->ARGMiner~~~acrB~~~WP_032729455.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_032729455.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLSILKLPVAQYPTIAPPAISITAMYPGADAETVQNTVTQVIEQNMNGIDHLMYMSSNGDSTGTATITLTFESGTDPDIAQVQVQNKLALATPLLPQEVQQQGISVEKASSSFLMVVGVINTNGTMNQDDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISALKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTNTEEFGNILLKVNQDGSQVRLRDVAKIELGGESYDVVAKFNGQPASGLGIKLATGANALDTANAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGSHGATTGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLVLYLIIVVGMAWLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTDYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSQRPGEENKVEAITGRAMGYFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGMVAQHPDVLTGVRPNGLEDTPQFKVDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYIMSEAKYRMLPEDIGKWYVRGSDGQMVPFSAFSTSRWEYGSPRLERYNGLPSLEILGQAAPGKSTGEAMSLMEELAGKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATILAIFFVPVFFVVVRRRFSKKSEDIEHSHQVEHH ->ARGMiner~~~lsaB~~~WP_004229933.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_004229933.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFENVNFQIDTDWKLGFIGRNGRGKTTFFQLLLGNYEYSGKIISSVDFTYFPYPVSDSNKYTHEIFEEICPQAEDWECLREIAYLHVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKTVSDYLRRKKGFILISHDRSFLDGCVDHILSINRANIDVQSGNYSSWKLNFDRQQEHEEVTNQRLQKDIDRLKQSSKRSSGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQRAIEEKSKLLKNVEKTESLKVEPLAYPSKEMVAVTDVSIQYDDHIVNKPISFKVAQGERVVLDGKNGSGKSSMLKLILGNPIQHTGSIKLASGLVISYVQQDTSHLKGLLSEFIEEHGIDETLFKSILRKMDFERIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIKSSHLTMVIVEHDQAFQQTIATKTVAMS ->ARGMiner~~~BcI~~~WP_002172018.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002172018.1~~~cephalosporin;penam~~~unknown MEQTCYLECLLYVRIKTGVQMGFSVLNLIFIFSIKNLKGMIFLKNKRMLKIGICAGILGLGLSSLEIFTGGSLQVEAKEKTGQVKHKNHATYQEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSIDKLNEVITYTKDDLVDYSPVTEKHVDTGMTLGEIAEAAVRSSDNTAGNILFHKIGGPKGYEKALRQMGDRVTMADRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRAGVPTGWEVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEAAEVIVNALR ->ARGMiner~~~mdtO~~~WP_021581233.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021581233.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIASLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEAVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRIQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLVSEINKLQHSITEGQCWQSDWRISESEAMTARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVVLERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGVATALSHSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~lsaB~~~WP_053483923.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_053483923.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFENVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKINSSVEFNYFPYPVSDKNKYTHEIMEEICPQAEDWEILREISYLDVDAEVMYRPFNTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTEARKMVSDYLRKKKGYILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRTSGWSSQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLESLAFHSNELIVLADVSVQYDDQIVNKPISFNVEQGDRIVLDGKNGSGKSSILKLIQGDSIQHTGSMNVGSGLIISYVQQDTSHLKGPLSDFIEEHGIDETLFKSILRKMDFDRIQFEKDISQYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQKFNPTMVFVEHDQAFQQTVATKTISM ->ARGMiner~~~sdiA~~~WP_064150762.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_064150762.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMATGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWNDSLFRDAPALWDGARDHGLQKGMTQCLTLPNHAQGFLSVSANNRLPGGYPEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~macB~~~WP_049044610.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049044610.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGNDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLVSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_023337008.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023337008.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVILVGGFWLWQVLNAPVPQYRTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDNRYKVKVLRNGETRDREVVIGARNDTDVVVMKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061741368.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061741368.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFHRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~acrB~~~WP_007373585.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_007373585.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVIAIIIMLAGALSILKLPVAQYPTIAPPAIQITATYPGADAKTVQDTVTQVIEQNMNGIDNLLYMSSASDSSGTVQITITFDSGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVLGMISTDGSMTQEDIADYVGATIKDPVSRTSGVGDVQLFGAQYAMRIWMDPSKLNNFQLTPVDVIAAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNADGSQVRLRDVAKIELGGESYDVIARYNGQPAAGLGIKLATGANALDTAEAVRATIAKLEPFFPHGLKVVYPYDTTPFVKISINEVVKTLVEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEEGLPPKEATRRSMGQIQGALVGIALVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGNILRSTGRYLLLYIVIVAGMAVLFVRLPSSFLPDEDQGVFLTMAQLPAGATQERTQKVLDEVTKYYLENEKDNVNSVFTVNGFGFSGRGQNTGLAFVSLKNWDERPGEANKVPAIAGRASAHFASIKDAMVFAFNLPAIVELGTATGFDFQLIDQANLGHEKLTQARNQLFGEIAQHPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSQAKYRMLPSDIGNWYVRATDGQMVPFSAFSSTHWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEQLASKLPTGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATILAIFFVPVFFVVVRRRFSRHGEDIEHSHKVEEH ->ARGMiner~~~sdiA~~~WP_023286646.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023286646.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMATGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWNDSLFRDAPALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPGGYPEDELELRLRTLIELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~macB~~~WP_001459624.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001459624.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVATSANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB~~~WP_060752104.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_060752104.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENAIMFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQKDFERLLHRLEENNVHILQGRERNVRDCGSIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~Bacillus subtilis mprF~~~WP_042741303.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_042741303.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSLFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~macB~~~WP_048332551.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048332551.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGIYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrK~~~WP_021577764.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_021577764.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLAIVLFIAFSGAYAYWSMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQAYKANKALVMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTRNEDIAEMPDLASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~tolC~~~WP_063268995.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063268995.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINDARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~msbA~~~WP_063649736.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_063649736.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVELTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKKNILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~lsaB~~~WP_064200323.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_064200323.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFEDVSFQIDTDWKLGFIGRNGRGKTTFFNLLLGKYEYSGKIISSIEFNHFPYPVSDKSRFTHEILEEICPQAEDWEFLRELSYLDVDAEVMYRPFETLSNGEQTKVLLAAMFLNEGQFLLIDEPTNHLDTHARKMVSNYLKRKKGFILISHDRNFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLQQSSKRSANWSDQVEASKNGTTNSGSKLDKGYVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLLLEPLEYKSKELLQLTDVSVMYDGELVNTPISFHVEPGDRVVLDGKNGSGKSSILKLILRKPLQHTGALSVGSSLVISYVQQDTSHLKGKLSDFIEENQIDEQLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDVYSRMQIEELIQSFNPTMVFVEHDQAFQEKVATKTVAL ->ARGMiner~~~hmrM~~~WP_001706332.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001706332.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAEAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~macB~~~WP_001678420.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001678420.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDYFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWFPARNAARLDPVDALARE ->ARGMiner~~~gadX~~~WP_001191066.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~gadX~~~WP_001191066.1~~~macrolide;fluoroquinolone;penam~~~unknown MQSLHGNCLIAYARHKYILTMVNGEYRYFNGGDLVFADASQIRVDKCVENFVLVSRDTLSLFLPMLKEEALNLHAHKKISSLLVHHCSRDIPVFQEVAQLSQNKNLRYAEMLRKRALIFALLSVFLEDEHFIPLLLNVLQPNMRTRVCTVINNNIAHEWTLARIASELLMSPSLLKKKLREEETSYSQLLTECRMQHALQLIVIHGFSIKRVAVSCGYHSVSYFIYVFRNYYGMTPTEYQERSAQGLPNRDSAASIVAQGNFYGTDRSAEGIRL ->ARGMiner~~~macA~~~WP_004130894.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004130894.1~~~macrolide~~~unknown MKLNGKRRKVWWLLAIVVLALAVWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALKKVDVGAQVSGQLKTLRVEIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERKLSAATLARQQQLAQRQLVSRQDLDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLSEVKNVITIPLSALGEAIGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVKGLEEGDEVIIGEGAAGAAK ->ARGMiner~~~mecA~~~WP_033859381.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_033859381.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNARISGKVYDELYENGNKKYDIDE ->ARGMiner~~~Escherichia coli ampC~~~WP_064455171.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_064455171.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQRIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKIIQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~hmrM~~~WP_004175850.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_004175850.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGFVSVLLMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARTMRDIRCAERFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMRKQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~mdtO~~~WP_021530214.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021530214.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTMQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATMLEIASLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRTITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLATEINKLQHAIAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPATKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGADWEGIHTCMLTCVIVANPNVGSSYQKMALRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTIIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~CTX-M-29~~~AIC64357.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-29~~~AIC64357.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~BcI~~~WP_002161759.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002161759.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGVQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGICVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSIEKLNEVITYTKDDLVEYSPVTEKHVDTGMALGEIAEAAVRSSDNTAGNILFHKIGGPKGYEKALRQMGDRVTMADRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGGYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKALR ->ARGMiner~~~macA~~~WP_032677787.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032677787.1~~~macrolide~~~unknown MNLKGKRRTWFLLLAVIVIGGGFWLWQILNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAEAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGALKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESTGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAK ->ARGMiner~~~BcI~~~WP_046647052.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_046647052.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGISLTSLEVFKGETLQVEAKEKTGKVKHKNQATHKEFSQLEKKFDAQLGVYAIDTGTNETIAYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVDYSPITEKHIDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKDFTVGNALPDDKRKVLTDWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEAIYDNQLIKEAAEVVIDAIK ->ARGMiner~~~mepA~~~HE999704.1.gene1125.p01~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~HE999704.1.gene1125.p01~~~glycylcycline;tetracycline~~~unknown MKHSDNYYLTKASIPKAIAHLSIPMMLGMSVGVIYNIVNAFFIGLLHDTSMLTAVTLGLPMFTILMAIGNMFGVGGGTYISRLLGKEEGTKAKQVSAFVLYGSLVAF ->ARGMiner~~~mdtH~~~WP_064162252.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064162252.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDLMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~adeG~~~WP_017386532.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_017386532.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVALLATGGSFMFLHENADAKAAPTSAQQAATVDISNVISKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAQAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAKVPVYMGLANETGFTREGTINSIDNNLDATSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPPQPQPPDKTSTPAKG ->ARGMiner~~~mdtH~~~WP_032327470.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032327470.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMVGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~macB~~~WP_033557217.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_033557217.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDQQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tetX~~~AMP52595.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP52595.1~~~glycylcycline;tetracycline~~~unknown MTMRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLIPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~MexT~~~WP_058214460.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~WP_058214460.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNRNDLRRVDLNLLIVFETLMHERSVTRAAEKLFLGQPAISAALSRLRTLFDDPLFVRTGRSMEPTARAQEIFAHLSPALDSISTAMSRASEFDPATSTAVFRIGLSDDVEFGLLPPLLRRLRAEAPGIVLLVVRRANYLLMPNLLASGEISVGVSYTDELPANAKRKTVRRSKPKILRADSAPGQLTLDDYCARPHALVSFAGDLSGFVDEELEKFGRKRKVVLAVPQFNGLGTLLAGTDIIATVPDYAAQALIAAGGLRAEDPPFETRAFELSMAWRGAQDNDPAERWLRSRISMFIGDPDSL ->ARGMiner~~~mdtC~~~WP_032281183.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_032281183.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAISNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVSVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGVVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVMYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~CTX-M-3~~~AIC64412.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64412.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESGPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~hmrM~~~WP_001382958.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001382958.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAEAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~SRT-2~~~WP_025159717.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_025159717.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAHAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFSDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTDNTQLMAYYRAWQPKHPVGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYIQVPAAQMANYAQGYNKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWREALAGTHVGYYKAGAFTQDLMWENYPYPVKLPRLIEGNNAGMIMNGTPATVLTPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYRIIQALDKR ->ARGMiner~~~tolC~~~WP_061359934.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_061359934.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVAYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mdtE~~~WP_023140654.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_023140654.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGTLVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~mdtN~~~WP_000446394.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_000446394.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKIPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIHAGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mexH~~~WP_034072444.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_034072444.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGSPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAISAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~macB~~~WP_023284905.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023284905.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTLNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_045348970.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045348970.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLEERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_032172401.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032172401.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGGIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~lsaB~~~WP_065386235.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_065386235.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIHVQNLTFSYPSSFDNIFEDVSFQIDTDWKLGFIGRNGRGKTTFFNLLLGKYEYNGKIISSVEFNYFPFPVSDKSRFTYEIVEEISPQAEDWEILREISYLDVDAEVMYRPFATLSNGEQTKVLLAALFLNEGKFLLIDEPTNHLDIHARKMVSNYLKRKKGFILISHDRNFLDGCVDHILSINRTNIDVQSGNYSSWKLNFDRQQEHEEKTNERLQKDIGRLQQSSKRSANWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQNKAIEAKSTLLKNVEKTELLQLEPLAHKSKELIQLSDVSVMYDGELVHTPISFKVEAGDRVVLDGRNGSGKSSILQLILGKPLQHTGTLNVSSGLVISYVQQDTSHLKGKLSDFIEENQIDEPIFKSILRKMDFDRIQFEKDIAHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDVYSRMQVEELIKSFNPTMVFVEHDQTFQEEVATKTVTL ->ARGMiner~~~mdtH~~~WP_050178994.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_050178994.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGGAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTARTPVREGMRRVMSNKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASPADARARGSYMGFSRLGLAIGGAISYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~Escherichia coli ampC~~~WP_062876269.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_062876269.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLTPLDINEKTLQQGIQLAQSRYWKTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAACQILNALQ ->ARGMiner~~~ErmX~~~WP_033502017.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmX~~~WP_033502017.1~~~macrolide;lincosamide;streptogramin~~~unknown MSAYGHGRHENGQNFLTNHKIINSIIDLVKQTSGPIIEIGPGSGALTHPMAHLGRAITAVEVDAKLAAKITQETSSAAVEVVHDDFLNFRLPATPCVIVGNIPFHLTTAILRKLLHAPAWTDAVLLMQWEVARRRAGVGASTMMTAQWSPWFTFHLGSRVPRTAFRPQPNVDGGILVIRRVGDPKIPIEQRKAFQAMVHTVFTARGRGIGEILRRAGLFSSRSETQSWLRSRGIDPATLPPRLHTNDWIDLFQVTGSSLPHHRPISPSGSSQRPPQRKNRSRRR ->ARGMiner~~~MexF~~~WP_028373991.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_028373991.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALLLIFLGCIAIGILPVSQYPAIVPPTIQVTTNYPGADAKTLIKTVALPIEQQVNGVENMLYMQSTSNDNGNYNLIVTFAIGTDLNFAQVLVQNRVQAAMAQLPQEVQQQGVLVQQKSTAILQFITLTAKHGEYDGLFLSNYAAINMQDELSRLPGVGNVLIFGSGTYAMRVWLDPQKMFSFGLVPNDVLNAISNQNKEVSAGQIAAPPAVGQQPYQFTVNVPGQLADPKEFANIIIKTQPTQASQETDSGSNTTTQSTRTSAQIVRIGDVGRVELGSSSYAQLAKLNGQPTAAIGIFQLPGANALQVAKEVRETVDKMAKKFPPGLQYSIPFDTTTFVKASVNEVYKTLYEAGILVLIVILVFLQNFRATLVPATTVPVTIIGAFFAMLMLGYSINLLTLFALVLAIGIVVDDAIVIVEGVSQHIERGVPPKEAAIEAMRELFGPILGITLVLMAVFVPAGFMPGLTGAMYAQFALVIAATAFISAINAMTLKPTQCALWLKSIDTNKPKNAFYRAFDQLYNPLEKAYIRFIDRLVHRSGRTCLIGILLVAVAIFGLTRVPTGFIPIEDQGYLILNVLLPDGASLDRTDTVLNELSKKASKIGGVENVISIDGISLLDNNSSLANAGVIYVMFKDWSVRGKGEDLLSLYNKLNDIAMNTLDAKVLVVVPPPIQGLGLSGGFQMQVELQDGTFDYRKLQDVTDQMVNHASQQPELQKAMTSFRASVPQVAAPINRTKAESLGVTVGDAFDTLQTYLGSSYVNLFTKFGQVFPVYVQADKSSRMTIEDVRNYYVKNQSGEMVPLGTLTDINPTVGPSIISLYNLYPSSNITGIAATGYSSGQAIQTLEQLAHYLLPAGLSYEWTSTAYQEKLAGSMSYYIFLMSLVLVYLILAGQYENWLTPAAIILSVPLALLGTVLALSSIGIANNMYTQIGLLLLIALAAKNAILIVEVAREQRQIHNKSVLEAAVLGAKTRFRPIVMTSFAFIMGVLPLVFATGAGANARRSIGIAVCSGMLASTCLAMLFVPSFYVVIQGWQERRRAKKLQSAVKPMSS ->ARGMiner~~~tolC~~~WP_000735281.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735281.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGATGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~FosB~~~WP_025149946.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_025149946.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEKSIMFYEKVLEGELLVKGRKLAYFNICGVWVALNEEVDIPRNEIHQSYTHISFTVEQEDFNCLLKRLEENEVHILKGRERDVRDYESIYFLDPDGHKFEFHSGTLQDRLNYYREAKPHMMFY ->ARGMiner~~~arnA~~~WP_001689836.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001689836.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHNPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~norA~~~WP_031765718.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031765718.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGISLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~macA~~~WP_047065547.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047065547.1~~~macrolide~~~unknown MTLNGKRRKVWWLLALVVAIAAIWGWRILNAPLPQYQTLVARKSDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAENQIKEVEATLMELRAQLKQAQAERKLAQVTLVRQQQLAQRQLVSRQDLDTAATDVAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLAEVKNVITIPLSALGDAIGDNRYNVRLLRNGEVKEREIVIGARNDTDVAVAKGLEEGDEVIISESTPGAAK ->ARGMiner~~~FosB~~~WP_063095758.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_063095758.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDLKDFDAIHHRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~arnA~~~WP_000860300.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860300.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLIHDKILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPNDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQCENEATCFGRRTPDDSFLEWHKPAFMLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~emrA~~~WP_021573226.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_021573226.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRISQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~MexF~~~WP_021264856.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_021264856.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYAEIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~hmrM~~~WP_001409905.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001409905.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGSPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~mexH~~~WP_048909895.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_048909895.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKAVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~OprM~~~CRR52030.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~OprM~~~CRR52030.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSAAVPAADIGWREFFRDPQLQQLIGVALENNRDLRVAALNVEAFRAQYRIQRADLFPRIGVDGSGTRQRLPGDLSTTGSPAISSQYGVTLGTTAWELDLFGRLRSLRDQALEQYLATEQAQRSAQTTLVASVATAYLTLKADQAQLQLTKDTLGTYQKSFDLTQRSYDVGVASALDLRQAQTAVEGARATLAQYTRLVAQDQNALVLLLGSGIPANLPQGLGLDQTLLTEVPAGLPSDLLQRRPDILEAEHQLMAANASIGAARAAFFPSISLTANAGTMSRQLSGLFDAGSGSWLFQPSINLPIFTAGSLRASLDYAKIQKDINVAQYEKAIQTAFQEVADGLAARGTFTEQLQAQRDLVKASDEYYQLADKRYRTGVDNYLTLLDAQRSLFTAQQQLITDRLNQLTSEVNLYKALGGGWNQQTVTQQQTAKKEDPQA ->ARGMiner~~~MexB~~~WP_033040535.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_033040535.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSRFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGTMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRIWLDPAKLNNFNLTPIDVKTAIAAQNVQVSSGQLGGLPALPGTQLNATIIGKTRLQTAEQFEKILLKVNKDGSQVRLRDVASVGLGGENYSINAQFNGAPASGLAVKLATGANALDTAKALRTTIDNLKPFFPEGMEVVFPYDTTPVVTESIKGVVHTLVEAVGLVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHHTPKRGFFGWFNRNFDRGVKSYERGVGSMLTHKAPYLLAYIIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTSQRTQVVVDEMREFLLRPGKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLRPWEDRNADNSVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDKLMEARNQFLGMAAQSKILTQVRPNGLNDEPQYQLEIDDEKASALGITIADINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMSPEDLQKWYVRNSVGTMVPFSAFAKGEWIYGSPKLARYNGVEAVEVLGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLREAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSALGQRKNVDQQDAIETSKEAG ->ARGMiner~~~macA~~~WP_004201357.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004201357.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAVWGWRILNAPLPHYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~FosB~~~WP_000943776.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000943776.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLGGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRINYYREDKPHMTFY ->ARGMiner~~~FosA2~~~WP_032661394.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_032661394.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLPLHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVTEEDFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~fexA~~~AKA86811.1~~~phenicol unknown +>ARGMiner~~~fexA~~~AKA86811.1~~~phenicol~~~unknown MKKDSKSKEMIQSEKRGSTRLLMMVLSLSVLVGSITADSVNPVLPLISKALEASKSQVSWIVSGIALVLAIGVPIYGRISDFFELRKLYIFAIMILASGSLLCAIAPNLPLLVLGRMVQGAGMSAIPVLSVIAISKVFPQGKRGGALGIIAGSIGVGTAAGPIFGGVVGQYLGWNALFWFTFLLAIMIVIGAYYALPTIKPAESVGSNKNFDFIGGLFLGLTVGLLLFGITQGETSGFSSFSSLTSLIGSVVALVGFIWRIVTAENPFVPPVLFNNKDYVNTVIIAFFSMFAYFAVLVFVPLLVIEVNGLSSGQAGMILLPGGVAVAILSPFVGRLSDRFGDKRLIITGMTLMGLSTLFLSTYASGASPLLVSVGVLGVGIAFAFTNSPANNAAVSALDADKVGVGMGIFQGALYLGAGTGAGMIGALLSARRDATEPINPLYILDAMSYSDAFLAATGAILIALIAGLGLKKRG ->ARGMiner~~~tolC~~~WP_000735327.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735327.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKDNDPQLLSVAAQRDAAFEAVTSSRSTLLPQINLTAGYNVNRSDQDPRESDLFSAGINFSQELYQRSSWVTLDTAEKKARQADSEYAATQQGLILRVSKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENNLTNSYETLREITGQEYSKLAVLDTKRFTASRTTESTDALIEQAQQKNLSLLSARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDSAKGTSGEEYNDFKIGVNLSVPLYSGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDIMDVNAGLKVAKK ->ARGMiner~~~sdiA~~~WP_012131930.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_012131930.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRSMLVRFQEMATAEDVYTELQHQTQQLEYDYYALCVRHPVPFTRPKISVHTTYPQAWVAHYQSENYFSIDPVLKPENFRQGHLAWNDALFSEAQSLWDAARAHGLRRGATQCLMLPNRALGFLSVSRASARHAPFAHDEIELRLQLLVRESLSALTRLEDEMVMTPEMRFSKREKEILKWTAEGKTSSEIAMILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~macB~~~WP_061274204.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061274204.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGEEQVEVLKGISLTIEAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVAGLDNDALATLRREHFGFIFQRYHLLSHLNASQNVEVPAVYAGTTRSQRQQRAHELLGRLGLKERVEYLPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMATLKQLRERGHTVIIVTHDPAVAAQAQRIIEIRDGEIISNPPPVHQPAARRVENQALRSGSSIQQVISSFREALGMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDNPQFQQALKYDDLLAIGQQPWVSSATPSISSNLRLRYGNTDAAASVNGVSGDYFNVYGMTMSQGASFNDEQMKGRAQVVVLDANSKRQLFPNKSDVVGEVVLVGNMPATVIGVAQEKQSMFGSSKVLRVWLPYNTMAGRVMGQSWLNSITVRVKEGYDSHEAEQQLNRLLSLRHGKKDFFTYNMDGLLKTAEKTTRTLQMFLTLVAVISLLVGGIGVMNIMLVSVTERTKEIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLVIAFTLQLVLPGWQIGFSPVALLTAFGCSTATGVLFGWLPARNAARLNPIDALARE ->ARGMiner~~~MIR-17~~~WP_063436574.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-17~~~WP_063436574.1~~~monobactam;cephalosporin~~~unknown MMTKSLSCALLLSVTSAAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWVIANMKPDSLQAPSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~OprJ~~~WP_033956811.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprJ~~~WP_033956811.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MRKPAFGVSALLIALTLGACSMAPTYERPAAPVADSWSGAAAQRQGAAIDTLDWKSFIVDAELRRLVDVALDNNRSLRQTLLDIEAARAQYRIQRADRVPGLNAAATGNRQRQPADLSAGNRSEVASSYQVGLALPEYELDLFGRVKSLTDAALQQYLASEEAARAARIALVAEVSQAYLSYDGALRRLALTRQTLVSREYSFALIDQRRAAGAATALDYQEALGLVEQARAEQERNLRQKQQAFNALVLLLGSDDAAQAIPRSPGQRPKLLQDIAPGTPSELIERRPDILAAEHRLRARNADIGAARAAFFPRISLTGSFGTSSAEMSGLFDGGSRSWSFLPTLTLPIFDGGRNRANLSLAEARKDSAVAAYDGTIQTAFREVADALAASDTLRREEKALRALANSSNEALKLAKARYESGVDNHLRYLDAQRSSFLNEIAFIDGSTQRQIALVDLFRALGGGWDEGRSLVVHRGGRS ->ARGMiner~~~mdtE~~~WP_001081972.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001081972.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYHIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~mdtH~~~WP_045895137.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045895137.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAAMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~tolC~~~CCN31581.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CCN31581.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTFSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGISNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~mdtH~~~WP_045393652.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045393652.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGLVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTAAMLPIGLTSTLQQLFTLICIFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKVLSQPELPWLMLGIIGFATFIALWWQFSQKRSASGMLEPRI ->ARGMiner~~~ykkD~~~gi:291483835:dbj:BAI84910.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkD~~~gi:291483835:dbj:BAI84910.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MLHWISLLCAGCLEMAGVALMNQYAKEKSVKWVLLIIVGFAASFSLLSYAMETIPMGTAYAVWTGIGTAGGALVGILFYKEQKDAKRIFFIALILCSAVGLKILS ->ARGMiner~~~Escherichia coli ampC~~~WP_024225500.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_024225500.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSADEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~emrA~~~WP_064668899.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_064668899.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKGDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLAQQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~macB~~~WP_062938108.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_062938108.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDVLAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPSRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mtrR~~~WP_014582210.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~WP_014582210.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQAAGVTRGALYWHFKNKEDLFDALFQRICDDIENCIAQDAANAEGGSWTVFRHTLLHFFERLQSNDIHYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITAVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWFSSCERFDLGKTAPRIIGIMMDNLENHPDLRRK ->ARGMiner~~~FosB3~~~WP_026866214.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_026866214.1~~~fosfomycin~~~unknown MIQSINHVTYSVSDINQSIIFYKDILKAKILVESDKTAYFILGGLWLALNEEKDIPRNEIQYSYTHMAFTIEESEFDEWHQWLKDNNVNILEGRKRNTRDKKSIYFTDPDGHKLELHTGTLQDRLDYYKEEKPHMNFYE ->ARGMiner~~~TEM-1~~~ANG33275.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG33275.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALMPFFAAFCLPVFAHPETLVRVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macA~~~WP_004849420.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004849420.1~~~macrolide~~~unknown MKLNGKRRKVWWLLAIVVLALAVWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALKKVDVGAQVSGQLKTLRVEIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERKLSAATLARQQQLAQRQLVSRQDLDTAATDLAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLSEVKNVITIPLSALGEAIGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVKGLDEGDEVIIGEGAAGAAK ->ARGMiner~~~mexH~~~WP_043089054.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_043089054.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGVFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~sdiA~~~WP_008500344.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_008500344.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDLDFFTWRRDCSLRFQELTCAAEVYQELERQTQALEFDYYALCVRHPVPFTRPKISLQTTYPKLWMAQYQSANYFAIDPVLKPENFIQGHLPWTDALFAEAQELWHSAQDHGLRSGITQCLMLPNHALGFLSVSRTRVQEGPLAHEEIELRLQMLVQMALTSLMRFDDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~bcrA~~~WP_000074578.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074578.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGENLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVHKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEIRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGKLLEEVSLDTLRKANRKYIEFQVNNDDKAVMLLENHLQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNDIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~emrB~~~WP_052896219.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_052896219.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTAITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~tolC~~~WP_032345136.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032345136.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPFVQQTSARTTTSNGHNPFRN ->ARGMiner~~~emrA~~~WP_021519467.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_021519467.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNHRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~macB~~~WP_023194682.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023194682.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQTRARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sdiA~~~WP_001392287.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001392287.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRSSAREIPILSDELQLKMQLLVRESLMALVRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~sdiA~~~WP_001161858.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001161858.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQGNDFFTWRRAMLLRFQEIATAEEVYTELQYQTQRLEFDYYSLCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYIAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSVSRGSLRCSSFTYDEVELRLQLLARESLSALTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~MexB~~~WP_064119751.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_064119751.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNKYNLTPADVSSAITAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNQDGSQVHIGDVADVGLGGENSTISAQFNGKPSSGLAVKLANGANALDTAKALRKTIDELKPFFPEGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVMVALIFTPALCATMLKAIPKGEHGTPKKGFFGWFNRTFDSGVRKYERGVGNMLSRKAPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVIDEMRSYLLEKESGAVASVFTVNGFNFAGRGQSSGLAFIMLKPWDERDASNSVFALAQRAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDKLMEARNQFLGMAAQSKVLYQVRPNGLNDEPQYHLEIDDAKARALGVSISDINSTLSISFGSSYVNDFIDRGRVKKVYVQGQAGARMSPEDLKKWYVRNSAGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGSPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALLATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSMGQRKIADEDETTETPKEAGQ ->ARGMiner~~~TEM-207~~~ANG28254.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG28254.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVLAHPETLVRVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~WP_058799464.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_058799464.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~emrB~~~WP_003825966.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_003825966.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRFGEVRLFMWSTIAFVIASWACGMSTSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGAVVVLMTLQTLRGRETKTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIIILTVVAVVAISFLIVWELTDEHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPYNPNAQAMYDKLQDLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mfpA~~~WP_031686107.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_031686107.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERATFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~mdtP~~~Q8X5R9~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~Q8X5R9~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVTAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGSGYQAAPVVEKK ->ARGMiner~~~mecA~~~WP_063852655.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_063852655.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLEKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~mdtE~~~WP_001432980.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001432980.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRGIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~FosB~~~WP_014479937.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_014479937.1~~~fosfomycin~~~unknown MEIKGINHLLFSVSHLDTSIDFYQKVFDAKLLVKGRTTAYFDMNGIWLALNEEPDIPRNDIKLSYTHIAFTIEDHEFEEVSAKLKRLHVNILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMHFL ->ARGMiner~~~mdtM~~~WP_001649706.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001649706.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MAGLKATHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIAPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVSFSAKSVLRDFRNVFCNRQFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSTSLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~macB~~~WP_012068502.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_012068502.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGSDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~arnA~~~WP_000648760.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000648760.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGIAVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~emrA~~~WP_050188517.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_050188517.1~~~fluoroquinolone~~~unknown MSANAEIQPPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFERAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHACDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~novA~~~WP_030727956.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030727956.1~~~aminocoumarin~~~unknown MKPDTPPWTPPPDARSVTDRQPGEVRRILRLFHPYRARLALVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQQMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEGVDFSYDEKNGPTLTGIDLTVPAGSGLAVVGSTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATAEEIETAARAAQIHDHIASLPDGYDTLVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQAAIDALSAGRTTLTIAHRLSTVRDADQIVVLEGGRIAERGTHEDLLARDGRYAALIRRDSHPVPAPAP ->ARGMiner~~~norA~~~WP_001041332.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041332.1~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGVLAFIMSIVLIHDPKKVSTNGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~emrB~~~WP_050979645.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_050979645.1~~~fluoroquinolone~~~unknown MTIALSLATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLARRIGEVRLFLWSTGLFALTSWLCGISGSLEMLILFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSMTIIIAPICGPILGGYISDNYHWGWIFFINVPFSIAIIFAIMQTLKGRETKISIQPIDTIGLVLLVIGIGALQIMLDQGKELDWFNSTEIIVLTVIAVVAISFLIVWELTDDHPVIDLSLFKERNFTIGCLSLSLAYMLYFGTIVLLPQLLQEVYGYTATWAGLASAPVGLLPLLITPIIGRFGNRIDMRYIVTFSFIVYAVCYYWRAYTFEPDMGFAAAAWPQFVQGLAIACFFMPLTTITLSGLPPEKMASASSLSNFTRTLAGAIGTSITTTMWTQREAMHHENLTEFINPYNPNSQHMYSELAQIGMNEQQSAAYLAKTITDQGLILSANEIFWLSAGIFILLMVIVWFAKPPFGAGSKGGGAH ->ARGMiner~~~cphA2~~~WP_017787543.1~~~carbapenem unknown +>ARGMiner~~~cphA2~~~WP_017787543.1~~~carbapenem~~~unknown MKGWIKCGLAGALVLMASFWGGSVRAAGISLTQVSGPVYVVEDNYYVKENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRQPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHEGDFTLQEGKLRAFFAGPAHTPDGIFVYFPDQQVLYGNCILKEKLGNLSFANVKAYPQTIERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAVPQS ->ARGMiner~~~tolC~~~WP_050955955.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_050955955.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYSDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVRANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~acrB~~~WP_012904869.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_012904869.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTIAATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDTISRTSGVGDVQLFGSQYAMRIWMDPTALNNYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTASAIRAELVKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAFLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTDYFLTKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWGERPGDENKVEAITQRASAAFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQAGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSQAKYRMLPEDIGNWYVRGSNGQMVPFSAFSTSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDVEHSHAVEHR ->ARGMiner~~~norA~~~WP_000576487.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_000576487.1~~~fluoroquinolone;acridinedye~~~unknown MIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~TEM-1~~~ANG24073.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG24073.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLITGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~FOX-2~~~WP_042468774.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-2~~~WP_042468774.1~~~cephalosporin;cephamycin~~~unknown MELIYADARIDCASHGVGVTYIHHETHQMEKATTRSLLVLSTLLLSPLSHAKEAEPLTALVDGAIQPLLKEHRVPGMAVAVLKEGKAHYFNYGVADRESGARVSEQTLFEIGSVSKTLTATLGAYAAVKGGFQLEDKASQHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSTDKMRAYYRQWTPAYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPVRVNPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAVVKSAIALTHTGFYYVGEMTQGLGWESYAYPVTEQTLLAGNSSAVIYNANPVKSVATSQEMGGARLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPNEARVKAAHAILSQLAE ->ARGMiner~~~tolC~~~ZP_04536687~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~ZP_04536687~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MIALNTASPQGMQMKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~adeG~~~NC_010400.5984910.p01~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~NC_010400.5984910.p01~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPQPQPTDKTSTPAKG ->ARGMiner~~~mdtP~~~WP_005766114.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_005766114.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~mdtH~~~WP_063146117.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063146117.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHDPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~sdiA~~~KDS05771.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~KDS05771.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPK ->ARGMiner~~~tet(A)~~~AHN25441.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tet(A)~~~AHN25441.1~~~glycylcycline;tetracycline~~~unknown MPVLPTLLREFIASEDIANHFGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFVGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLTNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~rosB~~~WP_061324791.1~~~peptide unknown +>ARGMiner~~~rosB~~~WP_061324791.1~~~peptide~~~unknown MHHSTPLITTIVGGLVLAFLLGMLANRLRISPLVGYLAAGVLAGPFTPGFVADISLAPELAEIGVILLMFGVGLHFSLKDLLAVKSIAIPGAVAQIAVATLLGIGLSRLLDWDLVSGLVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFGNMMGNNGASTAQLLSELTLTIGKVIAFIALMIIVGRRLVPWILAKTASTGSRELFTLAVLALALGIAYGAVKLFDVSFALGAFFAGMVLNESELSHRAAHDTLPLRDAFAVLFFVSVGMLFDPLILINEPLAVLATLAIIVFGKSVAAFLLVRMFGHSKRTALTISASLAQIGEFAFILAGLGIALGMMSEHGRNLVLAGAILSIMLNPLLFTLLERYLSKTETIEEQTLEEAVEEEKQIPVDMCNHALVVGYGRVGSLLGSKLREAGIPMVVIENSRPRVEALREQGIKTVLGNAANGEIMELARLDCARWLLLTIPNGYEAGEIVASARSKRPTIEIIARAHYDDEVTYITERGADQVVMGEREIANSMLNILQLDRISEEEKAGACPI ->ARGMiner~~~norA~~~WP_019468245.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_019468245.1~~~fluoroquinolone;acridinedye~~~unknown MNKQFYILYFNIFLVFLGIGLVVPVLPVYLKDLGLKGSDLGILVAVFALAQMIISPFGGTLADKLGKKLIICIGLALFAISEFLFAASHTFSLLIISRILGGFSAGMVMPGVTGMIADISIGKDKAKNFGYMSAIINSGFILGPGLGGLLAEVSHRLPFYVAGTSGIVALILSITLIKNPKNETQDGFTQYQPELLAKINWKVFLTPVILTLVLAFGLSAFETLFPLYTADKAHYSPIDISFAITGGGIFGAIFQVFFFDKFMKYFEELTFITYALLYSAIILLALTFMHSYWSIMIISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFIGPLVAGTLYDINFEFPLYMAILVMFIGIIVIFFEKAIRNRLKKS ->ARGMiner~~~sdiA~~~WP_053884505.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_053884505.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMTLMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~tolC~~~WP_033929873.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_033929873.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSDQDLRESDLLSAGINFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYADNRNSNASSPSDYNDFKIGVNLKVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQALGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~tolC~~~WP_064373401.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064373401.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKQAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTNKPSAVNALLKEAESRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSGVSNNRYSGSKSISQDADVGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~tolC~~~WP_044864438.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_044864438.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQISARTTTSNGHNPFRN ->ARGMiner~~~CTX-M-3~~~AIC64327.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64327.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHIGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~FosX~~~WP_061106234.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_061106234.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLQTIFNAEEIYSSGDQTFSLSKEKFFLIAGLWICIMEGDSLQERTYNHIAFQIQSEEVDEYIERIKALGVEMKPERPRVEGEGRSIYFYDFDNHLFELHSGTLEERLKRYHE ->ARGMiner~~~macB~~~WP_024230052.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024230052.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTASGWRQFASGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLMRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARQDPVDALARE ->ARGMiner~~~Sed1 beta-lactamase~~~WP_054176278.1~~~cephalosporin;penam unknown +>ARGMiner~~~Sed1 beta-lactamase~~~WP_054176278.1~~~cephalosporin;penam~~~unknown MFKKRGHQTVLIAAVLAFFTASSPLLARTQGDPAQVQEKLAALEKQSGGRLGVALINTADRSQILYRGDERFAMCSTSKTMVAAAVLKQSETQHDILQQKMVIKKADLTNWNPVTEKYVDKEMTLAELSAATLQYSDNTAMNKLLEHLGGTSNVTAFARSIGDTTFRLDRKEPELNTAIPGDERDTTSPLAMAMAKSLHKLTLGDALAGAQRAQLVEWLKGNTTGGQSIRAGLPEGWVVGDKTGGGDYGTTNDIAVIWPEDRAPLILVTYFTQPQQDAKGRKDILAAAAKIVTEGL ->ARGMiner~~~macA~~~WP_063617836.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063617836.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKLAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISESLPGAAK ->ARGMiner~~~MexF~~~WP_034068088.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_034068088.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASITPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~Escherichia coli ampC~~~WP_033813722.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_033813722.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDDVKSSSDLLRFYQNWQLAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLTHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKAITPPTPAVCASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~SHV-1~~~EWD52509.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-1~~~EWD52509.1~~~carbapenem;cephalosporin;penam~~~unknown MMKEKRGIVNQQNAGLFLFVASLLAFIGPHSRMYVVMRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEYWQR ->ARGMiner~~~lsaB~~~WP_015845803.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_015845803.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIHVQNLTFSYPSSFDPIFENVSFQIDTDWKLGFIGRNGRGKTTFFNLLLGKYEYNGNIISSAEFNYFPYPVPDKSRLTYEILEEICPHAEDWEFLREISYLDVDAEVMYRPFETLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTHARKMVSKYLKRKKGFILISHDRNFLDGCVDHILSINRANIEVQSGDYTSWKLNFDRRQEHEEKTNERLQKDIGRLQQSSKRSANWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQNKAIEEKSTLLKNVEKTESLHLEPLEYISKELIQLTDVSVRYDGELVNKPITFKVEAGDRVVLDGKNGSGKSSILKLILGKPLRHTGTLNVGSGLVISYVQQDTSHLKGKLSDFIEENQINEPIFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHVYIWDEPLNFIDVYSRMQVEELIKSFNPTMIFVEHDQTFQEEVATKTVAL ->ARGMiner~~~lsaA~~~WP_010710665.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010710665.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDIEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~mdtP~~~WP_000610553.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610553.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLNGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAISAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~macA~~~WP_002115147.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002115147.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSSTNSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEAAKKSQGNGARLQRLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~MexF~~~WP_054097640.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_054097640.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARTQRLQNLPAEMH ->ARGMiner~~~msbA~~~WP_000597237.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597237.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPLILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~Salmonella enterica cmlA~~~M22614.1.gene1.p1~~~phenicol unknown +>ARGMiner~~~Salmonella enterica cmlA~~~M22614.1.gene1.p1~~~phenicol~~~unknown MSFTAYSDPCWPWSRGRPIARSARRHVAWVAGYLCVSRFGHDRCICRRGSFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRPRCVSAWLQPAVRHSAIAMVFTARFMGSVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWALHRVRLYCSNVASGIGVATAVSVPMALFEDSTMLLERSRQSTSAWACTARKHRNVDHFAVAAQRLGPCRVTVWTLATVVLGLSCVSRVKGSRGQGEHDAGRATNVGKYIKSQSLRECGKLSPNKCCSRPKTYAFRLG ->ARGMiner~~~norA~~~WP_001041329.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041329.1~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAIGQNFLILMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIALIHDPKKVSTNGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~macA~~~EFV06686.1~~~macrolide unknown +>ARGMiner~~~macA~~~EFV06686.1~~~macrolide~~~unknown MGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIANKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNEDEELIVSSSADGLAPKMKLRF ->ARGMiner~~~vanA~~~WP_040203371.1~~~glycopeptide unknown +>ARGMiner~~~vanA~~~WP_040203371.1~~~glycopeptide~~~unknown MDRVKVAILFGGCSEEHDVSVKSAIEIAANIDKEKYEPFYIGISKSGVWKICEKPCAEWENGNCCSAVLSPDKKMHGLLVKKNHEYEIHHVDVVFSALHGKSGEDGAIQGLFELSGIPYVGCDIQSSAICMDKSLTYIVAKNAGIATPEFWVINKDDRPVADTFTYPVFVKPARSGSSFGVKKVNGADELDTAIELARQYDSKILIEQAILGCEVGCAVLGNSSAFVVGEVDQIRLQHGIFRIHQEAEPEKGSENASITIPADLSVEERGRIQETAKRIYKALGCRGLSRVDMFLQDNGRIVLNEVNTLPGFTSYSRYPRMMAAAGITLPVLIDRLIVLALKG ->ARGMiner~~~mdtH~~~WP_014831429.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_014831429.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNALFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPGAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALSQPELPWMMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~FosB~~~WP_041482148.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_041482148.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHHRLKNLNVNILNGRPRDKQDHKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~acrB~~~WP_000492803.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_000492803.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MFSRFFVRRPVFAWVIAILIMLAGILAIRTLPVAQYPDVAPPTIKISATYTGASAETLENSVTQVIEQQLTGLDNLLYFSSTSSSDGSVSINVTFEQGTDPDTAQVQVQNKIQQAESHLPSEVQQTGVTVEKSQSNFLLIAAVYDTTDKASSSDIADWLVSNVQDPLARVEGVGSLQVFGAEYAMRIWLDPAKLASYSLMPSDVQSAIEAQNVQVTAGKIGALPSPDTQQLTATVRAQSRLQTVDQFKNIIVKSQSDGAVVRIKDVARVEMGSEDYTAIGKLNGHPSAGVAVMLSPGANALNTATLVKDKIAEFQRNMPQGYDIAYPKDSTEFIKISVEDVIQTLFEAIVLVVCVMYLFLQNLRATLIPALAVPVVLLGTFGVLALFGYSINTLTLFAMVLAIGLLVDDAIVVVENVERIMRDEGLPAREATEKSMGEISGALVAIALVLSAVFLPMAFFGGSTGVIYRQFSITIISAMLLSVVVALTLTPALCGSVLQHVPPHKKGFFGAFNRFYRRTEDKYQRGVIYVLRRAARTMGLYVVLGGGMALMMWKLPGSFLPTEDQGEIMVQYTLPAGATAARTAEVNRQIVDWFLINEKANTDVIFTVDGFSFSGSGQNTGMAFVSLKNWSQRKGAENTAQAIALRATKELGTIRDATVFAMTPPAVDGLGQSNGFTFELLANGGTDRETLLQMRNQLIEKANQSPELHSVRANDLPQMPQLQVDIDSNKAVSLGLSLNDVTDTLSSAWGGTYVNDFIDRGRVKKVYIQGDSEFRSAPSDLGKWFVRGSDNAMTPFSAFATTRWLYGPERLVRYNGSAAYEIQGENATGFSSGDAMTKMEELANSLPAGTTWAWSGLSLQEKLASGQALSLYAVSILVVFLCLAALYESWSVPFSVILVIPLGLLGAALAAWMRDLNNDVYFQVALLTTIGLSSKNAILIVEFAEAAVAEGYSLSRAALRAAQTRLRPIIMTSLAFIAGVMPLAIATGAGANSRIAIGTGIIGGTLTATLLAIFFVPLFFVLVKRLFAGKPRRQE ->ARGMiner~~~ANT(4')-Ib~~~WP_049949954.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(4')-Ib~~~WP_049949954.1~~~aminoglycoside~~~unknown MLKLYYKFNFATEPVYGSLGRQTDGPYSDIEMMCVMSTEEAEFSHEWTTGEWKVEVNFDSEEILLDYASQVESDWPLTHGQFFSILPIYDSGGYLEKVYQTAKSVEAQTFHDAICALIVEELFEYAGKWRNIRVQGPTTFLPSLTVQVAMAGAMLIGLHHRICYTTSASVLTEAVKQSDLPSGYDHLCQFVMSGQLSDSEKLLESLENFWNGIQEWTERHGYIVDVSKRIPF ->ARGMiner~~~tet(C)~~~WP_058905222.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_058905222.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTNLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~bcrA~~~WP_000074565.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074565.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGKQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLGLIKPTSGTIEIFGEDLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVYKKNAIEDTLEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGRLLEEVSLDKLRKANRKYIEFQVNNENKAAMLLENQFQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNDIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~vanRB~~~AKJ75214.1~~~glycopeptide unknown +>ARGMiner~~~vanRB~~~AKJ75214.1~~~glycopeptide~~~unknown MSIRILLVEDDDHICNTVRSFLAEAGYQVDACTDGNEAYTKFYENTYQLVILDIMLPGMNGHELLREFRAKNDTPILMMTALSDDENQIRAFDAEADDYVTKPFKMQILLKRVEALLRRSGALAKEIRVGRLTLLPEDFTVLCDGTELPLTRKEFEILLLLVQNKGRTLTHEIILSRIWGYDFEGDGSTVHTHIKNLRAKLPENIIKTIRGVGYRLEES ->ARGMiner~~~mdtH~~~WP_023210235.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023210235.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLHQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALTQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~mdtG~~~ESC15052.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~ESC15052.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNDYLFMEQLTTAQTGNRIIFALSYLQYYRISYSFPKYLIKSKGDTDDHVCHAGRSYRCSPGRISG ->ARGMiner~~~adeG~~~WP_031995016.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_031995016.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTSVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~FosX~~~WP_003767275.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_003767275.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLEEIFDAEEIYSSGDDTFSLSKEKFFLIAGLWICIMEGESLQERTYNHIAFQIQAEEMDEYIERIKSLGMEIKPERARVKGEGRSVYFYDYDNHLFELHAGTLEERLKRYHK ->ARGMiner~~~vanHB~~~WP_060811962.1~~~glycopeptide unknown +>ARGMiner~~~vanHB~~~WP_060811962.1~~~glycopeptide~~~unknown MRKSMGITVFGCEQDEANAFRTLSPDFHIIPTLISDAISADNAKLAAGNQCISVSHKSEVSEATILALRKVGVKYISTRSIGCNHIDTTAAERMGISVGTVAYSPDSVADYALMLMLMAIRGAKSTIHAVAQQNFRLDCVRGKELRDMTVGVIGTGHIGQAVVKRLRGFGCRVLAYDNSRKIEADYVQLDELLKNSDIVTLHVPLCADTHHLIGQRQIGEMKQGAFLINTGRGALVDTGSLVEALGSGKLGGAALDVLEGEDQFVYTDCSQKVLDHPFLSQLLRMPNVIITPHTAYYTERVLRDTTEKTIRNCLNFERSLQHE ->ARGMiner~~~macA~~~WP_001124206.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124206.1~~~macrolide~~~unknown MPKIKPIKLIIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKVTRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~mexY~~~WP_061361502.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061361502.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMALAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~mtrE~~~WP_002218872.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~WP_002218872.1~~~macrolide;penam~~~unknown MDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGSVDTHSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYEAAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~mdtP~~~WP_024231894.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_024231894.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQFNDPQLDALIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTDIPATLSYELLARRPDLQAIRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~OprM~~~CRW86548.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~OprM~~~CRW86548.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQQLIGVALENNRDLRVAALNVEAFRAQYRIQRADLFPRIGVDGSGTRQRLPGDLSTTGSPAISSQYGVTLGTTAWELDLFGHLRSLRDQALEQYLATEQAQRSAQTTLVASVATAYLTLKADQAQLQLTKDTLGTYQKSFDLTQRSYDVGVASALDLRQAQTAVEGARATLAQYTRLVAQDQNALVLLLGSGIPANLPQGLGLDQTLLTEVPAGLPSDLLQRRPDILEAEHQLMAANASIGAARAAFFPSISLTANAGTMSRQLSGLFDAGSGSWLFQPSINLPIFTAGSLRASLDYAKIQKDINVAQYEKAIQTAFQEVADGLAARGTFTEQLQAQRDLVKASDEYYQLADKRYRTGVDNYLTLLDAQRSLFTAQQQLITDRLNQLTSEVNLYKALGGGWNQQTVTQQQTAKKEDPQA ->ARGMiner~~~tolC~~~WP_046888658.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_046888658.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDSNQGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQALNSALGKPVSTSPDSVAPENPEQVAAVDNFNADSSAPAAQPAAARTTTSTSKGNNPFRN ->ARGMiner~~~arnA~~~WP_032345243.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032345243.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAAQIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~APH(6)-Id~~~WP_017397613.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_017397613.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHTAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~BcI~~~WP_002094022.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002094022.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKATATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEASYDNQLIAEATEVIVKALK ->ARGMiner~~~mgrA~~~WP_017722569.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_017722569.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEPLNLKEQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWGESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEAIRPELDTACQDVAVASSLDEDESKELNRLLAKVIDAFTEEKAK ->ARGMiner~~~sdiA~~~WP_023568416.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023568416.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWLRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPESWVSYYQAKNFLAIDPVLNPENFTQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~FosA2~~~WP_014830489.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_014830489.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVSEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~tet(42)~~~KJL29811.1~~~tetracycline unknown +>ARGMiner~~~tet(42)~~~KJL29811.1~~~tetracycline~~~unknown MPPAYREADTKLALHQNWYGYTFGMTSLTSSIRNANRAWIMLVVLTMLTVIGMTVVLPVLPFVVLQYVSEEKDLALWVGVLEAVNGLCAFLIAPFLGRLSDRFGRRPVIIAAAFGAAFAMALFGIGCALWVLVLARVIQGLTAGDLPALFAYLADITPPEKRAQRFGLLGALSGIGMMIGPAIGGLLASVSLQLPVFLTAAVGLTIAILSIFLLPESLKPENRITSISVRDVQPFAVFKNAFGRKELRGLMIGFGLLALPFGFFVNNFSVLALDSIQWGPTQIGLMTAAVGIIDILIQGVLLGILLPRIGERGVIVSGIVAQMVGLIGLAVVASIFAQPWLFIVGALMLAAGQGASQAAMDGAMSNAVGDDEQGWLGGATQSLNAAMGTIAPLIAGALYVAVSHSAPYWLGAALMVVAVIVVARAHIVNTAKVGSAKVTATDAPLELLDARD ->ARGMiner~~~macA~~~WP_004698731.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004698731.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAVIAALAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDHVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKVTRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMRVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSETTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLDSAKNALLVPSSALSNKQFSGQKKSGQATDKAASTPSAERKQTGNGARLERLNLTAEQKQLVEQGKATLSVVRILQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSDTSAASANSGNNRRRGPMGM ->ARGMiner~~~mecA~~~WP_031774065.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031774065.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKEKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~macB~~~WP_045895455.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045895455.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPSSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWAQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrA~~~CRL64640.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~CRL64640.1~~~fluoroquinolone~~~unknown MSVNEENTPPQAPIRNKKRTRRNVLLLLTILFIFAGVAYAAYWFVVLRHHETTDNAYVTGNQIMIMPQISGSVTTVYVDNTDFVKAGDPLVLLDSSDEKLALEKAKTALANSVRQMHQQIINGRQLKANIVLRETELTKLQNDLRRREVLGERNVIGKEELQHAREAVSTARAALEVAKEQYNANQAIILNTPITQQPSVLQASTDVRNAWLALERTKILSPTDGYVSRRSVQVGAQVAPGKPLMAIVPITGMWIDANFKETQLANMRIGQPAKITTDFYGKKVIYHGTVLGLDMGTGSAFSLLPAQNASGNWIKVVQRLPVRISLDEKELAEKPLRIGLSSEVTVDTINLDGKVLSHSERQVPAYHTDALTIDMSAINELINEIIEQNAGQ ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071133.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071133.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIIAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSEIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~arnA~~~WP_038346815.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_038346815.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLIDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~vanA~~~WP_033582037.1~~~glycopeptide unknown +>ARGMiner~~~vanA~~~WP_033582037.1~~~glycopeptide~~~unknown MKVAILFGGCSEEHDVSVKSAIEIAANINKEKYEPLYIGITKSGVWKMCEKPCAEWENDNCYSAVLSPDKKMHGLLVKKNHEYEINHVDVAFSALHGKSGEDGSIQGLFELSGIPFVGCDIQSSAICMDKSLTYIVAKNAGIATPAFWVINKDDRPVAATFTYPVFVKPARSGSSFGVKKVNSADELDYAIESARQYDSKILIEQAVSGCEVGCAVLGNSAALVVGEVDQIRLQYGIFRIHQEVEPEKGSENAVITVPADLSAEERGRIQETAKKIYKALGCRGLARVDMFLQDNGRIVLNEVNTLPGFTSYSRYPRMMAAAGIALPELIDRLIVLALKG ->ARGMiner~~~macA~~~WP_015965124.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_015965124.1~~~macrolide~~~unknown MRIKGKIKKRYVLLAVVVIVGLIAVWRTLNAPLPQYQTLIVRPGELEQSVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIKEVEATLMELRAQRAQAEAERKLAQVTLARQQQLAKTQAISRQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVINLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYHARFEVPNPQGVLRLEMTAQVHIQLTGVKNVLTIPLSALGDSVGDNRYTVRLLRNGEVKPREVSIGSRNDTEVEIAKGLEAGDEVIIGEGKAGAAK ->ARGMiner~~~emrB~~~WP_001817020.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001817020.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVMLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~CTX-M-30~~~AIC64427.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64427.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASATKIVTDGL ->ARGMiner~~~FosA2~~~WP_045307851.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_045307851.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPLSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~mdtG~~~WP_021576107.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_021576107.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFYIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~tolC~~~WP_063867721.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063867721.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDNNIGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPEQDAAVDNFNANGSMPAAQPAAARSTAPASSGSNPFRN ->ARGMiner~~~emrA~~~CP004022.1.gene547.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~CP004022.1.gene547.p01~~~fluoroquinolone~~~unknown MSVNEEKNPPQAPIRNKKRTRRNVLLLLTFIFILAGLAYTAYWFMVLRHHETTDNAYVTGNQIMVMPQISGSVTTVYVDNTDYVKAGEPLVQLDDSDEILALDKAKTALANSVRQMHQQIINGRQLKANIVLRETELAKLQNDLRRREVLGERNVIGKEELQHAREAVATAKAALDVAKEQYNANQAIILTTPIAQQPSVLQAATEVRNAWLALQRTKILSPAEGYVSRRSVQVGAQVAPGKPLMAVVPVTGMWIDANFKETQLANMRIGQPAKITTDFYGKKVIYHGRVQGLDMGTGSAFSLLPAQNASGNWIKVVQRLPVRISLDEKEVAEKPLRIGLSTEVTVDTVNLDGKVLSQSERQAPAYHTDALTIDMSEINSLINEIIEQNAG ->ARGMiner~~~nalD~~~WP_034002332.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_034002332.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~tolC~~~WP_017441054.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_017441054.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEGLRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~emrA~~~KLW00339.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~KLW00339.1~~~fluoroquinolone~~~unknown MAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQQGDPLVTLDQTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWVDANFKETQLAHMRIGQPATIISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIEIDAKQLAQHPLRIGLSTLVEVDTSNREGEMLASQVRSSPVYESNAREIGLEPVNKLIDGIIQANAG ->ARGMiner~~~mdtP~~~WP_021516673.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_021516673.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQFNDPQLDALIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPIVEKK ->ARGMiner~~~mdtN~~~WP_038397166.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_038397166.1~~~nucleoside;acridinedye~~~unknown MESLPKKIPHNKVPALVLVLLALAVMVFVIWRVDSAPSTSDAYASADTIDVVPEVSGRIVELAVVDNQQVKKGDLLFRIDPRPYEASLAKAEASLAALDKQIMLTQRSVDAQKYAASSVEATVAKARAAAKQASDTLRRTEPLLSEGFVSAEDVNRARTAQRAAEADLNAVLLQAQQAASAVSGVDALVAQRVAVQADIALTKLHLDMATVRAPFDGRVVSLKTSIGQFASAMKPIFTLIDTDHWYIIANFRETELKAIQAGTPAAIRLMSDSSKTFQGKVDSIGFGVLPDDGGMVIGGLPRVSRSINWVRVAQRFPVKIMVEKPDASLFRIGASAVATLEPQ ->ARGMiner~~~msbA~~~WP_031922890.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031922890.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGMHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_061771940.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061771940.1~~~macrolide~~~unknown MTALLELTDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLARAQALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRHVRAAAPKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQIFLPGWEIGFSPVAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~WP_048792533.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_048792533.1~~~fluoroquinolone;acridinedye~~~unknown MNKQFYVLYFNIFLVFLGIGLIVPVLPVYLKDLGLKGSDLGILVAVFALAQMFISPFGGTLADKLGKKLIICIGLLLFAISEFLFAISHSFTLLIVSRILGGFSAGMVMPGVTGLIADISPAGDKAKNFGYMSAIINSGFILGPGLGGFLAEFSHRLPFFVAGFSGILALILSIILIHNPKKATTAGFTNYQPEILSKINWKVFLTPIILTLVLAFGLSSFETLFPLYTADKAHYSPLDISIAITGGGIAGAVFQVFFFDKFMKYFSELNFITYALIYSAIVLVGLTITQSYWGIMIVSFIVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLVAGSLFDVNFEFPLYMSVVVMILGIIVIFIEKALRMKRKNLSK ->ARGMiner~~~bacA~~~YP_001395695~~~peptide unknown +>ARGMiner~~~bacA~~~YP_001395695~~~peptide~~~unknown MDIVFILKAIIIGIVEGITEFIPVSSTGHMILVGWAIGFNGEFAKMFEVVIQLGAIMAVVVLYWGKIKDSIIEFFTFIGTKGEKGKTGFRFGINIITASIPMGIVGLIFYSEIKSKFTPEAVIAGFIAGGLLLLAVEKIHANRKFRVKSIDSITSIQAFKVGLLQILAVWPGMSRSASTIMGGWIAGLSTPIAAEFSFFIAIPAMIGTTGKDLFEFNYSIMSTTLWISLILGFVVSFIVALIVMKKFIDYLKRKPMKIFAIYRIIAGLLLGILVFTGVIKLTV ->ARGMiner~~~sul1~~~WP_010635895.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_010635895.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIDNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~emrB~~~WP_023248992.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_023248992.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVVVVLMTLQTLRGRETRTERRRIDAIGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~emrB~~~WP_012907239.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_012907239.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFMWSTVAFAIASWACGVSSSLNMLIFFRVVQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLQTLRGRETRTERRRIDAIGLALLVVGIGSLQIMLDRGKELDWFASQEIIILTVVAVIAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVTPYNPNAQAIYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGVFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~gadX~~~WP_001191061.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~gadX~~~WP_001191061.1~~~macrolide;fluoroquinolone;penam~~~unknown MQSLHGNCLIAYARHKYILTMVNGEYRYFNGGDLVFADASQIRVDKCVENFVLVSRDTLSLFLPMLKEEALNIHAHKKVSSLLVHHCSRDIPVFQEVAQLSQNKNLRYAEMLRKRALIFALLSVFLEDEHFIPLLLNVLQPNMRTRVCTVINNNIAHEWTLARIASELLMSPSLLKKKLREEETSYSQLLTECRMKRALQLIVIHGFSIKRVAVSCGYHSVSYFIYVFRNYYGMTPTEYQERSAQGLPNRDSAASIVAQGNFYGADCSAEGIRL ->ARGMiner~~~LRA-3~~~AGU01671.1~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-3~~~AGU01671.1~~~cephalosporin;penam~~~unknown MRSKLLLTVALVLAAASAPAQAAELQYKPPPITNKEWETPFPGFKIVGNLYYVGTYDLGCYLIDTGAGLILINSGADGSYPLIKANIEKLGFKTSEIKIITATHGHGDHVGDLAAFQKDAPAAKTYMNERDAPTLESGGNIDYRRPEGRGFYPYHPVKVDVRTKPGDHIKLGNTDLTLHQAFGHTPGATSFTFTTQDAGRNYNVLIVNMNGINQGVKLLGSPGYPTIVEDFASTLKEQATYTPDIWVSSHAGQFNLHQVYKPGDPYNPARFGDLAAYKLKIANLTKAYEKQLAEERAAKAAVR ->ARGMiner~~~novA~~~WP_014157644.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_014157644.1~~~aminocoumarin~~~unknown MKPDEPAWTPPAADAGQPPAELRRIFRLFRPYRGRLTVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFSEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLTLQSGATAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFARIFEYLDLEVDITEPAKPVRLEKVRGEIAFEDVTFHYDEKSGPTLSGVEVTVPAGSSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATDEEIEAATRTAQIHDHIAGLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSKGRTTLTIAHRLSTVRDADQIIVLDDGAVAERGTHEELLEQDGRYAALIRRDSHLAPAAT ->ARGMiner~~~mdtP~~~WP_020244669.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_020244669.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYTVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~MexT~~~WP_003154928.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~WP_003154928.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNRNDLRRVDLNLLIVFETLMHERSVTRAAEKLFLGQPAISAALSRLRTLFDDPLFVRTGRSMEPTARAQEIFAHLSPALDSISTAMSRASEFDPATSTAVFRIGLSDDVEFGLLPPLLRRLRAEAPGIVLVVRRANYLLMPNLLASGEISVGVSYTDELPANAKRKTVRRSKPKILRADSAPGQLTLDDYCARPHALVSFAGDLSGFVDEELEKFGRKRKVVLAVPQFNGLGTLLAGTDIIATVPDYAAQALIATGGLRAEDPPFETRAFELSMAWRGAQDNDPAERWLRSRISMFIGDPDSL ->ARGMiner~~~sul2~~~WP_047670793.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_047670793.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPISLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~mepA~~~WP_031870147.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031870147.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSNVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~pmrA~~~WP_034688396.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~WP_034688396.1~~~fluoroquinolone~~~unknown MKVQWRKNLFVAWIGCFFTGSSISLVMPFIPVYVEQLGTPKDQIELFSGLAISVTAFAAAIVAPIWGNLADRKGRKMMMIRAAAGMTITMGSLAFVPNAYWLLVMRFFNGILSGYIPNATAMIASQAPKEKSGWALGTLSTGAVAGTLIGPSMGGALAQWFGMENVFLITGGLLLITTILTIFMVKEDFQPVEKKDMISTKEVFAKMDHFFVLVGLFVTTLILQLGITTISPILTLYIRELSGDTSNILFVSGLIVSVSGVSAVFSSPRLGKLGDKIGNQKVLLAGLVLSFCCYLPMAFVTTPLQLGILRFILGFSTGALMPSINTLISKITPEEGVSRVYSYNQMFTNFGQVLGPMLGSTVAHAYNYSTVFIVTSLFVLTNILLSLFNFRKVLHQKL ->ARGMiner~~~FosB~~~WP_065180656.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_065180656.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHQRLKNLNVTILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~fexA~~~AGR88919.1~~~phenicol unknown +>ARGMiner~~~fexA~~~AGR88919.1~~~phenicol~~~unknown MKKDSKSKEMIQSEKRGSTRLLMMVLSLSVLVGAITADFVNPVLPLISKDLEASKSQVIWIVSGIALVLAIGVPIYGRISDFFELRKLYIFAIMILASGSLLCAIATNLPLLVLGRMVQGAGMSAIPVLSVIAISKVFPQGKRGGALGIIAGSIGVGTAAGPIFGGVVGQYLGWNALFWFTFLLAIMLVIGAYYALPTIKPAESVGSNKNFDFIGGLLLGLTVGLLLFGITQGETSGFSSFSSLTSLIGSVVALVGFIWRIVTAENPFVPPVLFNNKDYVNTVIIAFFSMFAYFAVLVFVPLLVVEVNGLSSGQAGMILLPGGVAVAILSPFVGRLSDRFGDKRLIITGMTLMGLSTLFLSTYASGASPLLVSVGVLGVGIAFAFTNSPANNAAVSALDADKVGVGMGIFQGALYLGAGTGAGMIGALLSARRDATEPINPLYILDAMSYSDAFLAATGAILIALIAGLGLKKRG ->ARGMiner~~~ACT-20~~~AAL05855.1:AF411146_1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-20~~~AAL05855.1:AF411146_1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMIKSLCCALLLGLSCSALAAPVSEKQLAEVVANTVTPLMKAQSVPGMAVAVIYQGKPHYYTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLDDPVTRYWPQLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMPYEQAMTTRVLKPLKLDHTWINVPKAEEAHYAWGYRDGKAVRVSPGTLDAQAYGVKTNVQDMANWVMANMAPEKVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVEANTVVEGSDSKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQIGIVMLANKSYPNPARVEAAYHILEALQ ->ARGMiner~~~macB~~~WP_007664615.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_007664615.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGETDVEVLKGVSLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLNNDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTGRAARQVRARELLTRLGLEARVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPHVAAQAERIIEIRDGEIVSNPPAVEKRSGAGLTTQPHEAAALGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPAVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKASVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSVTVRVKDGYDSGEAEQQLIRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLVLPGWEIGFSPVALLTAFLCSSVTGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~mdtM~~~WP_001393090.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001393090.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFMWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIARWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~emrA~~~WP_010434370.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_010434370.1~~~fluoroquinolone~~~unknown MSANAENTNPQQPANKKGKRKNALLLLTLLFIIIAVAYGIYWFLVLRHAEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAQTELASSVRQTRQLMINSKQLQASIDVQKTALAQAQSDLNRRVPLGTANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMVLGTSLENQPAVKQAATEVRNAWLALQRTKIVSPMTGYVSRRSVQPGAQIGTTTPLMAVVPANNLWVDANFKETQLAHMRIGQTATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLADHPLRIGLSTLVTVDTANRDGQILASQVRSSPAYESNAREISLDPVNKLIDDIVKANAG ->ARGMiner~~~tolC~~~WP_023351917.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023351917.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLRLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~tolC~~~WP_050192361.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_050192361.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~tet(L)~~~WP_031944040.1~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~WP_031944040.1~~~tetracycline~~~unknown MNTSYSQSNLRHNQILIWLCVLSFFSVLNEMVLNVSLPDIANDFNKPPASTNWVNTAFMLTFSIGTAVYGKLSDQLGIKRLLLFGIIINCFGSVIGFVGHSFFSLLIMARFIQGAGAAAFPALVMVVVARYIPKENRGKAFGLIGSIVAMGEGVGPAIGGMIAHYIHWSYLLLIPMITIITVPFLMKLLKKEVRIKGHFDIKGIILMSVGIVFFMLFTTSYNISFLIISILSFLIFVKHIRKVTEPFVEPALGKNISFIIGVLCGGLIFGTVAGFISMVPYMMKDVHQLSTAAIGSVIIFPGTMSVIIFGYIGGLLVDRKGPLYVLTIGVTFLSVSFLIAAFFLEVTPWLLTIILVFVFGGLSFTKTVISTIVSSSLKQKEAGAGMSLLNFTSFLSEGTGIAIVGGLLSVRLLNQKLLPMDLDQSTYLYSNMLFLFTGVVVTSWLITLNVYKRRQIQFKS ->ARGMiner~~~mdtP~~~WP_021523652.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_021523652.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQFNDPQLDALIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQVLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPIVEKK ->ARGMiner~~~Escherichia coli acrA~~~WP_046598980.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_046598980.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETCQIVASQAIGNKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~mdtH~~~WP_004101048.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_004101048.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMTGLLIMTLAMMPIGLSSNLQQLFTLICVFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGAFGYAGGGWLFDAGKATGQPELPWLMLGAIGLATFVALWWQFSPKRSTSGMLEPRT ->ARGMiner~~~OprJ~~~NC_002516.2.881070.p01~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprJ~~~NC_002516.2.881070.p01~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MRKPAFGVSALLIALTLGACSMAPTYERPAAPVADSWSGAAAQRQGAAIDTLDWKSFIVDAELRRLVDMALDNNRSLRQTLLDIEAARAQYRIQRADRVPGLNAAATGNRQRQPADLSAGNRSEVASSYQVGLALPEYELDLFGRVKSLTDAALQQYLASEEAARAARIALVAEVSQAYLSYDGALRRLALTRQTLVSREYSFALIDQRRAAGAATALDYQEALGLVEQARAEQERNLRQKQQAFNALVLLLGSDDAAQAIPRSPGQRPKLLQDIAPGTPSELIERRPDILAAEHRLRARNADIGAARAAFFPRISLTGSFGTSSAEMSGLFDGGSRSWSFLPTLTLPIFDGGRNRANLSLAEARKDSAVAAYEGTIQTAFREVADALAASDTLRREEKALRALANSSNEALKLAKARYESGVDNHLRYLDAQRSSFLNEIAFIDGSTQRQIALVDLFRALGGGWDEGRSLVVHRGGRS ->ARGMiner~~~TEM-1~~~ANG29078.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG29078.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGSGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MexF~~~WP_015095042.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_015095042.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKITLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAPPAPNAQSFQLSVNTQGRLVSEEEFENIIIRSGDNGEITRLKDIARVELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIEISNEVRAKMAELKKGFPEGMDFSIVYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLTPIEATKRAMREVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRVLDKMFGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLLYAGLMVLTFFGFSSTPTGFVPGQDKQYLVAFAQLPDAASLDRTEDVIKRMSDLALKQPGVESAVAFPGLSINGFTNSPNAGIVFVTLKPFDERKDPSMSAGAIAGALNGQYANIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETMNIIAKSHNVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQIYLGSLYANDFNRFGRTYQVNVQAEQQFRLESDQIGQLKVRNNKGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGAAAPGYSSGQAEKAIEKLLKEELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIASGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQLEGLNPLAAVLEACRLRLRPILMTSFAFIMGVVPLVFSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNFVERGQARKAAKVALKLESH ->ARGMiner~~~macB~~~WP_000188196.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188196.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVCGIGVMNIMLVSVIERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_061376484.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061376484.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKCEQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~iri~~~WP_020969143.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_020969143.1~~~rifamycin~~~unknown MSDVIIVGAGPTGLMLAGELRLQGVDVVVVDKDEEPTQFVRALGIHVRSIEIMEQRGLLDKFLAHGRKYPLGGFFAGISKPAPAHLDTAHGYVLGIPQPEIDRILAEHATEVGAEIQRGKRVVAIRQDTDNVAAELSDGTTLHARYLVGCDGGRSTVRKLIDVGFPGEPSSADTLIGEMDVTMPADELAAVVAEIRETHKRFGVGPAGNGAFRVVVPAAEVADGRATPTTLDDIKQQLLAIAGTDFGVHSPRWLSRFGDATRLAEHYRRDRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPEGLLDTYESERRPVAADVLDNTRAQAELISTAAGPQAVRRLISELMEFEDVKRYLTEKITAISIRYDFGEGDDLLGRRLRNIALTRGNLYDLMRSGRGLLLDQGGQLSVDGWSDRVDHIVDTSTELDAPAVLLRPDGHVAWVGDTQAELDTQLSKWFGRPTA ->ARGMiner~~~mdtP~~~Q83P87~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~Q83P87~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAEHVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~emrB~~~WP_032233195.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032233195.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWSWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~bacA~~~WP_001551672.1~~~peptide unknown +>ARGMiner~~~bacA~~~WP_001551672.1~~~peptide~~~unknown MSDMHSLLIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQHEGESKGRLTLIHILLGMIPAVVLGLLFHDTIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATALDLYKSWGFLTTGDIPMFAVGFITAFVVALIAIKTFLQLIKRISFIPFAIYRFILAAAVYVVFF ->ARGMiner~~~aadA~~~AAW81957.1~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~AAW81957.1~~~aminoglycoside~~~unknown MDPEAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~mdtH~~~WP_064577949.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064577949.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMINDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGCSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~macA~~~WP_001124209.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124209.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSAPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVIIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~mdtP~~~WP_020233742.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_020233742.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRRDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQIQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVLQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~MexC~~~WP_004352726.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexC~~~WP_004352726.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MADLRAIGRIGALAMAIALAGCGPAEERQEAAEMVLPVEVLTVQAEPLALSSELPGRIEPVRVAEVRARVAGIVVRKRFEEGADVKAGDLLFQIDPAPLKAAVSRAEGELARNRAVLFEAQARVRRYEPLVKIQAVSQQDFDTATADLRSAEAATRSAQADLETARLNLGYASVTAPISGRIGRALVTEGALVGQGEATLMARIQQLDPIYADFTQTAAEALRLRDALKKGTLAAGDSQALTLRVEGTPYERQGALQFADVAVDRGTGQIALRGKFANPDGVLLPGMYVRVRTPQGIDNQAILVPQRAVHRSSDGSAQVMVVGADERAEARSVGTGVMQGSRWQITEGLEPGDRVIVGGLAAVQPGVKIVPKPDGAQAQAQSPAPQQ ->ARGMiner~~~acrE~~~WP_001576136.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_001576136.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSHTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~MexE~~~WP_024762275.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_024762275.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MERNLNTLRIPLALAAVLVLSACGKGQDAAQNMAAPKVSVADVIEQPINEWDEFTGRLEAPESVELRPRVSGYIDRVAFREGALVKKGDLLFQIDPRPFQAEVHRLEAQLQQARANQTRTVNEASRGDRLRATNAISAELADARTAAATEAKAVVAATQAELDNARLNLSFTQITAPIDGRVSRAEVTAGNLVNSGQSVLTTLVSTDKVYAYFDADERVYLKYVDLARKGGPDARGSSPVYLGLTGEDGFPHQGRLDFLDNQVNPKTGTIRGRAVFDNDANQFTPGLYARIKLVGSGTYPAALIKDEAVGTDLGKKFVLVLDKDSKVQYRGIELGPKLEGLRIVRSGLVKGDRIVVNGLQRVRPGAQVDAQGVEMASQSTLANLENQRRALESFEAPKVAEKSVKPGAPRG ->ARGMiner~~~macB~~~WP_050008485.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_050008485.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLEWKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNATGGTEPVXNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~WP_031911321.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031911321.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPNHQKAKNFGYMSAIINSGFILGPGISGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~macB~~~WP_046735891.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_046735891.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHYPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtB~~~WP_024169438.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_024169438.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLTKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALLIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPREAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~msbA~~~WP_047339381.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_047339381.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNESPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~acrB~~~WP_053881440.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_053881440.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMPYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~FosB~~~EWH21472.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~EWH21472.1~~~fosfomycin~~~unknown MLGINHLLFSVSDLSVSISFYEKVFDAKWLVKAEKTAYFDLNGIWLALNEEKDIKRQEIHDSYTHIAFSIQQEDLPFWEKKLHDLGVNVLKGRKRHEGDKDSIYFSDPDGHKFELHTGSVFDRLQYYQNEKTHLSFHEGHIKALYDRNK ->ARGMiner~~~lmrP~~~EXL12101.1~~~macrolide;lincosamide;streptogramin;tetracycline unknown +>ARGMiner~~~lmrP~~~EXL12101.1~~~macrolide;lincosamide;streptogramin;tetracycline~~~unknown MFRELHPNIRARILIQFLSKVIGSMIFPFMAIYFSMEINSSVAGFLLMINVLAQFLAGMYGGHLADIIGRKKLMVTGELLKVFAFLGMVLCNSPMFHSPWITFVMLLIIGVAQGLINPAGEAMLIDVSTPENRSFMYSVSYWANNLSIMIGIMVGGWFFVDYLFPLLVVLFIMSFVTAWLTISLISETLQQKALPQKGSYGLMGMLKNYGQVLHDYRFLLYTIGGIAIMSIEFQRSNYISVRLAEDVKALLVHLGPLGNISLNGVQIVSVLTAVNTLFIVLFTVPIARFVTKRAQQPIMYVGFTLFALGFAVCAFANNLAVLLLATMVLSIGELLYVPTRQTILAAIVDDDKRGAYMAFNGIIFQIGKMIGSVSLVFAPFIGKYGMGAFTIILGILSIVFSAVALKSGWEKVLVK ->ARGMiner~~~floR~~~WP_039022044.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_039022044.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSILAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTYFVFFSTASRVLIGQAEYSDIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~arnA~~~WP_001587252.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001587252.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPTIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKNDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtH~~~WP_063144660.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063144660.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEAALSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~pmrA~~~WP_029485376.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~WP_029485376.1~~~fluoroquinolone~~~unknown MEINWRKNLVIAWIGCFFTGASISLVMPFIPVYVEQLGTPKDQIELFSGLAISVTAFASAVVAPIWGNLADQKGRKLMMIRAAAGMTLTMGSLAFVPNAYWLLIMRFWNGILSGYIPNATAMIASQAPREKSGWALGTLSTGAIAGNLIGPSMGGALAQWFGMENVFLITGALLMITTVLTIFLVKEDFHPIEKKDLISTKEIFSKMDHLSILIGLFITTLILQIGITTISPILTLYIRELSGSTENILFVSGLIVSIAGVSAVFSSPKLGKLGDKIGNQKVLIGGLILSFCCYLPMAFVTTPLQLGILRFILGFSTGALMPSVNTLISKITPQEGVSRIYSYNQMFSNFGQVLGPMLGSTVAHAYNYSAVFIVTSLFVLSNILLSLFNFRKVLRSRL ->ARGMiner~~~tet(C)~~~AAW83817.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~AAW83817.1~~~tetracycline~~~unknown MSTNLSVIKNPRVQSDQRRLVRRPDVKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGAWSRATST ->ARGMiner~~~adeB~~~WP_000987601.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987601.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTAILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~macA~~~WP_050901155.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050901155.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQASYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSAPTIIQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALAARRAINEYAV ->ARGMiner~~~sdiA~~~WP_001154283.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154283.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYREIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~emrB~~~WP_058818841.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_058818841.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAISTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~arnA~~~WP_000860293.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860293.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQTGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGGISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLIDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtM~~~WP_032175805.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032175805.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVFRDFHNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~macA~~~WP_045368519.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045368519.1~~~macrolide~~~unknown MTLNGKRRKVWWLLALVVVIAAIWGWRILNAPLPQYQTLVARKSDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAENQIKEVDATLMELRAQLKQAQAERKLAQVTLARQQQLAQRQLVSRQDLDTAATDVAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMSGEVTQITTLQGQTVIATQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLAEVKNVITIPLSALGDAIGDNRYNVRLLRNGEVKEREIVIGARNDTDVAVAKGLEEGDEVIISESTPGATK ->ARGMiner~~~APH(3')-IIb~~~WP_003093441.1~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIb~~~WP_003093441.1~~~aminoglycoside~~~unknown MHDAATSMPPQAPSTWADYLAGYRWRGQGEGCSAATVHRLEAERRPTLFVKQEVLSAHAELPAEIARLRWLHGAGIDCPQVLNETQSDGRQWLLMSAVPGDTLSALAQRGELEPERLVRLVAAALRRLHDLDPAACPFDHRLERRLDTVRQRVEAGLVDEADFDDDHRGRSATELYRLLLDRRPAVEDLVVAHGDACLPNLLAEGRRFSGFIDCGRLGVADRHQDLALAARDIEAELGAAWAEAFLVEYGGDIDGERLAYFRLLDEFF ->ARGMiner~~~mefA~~~WP_018664415.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_018664415.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVVFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPIWMVMVVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAMIASITVAIVRIPKLGDQVQSLKPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMEYFNGTPMHISITEIAYASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFIADRIGVNHWFLLSGILIICIAIVCPMITEIRKLDLKQNS ->ARGMiner~~~mexH~~~WP_033996268.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_033996268.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARPLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071151.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071151.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPIGDENAFDELLEAFYNYAEYLGYDVIFYQVTGQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~mexH~~~WP_034050362.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_034050362.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGHPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSVAPPVPVAGR ->ARGMiner~~~mexY~~~WP_034058952.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_034058952.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGASLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~Escherichia coli acrA~~~AAL32125.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~AAL32125.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRKNRGVLPLALLVLSGSLVVAGCGDKNQSAGGPPPAPAVGVVTLDAKPLTITTDLPGRTSAYRIAEVRPQVGGIILKRNYTEGSYVEAGTSLYQIDPAIFQATLNSAQADLAKAKANAEIARLTVERYKPLLGTNYVSKQDFDTATSQYAQAVAAVKAAEATVTNAKINLEYTKVTAPISGRSGKSTVTEGALVAPGQQVALTTVQQIDPIYVDVTQSSEDYLKLKNEIESGIIRQEQGKPVVHLTLTNSQSYAQKGHLEFSDVTVDESTGSITMRAIVPNPKGELLPGMFVRTKLENGIRQNAILIPQQAVIRTPRGEATTMVVNKDNVVEVRTIEVSQAVGNKWLVNSGVQVGDRVIVSGLQKAQPEMKVTPQEENLDATASTEKSEPAKDPQ ->ARGMiner~~~acrB~~~WP_044243114.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_044243114.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLSILKLPVAQYPTIAPPAISITAMYPGADAETVQNTVTQVIEQNMNGIDHLMYMSSNGDSTGTATITLTFESGTDPDIAQVQVQNKLALATPLLPQEVQQQGISVEKASSSFLMVVGVINTNGTMNQDDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISALKAQNAQVVAGQLGGTPPVKGQQLNASIIAQTRLTNTEEFGNILLKVNQDGSQVRLRDVAKIELGGESYDVVAKFNGQPASGLGIKLATGANALDTANAIRAELAKMEPFFPSGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGSHGATTGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLVLYLIIVVGMAWLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTNYYLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSQRPGEENKVEAITARAMGYFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQGGLGHEKLTQARNQLFGMVAQHPDVLTGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYIMSEAKYRMLPEDIGKWYVRGSDGQMVPFSAFSTSRWEYGSPRLERYNGLPSLEILGQAAPGKSTGEAMALMEELAGKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATILAIFFVPVFFVVVRRRFSKKSEDIEHSHQVEHH ->ARGMiner~~~emrB~~~WP_032231403.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032231403.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPTVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~tolC~~~WP_047079490.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047079490.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKVNEARSPLLPQLGLGADYTYTSGFRDYKNQNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTSKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASTGVSNNRYSGSKNISQDADVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPTSPDSVAPENPQQDAAADGYANTASAQPAAARTTKTSGSNPFSH ->ARGMiner~~~y56 beta-lactamase~~~WP_050915107.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050915107.1~~~cephalosporin;penam~~~unknown MKHSSLRRALLLAGITLPLVNFSLPTWAAAIPGSLDKQLAALEHSANGRLGIAMINTGNGTKILYRGARRFPLCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLLKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATKIILSQIS ->ARGMiner~~~smeE~~~WP_032976546.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_032976546.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGGLALFKLPISMYPNVAPPAVEISASYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIAFVSEDGSMDANDIADYVGSNVVDRLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYGMSVPEVVAAVKAQNAQVAIGQLGGAPSVKGQQLNATINAQSRLQTPEQFRNIIVRGAQDGAELRLGDVARVELGAESYDFVTRYNGQPASGLAVTLATGANALDTAAGVDETLKELEGFFPAGLKAEIPYDTTPFVRVSIKGVVQTLLEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGVLSVLGFSVNMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMSQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHRGFAGRFFNGFNRGFDRTSESYQRGVRGILHRPWRFMGIVAALFLLMGVLFVRLPSSFLPNEDQGVLMALVQAPVGATQERTLESIAALEKHFMENEKGAVESVFSVQGFSFAGMGQNAGMAFVKLKDWKDRDADNGVMPITGRAMAALGQIKDAFIFAFPPPAIPELGTASGYTFFLKDNSGQGHEALLAARNQLLGLAAGSKKLANVRPNGQEDTPQFRIDIDVAKASSLGLSIDQVNTTLATAWGSSYIDDFIDRGRVKRVFVQADQPFRMVPEDFDLWSVKNDKGEMVPFSAFASKHWDYGSPRLERYNGVSATEIQGEPAPGVASGDAMAEIEQLAKQLPAGFGVEWTAMSYQERQAGSQTPLLYTLSLMIVFLCLAALYESWSVPTSVLLVAPLGILGAVLANTFRGMERDIYFQVAMLTTVGLTSKNAILIVEFAKEHLEKGAGLIEATMHAVRDRLRPIIMTSLAFGMGVLPLAISSGAGSGAKQAIGTGVLGGMVVGTVLGVFFVPLFFVVVQRVFKKRNATE ->ARGMiner~~~FosB~~~WP_047527199.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_047527199.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYEDVLLGKLLLTGKKTAYFKLAGPWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFNYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDDHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~macA~~~WP_061440268.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_061440268.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGGIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSLQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~Erm(41)~~~ACH43063.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~ACH43063.1~~~macrolide;lincosamide;streptogramin~~~unknown MSGQRSRRQWGWYPLTDDWAARIVAESGVRSGEFVVDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRVAEADLLAFRWPRRPFRVVASPPYQVTSALIRSLLTPESRLLAADLVLQRGAVHKHAKRAPVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~L1 beta-lactamase~~~WP_049457130.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049457130.1~~~cephalosporin~~~unknown MRSSLLALTLAAALPVAHAAAAEAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTDNLTALLVQTSEGAVLLDGGMPQMAGHLLDNLKARGVAAQDLRLILLSHAHADHAGPVAELKRRTGARVVANAESAVLLARGGSDDLHFGDDITYPPASTDRIVMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTREGKPVRIAYADSLSAPGYQLRGNVRYPRLIEDYTRSFATVRGLPCDLLLTPHPGASHWDYAAGSKAGAKALTCKAYADDAEAKFNAQLAKETAHAH ->ARGMiner~~~nalD~~~WP_033940563.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_033940563.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMPNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~tolC~~~WP_032718260.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032718260.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLMQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGALQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLSAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNAVEALRQVTGNYYPELASLNVDGFKTNKPQTVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLTASTGISNTTYNGSKTNDPTRYGDTDAGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESSHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLLALNNTLGKPVSTSPDSVAPETPQQDANADGYSSNAAPAATPASTRTTKTSGANPFRQ ->ARGMiner~~~nalD~~~WP_021265147.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_021265147.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIAWAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~mdtA~~~CTU50105.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~CTU50105.1~~~aminocoumarin~~~unknown MCPSFRRFQTVFHNSSIFLPYWLATLVSFRETFQEEKLLTMKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSRSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLLFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPSAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~tolC~~~EGK58293.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EGK58293.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNIGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPQQDAAVDNFTANSSAPVAQPAAARSTAPASSGTNPFRQ ->ARGMiner~~~hmrM~~~Q8D9N8~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~Q8D9N8~~~fluoroquinolone;acridinedye~~~unknown MHRYKKEASNLIKLATPVLIASVAQTGMGFVDTVMAGGVSATDMAAVSVAASIWLPSILFGIGLLMALVPVVAQLNGAGKREQVPFEIQQGAVMALLISIPIIGVLFQTQWILGYMNVDAVMATKTIGYIHAVMFAVPAFLLFQTLRSLTDGLSLTKPAMVIGFIGLLLNIPLNWMFVYGKLGAPALGGVGCGVATAIVYWIMFLLLLFYVTTSHRLRQVQLFTTFHPPQLNAQVKLFKLGFPVAAALFFEVTLFAVVALLVAPLGSTVVAAHQVAINFSSLVFMLPMSIGAATSIRVGHMLGEKSTEGARIASHVGILVGLSTAVFTALLTVILREQIALLYTDNRVVITLAMQLLIFTAIYQCTDAIQVIAAGALRGYKDMRAIFNRTFIAYWLLGLPTGYVLGLTDWIVEPMGAQGFWIGFIVGLSSAAAMLGVRLHWLHRQNDEIQLNYEAR ->ARGMiner~~~mgrA~~~WP_057512900.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_057512900.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEPLNLKEQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWADSPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEIDQREVFIHLTDKSEAIRPELDTACQDVAVASSLTPDESKELNRLLSKVITAFTEEKAK ->ARGMiner~~~emrB~~~WP_023313559.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_023313559.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRYAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPRMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~macA~~~WP_041423575.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_041423575.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQASYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKRYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~amrA~~~WP_027807396.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_027807396.1~~~aminoglycoside~~~unknown MNNKRTLWRRMRLAPFALAALMAVAGCGKGDKDAAPETAKQATVVTVRPTAVPMTVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKQGAVLFRIDPAPLKAARDAAQGALAKAQAAALAATDKRRRYDDLVRDRAVSERDHTEAVAADTQAKAEVASAKAELARAQLQLDYATVTAPIAGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVDALRRAVKSGRATGIAQHDVAVTLLRADGTAYPLKGKLLFSDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDTAVDQRAILVPRDALLRTADRTSVRVVGANGKVKDVEVTADQMSGRDWRITRGLAGGERVIVDDAAQFAPDTAVKPVEKAPPSKAAPVAAASQAAARQT ->ARGMiner~~~emrB~~~WP_043017320.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_043017320.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRFGEVRLFMWSTIAFVIASWACGMSTSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGAAVVLMTLQTLRGRETKTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIIILTVVAVVAISFLIVWELTDEHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPYNPNAQAMYDKLQDLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~TEM-207~~~ANG21633.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG21633.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSTQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~WP_021561641.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_021561641.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKAGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macB~~~WP_061067665.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061067665.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEGQVEVLKDVSLRIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPPQKTTAGRDIDEPTVTTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLVAIQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDSNTRRQLFPSKAKVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSGQAEQQLTRLLSLRHGKKDFFTWNMDGILKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Bacillus subtilis mprF~~~NC_007793.3912797.p01~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~NC_007793.3912797.p01~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGEFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~FosB3~~~WP_031770662.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_031770662.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLNDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~lmrB~~~EFH35756.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~EFH35756.1~~~lincosamide~~~unknown MMAKVELTTRRRNFIVAVMLISAFVAILNQTLLNTALPSIMRELNINESTSQWLVTGFMLVNGVMIPLTAYLMDRIKTRPLYLAAMGTFLLGSIVAALAPNFGVLMLARVIQAMGAGVLMPLMQFTLFTLFSKEHRGFAMGLAGLVIQFAPAIGPTVTGLIIDQASWRVPFIIIVGIALVAFVFGLVSISSYNEVKYTKLDKRSVMYSTIGFGLMLYAFSSAGDLGFTSPIVIGALIISMVIIYLFIRRQFNITNVLLNLRVFKNRTFALCTISSMIIMMSMVGPALLIPLYVQNSLSLSTLLSGLVIMPGAIINGIMSVFTGKFYDKYGPRPLIYTGFTILTITTIMLCFLHTDTSYTYLIVVYAIRMFSVSLLMMPINTTGINSLRNEEISHGTAIMNFGRVMAGSLGTALMVTLMSFGAKIFLSTSPSHLTATEIKQQSIAIGVDISFAFVAVLVMAAYVIALFIREPKEIESNRRKF ->ARGMiner~~~MexB~~~WP_038979267.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_038979267.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGNMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKSVKNFLMVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKTAIAAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNKDGSQVRVGDVAEVGLGGENYSISAQFNGAPASGLAVKLANGANALDTAKALRNTIDTLKPFFPEGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRSFDRGVKSYERGVGNMLAHKAPYLLAYLIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSSQRTQVVVDEMREFLLRPSKEGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLKPWEERNADNSVFKLAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKVLSQVRPNGLNDEPQYQLEIDDEKASALGVTLTDINNTLSIALGSSYVNDFIDRGRVKKVYIQGLPGARMSPEDLKKWYVRNSAGTMVPFSSFAKGEWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEALAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSMGQRKIADQDDAIEPSKEAG ->ARGMiner~~~mdtP~~~WP_000610595.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610595.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPSLGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGIAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIHSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~norA~~~WP_031836663.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031836663.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKVKNFGYMSAIINSGFILGPGISGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~macA~~~WP_023297315.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023297315.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKVWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVAIGARNDTDVAVVQGLDEGDEVIVGESASGAAK ->ARGMiner~~~macB~~~WP_045142251.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045142251.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLLSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_000075045.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_000075045.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIHENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGDVSNITFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~arnA~~~WP_004017051.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_004017051.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYAGNQKFTVWSSCVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~tolC~~~WP_062936992.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_062936992.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKIKLMLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDRLAVLRNDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~macA~~~WP_047052327.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047052327.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEAKLMELRAQRAQAQAERNLAQITLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAQ ->ARGMiner~~~PDC-7~~~WP_058135553.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_058135553.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHATILNLCGLAASTLFFATTSAFATEAPAERLKALVDAAVQPVMKANDIPGLAVAITLKGEPHYFSYGVASKEDARKVTPETLFEIGSVSKTFTATLAGYALAQDKMRLDDRASRHWPALQGSRFDGISLLDLGTYTAGGLPLQFPDAVQKDPAQIRDYYRQWQPTYAPGSHRQYSNPSIGLFGYLAARSLGQPFERSMERQLFPALGLEHTFIRVPTAQQGLYAQGYGKDDRPLRVGPGPLDAEAYGLKSSAADLLRFVEANLHPERLEKPWAQALDATHRGYYKVGDMTQGLGWEAYDWPIDLKRLQAGNSAPMALQAHKVARLPAPQALDGQRLLNKTGSTNGFGAYLAFIPGRDVGLVILANRNYPNAERVKIAYAILSGLEQQAKVPLVR ->ARGMiner~~~mdtH~~~WP_053889883.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053889883.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFCLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~cmeA~~~WP_002859458.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002859458.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIENKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~tetX~~~AMP49832.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP49832.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTLNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~tolC~~~WP_060656486.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_060656486.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTSKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASSGVSNNRYSGSNSTTPDADIGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAMKPASARTTTHSSGSNPFRQ ->ARGMiner~~~macA~~~WP_041642270.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_041642270.1~~~macrolide~~~unknown MTPTPPLRPRLRRRILIAALGLGALAVGAAFTWPRLFGNGDPTALYQFATVSRGDIEDVVTATGTLQPREYVDVGAQVSGQLKKIHVEVGSLVKNGDLLGEIDSTVYLSKVDASRAQLRNLRAQLKEREAQVALAQVQFKRQTALMAEDATTTETLQTAEATLKSAEAQLEALRAQIEQYESTLRGDEANLQYARIMSPMTGTVVSITARQGQTLNTNQSAPTILRVADLTTMTVQTQVSEADVSRLKLGMAAYFTTLGGHGKRWYGKLDKIEPTPTVTNNVVLYNALFDVPNDDNLLMTQMTAQVFFIVAQAKDVLQIPLAAVSQGARGPRAGAQPAAGGAPAGAARGNGPRRATVKVLRADNTLEEREVQIGVSNRVQAQVLDGLQEGERVVTGLLSAPAPAASTTSNRQGPPPRL ->ARGMiner~~~BcI~~~WP_016077679.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_016077679.1~~~cephalosporin;penam~~~unknown MVLKNKRMLKIGICVGILGLSLTSLEAFTGGALQVEAKEKTGHVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRNILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPVIIAILSSKDEKEATYDNQLIAEATEVIVKALR ->ARGMiner~~~acrB~~~gi:126031026:pdb:2J8S:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~gi:126031026:pdb:2J8S:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHHHHHHHH ->ARGMiner~~~mdtM~~~EFI90353.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~EFI90353.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQSRCPSHLPFVITDGIEFRFPRWGSPDKELFVMPRFFTRHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGSLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLSLLIVGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNKLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELVEEQ ->ARGMiner~~~ACT-29~~~WP_065422675.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_065422675.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKSLCCALLLSTSCSVFAAPMSEKQLADVVKRTVTPLMKAQTIPGMAVAVIYQGQPHYFTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLSDPITKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQDWQPEWKPGTTRLYANASIGLFGALAVKPSGMNYEQAITTRVFKPLKLDHTWINVPESEQEHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVKDMASWVIANMSPDTLQDTSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVEAKTVVEGSDNKVALAPLPAVEVNPTAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYHILDALK ->ARGMiner~~~mdtE~~~WP_001572995.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001572995.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVPSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~MexB~~~WP_060541136.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_060541136.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGTMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRIWLDPAKLNNFNLTPVDVKTAIAAQNVQVSSGQLGGLPALPGTQLNATIIGKTRLQTAEQFNKILLKVNKDGSQVRLKDVAEVGLGGENYSINAQFNGAPASGLAVKLATGANALDTAKALRTTIDSLKPFFPEGMEVVFPYDTTPVVTESIKGVVNTLVEAVALVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHHTPKRGFFGWFNRNFDRGVKSYERGVGSMLTHKAPYLLAYLLIIVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTSQRTQVVVDEMREFLLRPGKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLRPWDERNADNNVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHAKLMEARNQFLGMAAQSKILTQVRPNGLSDEPQFQLEIDDEKASALGITIADINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGSRMSPEDLNKWYVRNSVGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEAIAAKLPKGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRTLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSIGQRKQVDQDDATETPKEAG ->ARGMiner~~~mdtM~~~WP_023203355.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_023203355.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLIARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAPELAAEK ->ARGMiner~~~mdtH~~~WP_004919425.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_004919425.1~~~fluoroquinolone~~~unknown MAQVSQARSLGKYFLLLDNMLVVLGFFVVFPLISIRFVDQLGWAAVIVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVIGMLLRACGFVLMAIANDPWVLWLSCVLSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLQYDFHYVCWAGAAVFVLAAICNAWLLPAYRISTVRTPIKEGMSRVLKDTRFVTYVVTLAGYFMLSVQVMLMFPIVVNEIAGTPTAVKWMYAIEAVISLTLLYPIARWSEKYFRLEQRLMAGLFLMSLSMFPIGMTSSLPVLFGLICLFYLGTVTADPARETLSASLADPRARGSYMGFSRLGLALGGAVGYTGGGWMYDIGHQWDLPQLPWFLLGVIGFITLWALHKQFNRKKIESAMLSG ->ARGMiner~~~ErmX~~~CAO72206.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmX~~~CAO72206.1~~~macrolide;lincosamide;streptogramin~~~unknown MSAYGHGRHENGQNFLTNHKIINSIIDLVKQTSGPIIEIGPGSGALTHPMAHLGRAITAVEVDAKLAAKLTQETSSAAVEVVHDDFLNFRLPATPCVIVGNIPFHLTTAILRKLLHAPAWTDAVLLMQWEVARRRAGVGASTMMTAQWSPWFTFHLGSRVPRTAFRPQPNVDGGILVIRRVGDPKIPIEQRKAFQAMVHTVFTARGRGIGEILRRAGLFSSRSETQSWLRSRGIDPATLPPRLHTNDWIDLFQVTGSSLPHHRPISPSGSSQRPPQQKNRSRRR ->ARGMiner~~~arnA~~~YP_002329903~~~peptide unknown +>ARGMiner~~~arnA~~~YP_002329903~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQTGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLIDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~BcI~~~WP_002200453.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002200453.1~~~cephalosporin;penam~~~unknown MEQTCLLDGLLCVRIKTGVQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGICVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSIEKLNEVITYTKDDLVEYSPVTEKHVDTGMALGEIAEAAVRSSDNTAGNILFHKIGGPKGYEKALRQMGDRVTMADRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEAAEVIVNALR ->ARGMiner~~~sul2~~~DQ464881.1.gene2.p01~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~DQ464881.1.gene2.p01~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIERIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFXRALTXRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~macB~~~WP_023251561.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023251561.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQTRARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDVAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanSA~~~EOF89090.1~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~EOF89090.1~~~glycopeptide~~~unknown MKNKKNDYSKLERKLYMYIVATVVVAIVFVLYIRSMIRGKLGDWILSILENKYDLNHLDAMKLYQYSIRNNIDIFIYVAIVISILILCRVMLSKFAKYFDEINTGIDVLIQNEDKQIELSAEMDVMEQKLNTLKRTLEKREQDAKLAEQRKNDVVMYLAHDIKTPLTSIIGYLSLLDEAPDMPVDQKAKYVHITLDKAYRLEQLIDEFFEITRCNLQTITLTKTHIDLYYMLVQMTDEFYPQLSAHGKQAVIHAPEDLTVSGDPDKLARVFNNILKNAAAYSEDNSIIDITAGLSGDVVSIEFKNTGSIPKDKLAAIFEKFYRLDNARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNYTTFRVELPAMPDLVDKRRS ->ARGMiner~~~TEM-1~~~ANG09950.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG09950.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSVQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~abeM~~~EKA64952.1~~~fluoroquinolone;acridinedye;triclosan unknown +>ARGMiner~~~abeM~~~EKA64952.1~~~fluoroquinolone;acridinedye;triclosan~~~unknown MLPILITQFAQAGFGLIDTIMAGHLSAADLAAIAVGVGLWIPVMLLFSGIMIATTPLVAEAKGARNTEQIPVIVRQSLWVAVILGVLAMLILQLMPFFLHVFGVPESLQPKASLFLHAIGLGMPAVTMYAALRGYSEALGHPRPVTVISLLALVVLIPLNMIFMYGLGPIPALGSAGCGFATSILQWLMLITLAGYIYKASAYRNTSIFGRFDKINLTWVKRILQLGLPIGLAVFFEVSIFSTGALVLSPLGEVFIAAHQVAISVTSVLFMIPLSLAIALTIRVGTYYGEKNWASMYQVQKIGLSTAVFFALLTMSFIALGREQIVSVYTQDINVVPVAMYLLWFAMAYQLMDALQVSAAGCLRGMQDTQAPMWITLMAYWVIAFPIGLYLARYTDWGVAGVWLGLIIGLSIACVLLLSRLYLNTKRLSQT ->ARGMiner~~~MexF~~~WP_033991003.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_033991003.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPATGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~hmrM~~~WP_003915530.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_003915530.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMGGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVKPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~macA~~~WP_003705768.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_003705768.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLDMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVSIPVEEGQTVNAAQSAPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERVMGGPPRR ->ARGMiner~~~emrA~~~WP_024131355.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_024131355.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQITAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~L1 beta-lactamase~~~WP_057493391.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_057493391.1~~~cephalosporin~~~unknown MRLCLTPLALAATLVFDVAAADAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTTEGAVLLDGGMPQMAGHLLDNMKARGVAPQNLRLILLSHAHADHAGPVAELKRRTGATVVANAETAVLLARGGSNDLHFGDDITYPPASADRIIMDGERVTIGGMAFTAHFMPGHTPGSTAWTWTDSRDGKPVRIAYADSLSAPGYHLQHNARYPRLVEDYRRSFTTVRGLPCDLLLTPHPGASRWNYAAGAEAGANVLTCKAYADAAEKTFDAQLAKEAAGAR ->ARGMiner~~~tolC~~~WP_004105860.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_004105860.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKQAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTNKPSAVNALLKEAESRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSGVSNNRYSGSKSISQDADVGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKSIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~FosB~~~WP_047431209.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_047431209.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYEDVLLGKLLLTGKKTAYFKLAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFNYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKETKPHMTFYK ->ARGMiner~~~MexD~~~WP_034074282.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_034074282.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGSAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVAGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVVPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~emrK~~~WP_001570159.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_001570159.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLAVVLFIAFSGAYAYWAMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQAYKANKALVMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTKNEDIAEMPELASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~novA~~~WP_018957938.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_018957938.1~~~aminocoumarin~~~unknown MKPDEPTWAPPPDADTAAHTATADRPPAEVRRILRLFHPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRGFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEKPVRLEKIRGEIAFEDVDFSYEEKNGPTLTGIDVTVPAGSSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTIDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATEAEIESAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQQAIDALSAGRTTLTIAHRLSTVRDADQIVVLENGRAAERGTHEELLDRDGRYAALIRRDSRPAPVPAP ->ARGMiner~~~MexT~~~WP_062573757.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~WP_062573757.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNRNDLRRVDLNLLIVFETLMHERSVTRAAEKLFLGQPAISAALSRLRNLFDDPLFVRTGRSMEPSARAQEIFALLSPALDSISTAVSRAAEFDPATSNAVFRIGLSDDAEFALLPQLLKRIRAEAPGIVLVVRRVNYLLMPTLLASGEISVGVSYTSELPANAKRKVLRRSMPKLLRADSMPGSITLDDFCARPHALVSFAGDLSGFIDEALDEIGRKRHVVLAVPQFNGLGSLLAGTDIVATVPDYTADALTAAGGLRAEDLPLEVRSFELHMAWRGAQDNDPAEKWLRSRIQMFFGDPDSL ->ARGMiner~~~vanRO~~~WP_058846640.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_058846640.1~~~glycopeptide~~~unknown MRVLVVEDEPYMAEAIRDGLRLEAIAADIAGDGDAALELLAVNAYDIAVLDRDIPGPSGDEVATRIVASGSGMPILMLTAADRLDDKASGFGLGADDYLTKPFEMQELVLRLRALDRRRAHSRPPVREIAGLRIDPFRREVYRDGRYVALTRKQFAVLDVLVAAEGGVVSAEELLERAWDQNADPFTNAVRITVSALRKRLGEPWIIATVPGVGYRIDAQPGIPSEAGRGR ->ARGMiner~~~emrA~~~WP_024173233.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_024173233.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWVLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~macB~~~WP_023220473.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023220473.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKKMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTLTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~CRP~~~WP_050124217.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_050124217.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAVTLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSSQMANRLQITSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGAR ->ARGMiner~~~macA~~~WP_002053440.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002053440.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAVIAALAWKFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKVTRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMRVEKGQKVYFTTLGDDSKRYATLRQIEPAPDSISSESNSTTSSTSSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLDSAKNALLVPSSALSSKQFSGQKKPGQSADKAASTPNAERKHSGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRNGPMGM ->ARGMiner~~~tolC~~~WP_044597633.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_044597633.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNGANSQGYNDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGTTPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~macA~~~WP_023234770.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023234770.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTVATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRVGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~tolC~~~WP_032180253.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032180253.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTFYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~FosB~~~WP_000911704.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000911704.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEEVHIPRNEIHQSYTHIAFSVEQEDFERLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRINYYRDEKPHMTFY ->ARGMiner~~~cmeA~~~ABC59227.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~ABC59227.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIENKLFKAGDKVKKGQPLFIIEQDKFKASVDSAYGQALMAKATFENASRDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTVGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVKGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQDQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~macB~~~WP_001594926.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001594926.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~novA~~~WP_012381861.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_012381861.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPDAAADRPPAEVRRILRLFHPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRGFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEKPVRLEKIRGEIAFEDVDFRYDEKNGPTLTGIDVTVPAGSSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTIDGIDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATEEEIEAAARAAQIHEHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQQAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRAAERGTHEELLDRDGRYAALIRRDSHPAPVPAP ->ARGMiner~~~APH(6)-Id~~~WP_057056221.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_057056221.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADPMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKHVRQTSY ->ARGMiner~~~macA~~~WP_033908562.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_033908562.1~~~macrolide~~~unknown MVIFIVGSLPPWMGQGLAVESASDLWDWRIQRIENMAKMMKWAAVAAVAAAAVWGGWSYLKPEPQASYITETARRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAIPVEEGQTVNAAQSAPTIIQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEMTAAEQQESSERAMGGPPR ->ARGMiner~~~tolC~~~AAL22060.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~AAL22060.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~MexD~~~WP_031691711.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_031691711.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYLYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVVPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~mgrA~~~WP_033855946.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_033855946.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIRLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~Sed1 beta-lactamase~~~WP_046481111.1~~~cephalosporin;penam unknown +>ARGMiner~~~Sed1 beta-lactamase~~~WP_046481111.1~~~cephalosporin;penam~~~unknown MLKIRFHQTLLIAGLLAFFVGSSPLSAREQATTTQIQQKLAALEKQSGGRLGVALINTADRSQILYRGDERFAMCSTSKAMVAAAVLKQSETQHDILQQKMAIKKADLTNWNPVTEKYVDKEMTLAELSAAALQYSDNTAMNKLLEHLGGTGNVTAFARSIGDTTFRLDRTEPELNTAIPGDERDTTTPLAMAKSLHKLTLGDALADTQRAQLVAWLKGNTTGGQSIRAGLPENWVVGDKTGGGDYGTTNDIAVIWPEGRAPLILVTYFTQPQQDAKGRKDVLAAAAKIVTQGL ->ARGMiner~~~macA~~~WP_058656201.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_058656201.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~bacA~~~YP_002800019~~~peptide unknown +>ARGMiner~~~bacA~~~YP_002800019~~~peptide~~~unknown MELWLAAQAFILGVVEGLTEFLPISSTGHQIIIADLIGFGGDRAKAFNIIIQLGAILAVVWEFREKVFGVILGLPREPQAQRFTVNLLIAFLPAVVLGVAFADLIEHWLFNPITVASALVVGGLVMLWAERRQHVIEVHAVDEMNWRHALKIGCAQCLAMIPGTSRSGSTIIGGLLFGLSRKAATEFSFFLAMPTMVGAAAYSGYKHRALFENGGDLPVFALGFVVSFIFAMLAVRGLLRFIASHSYALFAWYRIGFGLLILLTWQLGVVDWSTAQG ->ARGMiner~~~FosB~~~WP_014113930.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_014113930.1~~~fosfomycin~~~unknown MKVKGINHLLFSVSHLYTSIDFYQKVFDAKLLAKGKTTAYFDLNGIWLALNEEPDIPRNDIKASYTHIAFTIEDQEFEEMSAKLKRLHVNILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMDFYD ->ARGMiner~~~L1 beta-lactamase~~~WP_049403426.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049403426.1~~~cephalosporin~~~unknown MRFTLLAFALAVALPAAHASAAEAPLPQLRAYTVDASWLQPIAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGRKASAEALTCNAYADAAEKKFDAQLARETAGTR ->ARGMiner~~~adeA~~~WP_042591034.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~WP_042591034.1~~~glycylcycline;tetracycline~~~unknown MKIERLRRDGGVHALLVAMLAGCGPSEPQSAPPAPQVAATTVAPTHLELTEDLPGRVAAVRVAEIRPQVSGIVLRRLFEQGTEVRAGQPLFQINPAPFRADADTAAAALRRAEAALARARVQTTRLQPLVEADAVSRQVYDDAVSQRDQAAADVEQARATLARRQLDLKFATVEAPIPGRIDQALVTEGALVNSGDSNPMARIQQIDQVYVDVRRPASSLEALRQTLATQTAGAGNGLPVAVLRGNGEPYEAKGRILFSGISVDAGTGDVLLRVLVNNPQRQLLPGMFVRARVPHVRYSDALTVPQQAVVRVGGQPQVWMLDANGHARLKPVELGELVDRRYRIRTGLSAGQKIVVEGMERLSDGAAVTAHDWKSPEPVLAGPAH ->ARGMiner~~~mecA~~~WP_000721303.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_000721303.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGIWKLDWDHSVIIPGMQKDQSIHIENLKSKRGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~Escherichia coli ampC~~~WP_044721441.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_044721441.1~~~cephalosporin;penam~~~unknown MLKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~ykkC~~~OCB99622.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~OCB99622.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MFTHGLVREKTHMRTYSRICTRREKSPGESISCETTIRAFLYCPKTERVKNMKWGLVVLAAVLEVVWVIGLKHADSALTWSSTIVGIIISFYLLIKATNSLPVGTVYAVFTGLGTAGTVLSEILLFKEQADPVKIVLIGVLLIGVIGLKLVTQDTPETKEEKS ->ARGMiner~~~macA~~~WP_010951265.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_010951265.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTIDMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~aadK~~~WP_032726253.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_032726253.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVESFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIHEAEDYFANNDGLVKVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSYKEWEKLMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYQYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~tetW~~~ACT97610.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~ACT97610.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDELLEKYIAGEPISREKLAREEQQRVQDASLFPVYHGSAKNGLGIQPLMDAVTGLFQPIGEQGGAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYQGEIVILPSDSVRLNDVLGDQTRLPRKRWREDPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPSVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLSLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFILYAPKEYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGRSVCLTELKGYQAAVGQPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~mdtC~~~CP001138.1.gene2236.p01~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~CP001138.1.gene2236.p01~~~aminocoumarin~~~unknown MRFFALFIYRPVATILIAAAITLCGILGFRLLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVNEMTSSSSLGSTRIILEFNFDRDINGAARDVQAAINAAQSLLPGGMPSRPTYRKANPSDAPIMILTLTSESWSQGKLYDFASTQLAQTIAQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDEVREAIDSANVRRPQGAIEDSVHRWQIQTNDELKTAAEYQPLIIHYNNGAAVRLGDVASVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDGIRAKLPELRAMIPAAIDLQIAQDRSPTIRASLQEVEETLAISVALVILVVFLFLRSGRATLIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVISMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLVVSLTLTPMMCGWMLKSSKPRTQPRKRGVGRLLVALQQGYGTSLKWVLNHTRLVGVVFLGTVALNIWLYIAIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVNNVTGFTGGSRVNSGMMFITLKPRGERKETAQQVIDRLRVKLAKEPGARLFLMAVQDIRVGGRQANASYQYTLLSDSLAALREWEPKIRKALSALPQLADVNSDQQDNGAEMNLIYDRDTMSRLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYSQDISALEKMFVINSDGKAIPLSYFAQWRPANAPLSVNHQGLSAASTIAFNLPTGTSLSQATEAINRTMTQLGVPPTVRGSFSGTAQVFQQTMNSQLILIVAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRSGGLTPEQAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKNSKPIVEI ->ARGMiner~~~macB~~~WP_028119847.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_028119847.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGKDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYLAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTFLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtP~~~WP_032226462.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032226462.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWRQFNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRSVINYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALTGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLNQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKSSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDERKMQAERVEATRFTQRAADAAYKRGLTSRLQATEAELPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPGIEKK ->ARGMiner~~~mdtG~~~EEQ11953.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~EEQ11953.1~~~fosfomycin~~~unknown MPFLPLYVEELGVSGHQSLNMWSGLVFSITFLFSAIAAPFWGSLADRKGRKVMLLRSALGMGIVMVLMGMAQNIWQFLALRALLGLLGGFIPNANALIATQVPRSRSGWALGTLSTGGVSGALIGPLIGGLLADNYGLRPVFFITAGVLFLCFAMTWLYVREQFSPVLKKDMLNGRQVFNSLKNPKLILSLFITTMIIQVATGSIAPILTLYVRELAGDIHNLAFVSGMIASVPGVAALMSAPRLGKLGDRIGPERILIAMLALSVLILIPMAFVQTPLQLGILRFLLGATDGALLPAVQTLLIYNCTNQVAGRIFSYNQSFRDVGNVSGPLLGAAVSASYGFRAVFCVTAAVVLFNALYSYWCLQRHPAKPRQHDVQQTQDR ->ARGMiner~~~macB~~~WP_001730664.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001730664.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEGILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~novA~~~WP_030118884.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030118884.1~~~aminocoumarin~~~unknown MKPDTPPWTPPPDARSVTDRQPGEVRRILRLFHPYRARLALVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAAIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEGVDFSYDEKNGPTLTGIDLTVPAGSGLAVVGSTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATAEEIETAARAAQIHDHIASLPDGYDTLVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLEGGRIAERGTHEDLLARDGRYAALIRRDSHPVPAPAP ->ARGMiner~~~novA~~~WP_053931243.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_053931243.1~~~aminocoumarin~~~unknown MKPDEPTWTPPAADAGQPPAELRRIFRLFRPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMIFTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTKAFSEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTLQSGGTAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFARIFEYLDLEVDITEPEKPVRLDKIRGEITFEDVTFSYDETSGPTLRGIDVAVPAGNSLAVVGPTGSGKSTLSYLVPRLYDVTAGRVTIDGVDVRDLDFGTLARAVGVVSQETYLFHASVAENLRFAKPDATDEEIEAAARTAQIHDHIAGLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSKGRTTLTIAHRLSTVRDADQIVVLDDGRAAERGTHEELLAQDGRYAALIRRDHPAPAAT ->ARGMiner~~~mdtF~~~WP_001564731.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001564731.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQFPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~emrB~~~WP_053881109.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_053881109.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTITFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQQEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~sul2~~~WP_001402002.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_001402002.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMTEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~hmrM~~~WP_021565224.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_021565224.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILLRASR ->ARGMiner~~~mdtH~~~SBX10933.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~SBX10933.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDTAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAAGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~oprA~~~AIV62560.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline unknown +>ARGMiner~~~oprA~~~AIV62560.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline~~~unknown MKAMMKPRARRRSARAGRRPNGPRAWPLAVAAALVAGCTLAPRYERPAAPVPAHYWSTAAGAPREAGPAAAGGPRAMPDARGGDAGRDARDARLDDWRAYFTDPALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFSGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGADAERLRLAQRRYDSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDGCA ->ARGMiner~~~arnA~~~WP_001739115.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001739115.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHNPASVLHNMVRAVADPWPGAFTYVGNQKFTVWSSRVHPHASKAQPGNVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mepA~~~WP_061745579.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_061745579.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVYKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGSGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLDLTSNYLKVMLLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIVYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMSNKGRMKDVIKAVIMSISVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGVVIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLHDRLTVDTSELIEG ->ARGMiner~~~mecA~~~WP_064132501.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_064132501.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGPEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~mdtP~~~WP_001398139.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001398139.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFPQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~arnA~~~WP_021577738.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021577738.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFGYAGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQASDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~acrB~~~WP_050395925.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_050395925.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~TEM-1~~~ANG10941.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG10941.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLVDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~acrE~~~WP_023342267.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_023342267.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNQQPHAQAPQVTIHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGVDPQAILVPQQGVTRTPRGDASVMLVNDKNQVETREVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEAERAAPAVQ ->ARGMiner~~~sdiA~~~WP_001648918.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001648918.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDMLFHETQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~cphA2~~~WP_017780219.1~~~carbapenem unknown +>ARGMiner~~~cphA2~~~WP_017780219.1~~~carbapenem~~~unknown MKGWIKCGLAGALVLMASFWGGSVRAAGMSLTQVSGPVYMVEDNYYVKENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRQPVLEVINTNYHTDRAGGNAYWKSIGAKVVATRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHEGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAAPQS ->ARGMiner~~~macA~~~WP_001488620.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001488620.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQGHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtB~~~WP_001197912.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_001197912.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTETLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQISDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALMIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~tolC~~~WP_059268094.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_059268094.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQALILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLTLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTRGASAGQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPAVQQASARTNTSNGHNPFRN ->ARGMiner~~~sdiA~~~WP_008804217.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_008804217.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSVAAGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWEDGLFRDAAALWDGARDHGLKKGVTQCLTLPNHAQGFLSVSANNRLPGSYPDDELEMRLRMLTELSLLALLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~TEM-1~~~ANG24830.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG24830.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLVCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macB~~~WP_063163394.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063163394.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVVGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEPLPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSGLAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_042508254.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_042508254.1~~~macrolide~~~unknown MVIFIVGSLPPWMGQGLAVESASDLWDWRIQRIGNMAKMMKWAAVAAVAAAAVWGGWSYLKPEPQASYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIIQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMKDSMNTEVKSGLKEGDQVVISEMTAAEQQESSERAMGGPPR ->ARGMiner~~~tolC~~~EXA95497.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EXA95497.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYR ->ARGMiner~~~Escherichia coli ampC~~~WP_001308293.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001308293.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLTHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIENMARWVQSNLKPLDINEKTLQQGIQLAQSRYWKTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYAAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~tolC~~~WP_045888201.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045888201.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSSTPAAQPAAARTTTSASKGNNPFRN ->ARGMiner~~~nalC~~~WP_024915411.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_024915411.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDVSPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSTDQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHVDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHVSQRPAQG ->ARGMiner~~~mdtB~~~CP001918.1.gene3440.p01~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~CP001918.1.gene3440.p01~~~aminocoumarin~~~unknown MQVMPPGATGGPSRLFILRPVATTLLMVAILLAGIIGYRFLPVSALPEVDYPTIQVVTLYPGASPDVVTSAITAPLERQFGQMSGLKQMSSQSSGGASVVTLQFQLSLSLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSSAMPMTQVEDMVETRVAQKISQVSGVGLVTLAGGQRPAVRVKLNAQAIAALGLTSETIRTAISNANVNSAKGSLDGPTRAVTLSANDQMQSADEYRQLIIAYQNGAPVRLGDVATVEQGAENSWLGAWANKQQAIVMNVQRQPGANIIETADSIRTMLPQLIESLPKSVTVKVLSDRTTNIRASVTDTQFELMLAIALVVMIIYLFLRNVPATIIPAVAVPLSLVGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAVTLAVAILISAVVSLTLTPMMCARMLSHESLRKQNRFSRASERMFEQIIAAYGRVLAKVLNHPWATLGVALGTLALSVILWIVIPKGFFPIQDNGIIQGTLQAPQSVSFASMAQRQQQVSDIIMKDPAVESLTAYVGVDGTNPSLNSARLQINLKPLDDRDDRVNAVIERLQTAVARVPGIELYLQPIQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLVNKLKALPQLSDVSSDWQDKGLAAYVNVNRDTASRLGITMSDVDNALYNAFGQRLISTIYTQANQYRVVLEHNTDNTPGLAALDSVRLTSKDGGIVPLSAIASVEERYTPLSINHLDQFPSTTISFNVPDNYSLGEAVEAILGAEKELNFPSDIQTQFQGSTLAFQAALGNTIWLIVAAVVAMYIVLGVLYESFIHPITILSTLPTAGVGALLALMLAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIFQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLLVSQVLTLFTTPVIYLLFDQLALWTKSRFPKREEEA ->ARGMiner~~~BLA1~~~WP_061686617.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_061686617.1~~~penam~~~unknown MILKNKRLLKIGICVGILGLSLTSLEAFTGGSLQVEAKEKNGQIKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHQIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAVATNLKDLTVGNALPHQKRNILTDWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDVAIVWPPNRSPIIIAILSSKDEKEAAYDNQLIAEAAEVVVNAFK ->ARGMiner~~~mdtH~~~WP_036962270.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_036962270.1~~~fluoroquinolone~~~unknown MAQVSRARSLGKYFLLLDNMLVVLGFFVVFPLISIRFVEQLGWAAVVVGFALGLRQFVQQGFGIFGGAIADRFGAKPLIIIGMLLRAAGFVLMALAYDPWVLWLSCVLSALGGTLFDPPRTALVIKLTRPYERGRFYSLLFMQDSAGAVIGALIGSWLLQYDFHYVCWAGAAVFVIAALLNAWLLPAYRISTIKAPIRDGMHRVLKDKRFVTYVVTLSGYFMLSVQVMLMFPIAVNELAGTPTAVKWMYAIEAAISLTLLYPIARWSEKHFRLEQRLMAGLFLMSLSMFPIGMTTSVNILFVLIGIFYLGTVTADPARETLSASLADPRARGSYMGFSRLGLALGGAVGYTGGGWMYDIGHQWNIPQLPWFLLGTIGFITLWTLHRQFNRKKIETVMLSGQ ->ARGMiner~~~MexF~~~WP_047925316.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_047925316.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPIVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~mdtP~~~WP_000610602.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610602.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGSGYQAGPVVEKK ->ARGMiner~~~TEM-1~~~ANG15066.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG15066.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSVQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRVHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~sul1~~~WP_017190637.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_017190637.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQVHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~BLA1~~~WP_064832898.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_064832898.1~~~penam~~~unknown MCVGILGLSITSLVTFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGXLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTAGNALPNHKRNILTKWMKGNATGDKLIRAGVPTNWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~lsaB~~~WP_057765492.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_057765492.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGSIISSVVFNYFPYPVSDKDKYTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDIDARKVVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEAANQRLQKDIGRLKQSSKRTAGWSSQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLESLEFQANEWIVLADVSVKYDDQPVNKPISFNVEQGDRIVLDGKNGSGKSSILKLILGHPIQHTGTIQLGSGLVISYVQQDTSHLKGLLSDFIELHEIDETLFKSILRKMDFDRIQFEKDLSHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQRFNPTMVFVEHDQAFQQTVATKTISL ->ARGMiner~~~macA~~~WP_065184564.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_065184564.1~~~macrolide~~~unknown MPKIKPIKLLIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~cmeA~~~WP_002869744.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002869744.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIENKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDYTEIKAPFDGTIGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~emrB~~~WP_024246028.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_024246028.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGSSAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~FosA2~~~WP_023293384.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_023293384.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVTEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~macB~~~WP_016244456.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_016244456.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRSHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTDTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mgrA~~~WP_046837142.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_046837142.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEELNLKDQLCFSLYNAQRQVNRYYSNNVFKQYKLTYPQFLVLTILWSQSPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEAIRPELDTACQDVAVASSLSTDETKELNRLLSKVISSFTEEKIK ->ARGMiner~~~mdtF~~~WP_032208626.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032208626.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKQAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVIGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mecR1~~~EYN93032.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~EYN93032.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMLNLIETSVLLRILYVVYRF ->ARGMiner~~~sdiA~~~CZX54085.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~CZX54085.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLYVLGYIHHNNDYLYQRLHSVSMRDIDFFTWRRECFLRFQEMTCAEEVYQELQRQTQALEFDYYALCVRHPVPFTRPRTSVHSSYPQQWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFTDAQQLWDGARDHGLRKGITQCLMMPNHALGFLSVSCTSLQAHSISSEEIELRLQMLVQMALTTLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKIQIACYAAATGLI ->ARGMiner~~~FosB~~~WP_003348511.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_003348511.1~~~fosfomycin~~~unknown MQIGGINHLTFSVSDLEKSIHFYQNVFGAKLLVKGRNLAYFALNGIWLALNVQRDIPRKEIQHSYTHIAFSIQEEDIDYVVQKLKEFGVNILPGRDRDGRDKRSVYFTDPDGHKFEFHTGSLDDRLSYYRSEKHHMQFFD ->ARGMiner~~~macA~~~WP_023221577.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023221577.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGVTP ->ARGMiner~~~macA~~~WP_014228619.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_014228619.1~~~macrolide~~~unknown MKLNGKRRKVWWLLAIVVLALAVWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALKKVDVGAQVSGQLKTLRVEIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERKLSAATLARQQQLAQRQLVSRQDLDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLSEVKNVITIPLSALGEAIGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVKGLDEGDEVIIGEGAAGAAK ->ARGMiner~~~acrE~~~WP_032425292.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_032425292.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNQQPHAQAPQVTVHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGDEAKAEAAADIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGVDPQAILVPQQGVTRTLRGDASVMLVNDKNQVETREVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEVERAAPAVQ ->ARGMiner~~~tolC~~~WP_032715841.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032715841.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDRNSNVTSGSLQLTQTLFDMSKWRALTLQEKTAGIQDVTYQTDQQKLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASTSVSNNRYSGSKNISPDADIGQNTVGLNFTLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPTSPDSVAPENPQQDAAADGYANTASAQPAAARTTKTSGSNPFSH ->ARGMiner~~~msrC~~~WP_002302681.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrC~~~WP_002302681.1~~~macrolide;streptogramin~~~unknown MENLAVNITNLQVSFGNQLELSIDSLRVYQQDRIGIIGENGVGKSTLLKLIAGELFPDHGKIQTEITFNYLPQLTYLAEAKDLNLELASHFQLRLEETSERKWSGGEERKIELIRLLSSYEQGMLLDEPTTHLDRKSIDRLIEELRYYYGTLVFVSHDRYFLDELASKIWEVKDGEIREFSGNYSAYLTQKELEKKTQLREAESIMKEKKRLEKSIQEKKKQAEKLEKVSSKKKKQQIRLDRLSSSKQKDSVQKAIQKNAKTLERRLQKIGETTKPQQMKQIRFPVPKSLELHSRYPIMGQNVQLERSGRTLLVNGDFQFSLGKKIAIVGENGSGKTTLLEHIRKQGEGILLSPKVSFQVYQQKGYQMTSEESIIRFVMRQTEFSESLVRSLLNHLGFAQETLTKPLCTLSGGEATRLTIALLFTKPSNVLLLDEPTNFIDMATIEALEKLMQIYPGTILFTSHDSYFVERTADEVYEIKGQKIKKVLTRNF ->ARGMiner~~~FosB~~~WP_043021378.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_043021378.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSNLEKSIEFYEQVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHHRLKNLNVNILNGRPRDKQDHKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~mgrA~~~WP_053024932.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_053024932.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLSILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTEKSEKIKPELSDASQKVANASSLSNDEVHELNRLLGKVINAFTESK ->ARGMiner~~~sul1~~~WP_004102834.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_004102834.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQIHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~mdtH~~~WP_032732770.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032732770.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSLLMMQDSGGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRYRLEHRLMAGLLVMMLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGFITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~tolC~~~WP_047023594.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047023594.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDNFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDSNQGQNKVGLSFSLPLYQGGLVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLLALNNALGKPVSTSPDSVAPENPEQVAAVDNFNANSNAPAAQPAAARTNTGSNPFRH ->ARGMiner~~~tolC~~~WP_008502973.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_008502973.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGTTPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~Bacillus subtilis mprF~~~WP_023180168.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_023180168.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFISMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGEFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~macB~~~WP_021540861.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_021540861.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYVGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~bcr-1~~~WP_000085986.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_000085986.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQMLFLAAGITLAVSPSHAISLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVATHEEIHHHA ->ARGMiner~~~mdtF~~~WP_032301145.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032301145.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGSMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mdtN~~~WP_064670657.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_064670657.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVIIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~macB~~~WP_064557480.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064557480.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDEQVEVLKGINITIEAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYTVAGQDVALLDSDALATLRREHFGFIFQRYHLLSHLNATQNVEVPAVYAGTARVYRQQRARALLMRLGLAERVDYLPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMATLKQLREQGHTVIIVTHDPTIAAQAQRVIEIRDGEIISNPPSVVQQAGAPKEVKPQPVNSAFQQTLSSFREAFTMAWLALAANKMRTLLTMLGIIIGIASVVSIVIVGDAAKQMVLQDIRSIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAISQQPWVSSATPALSSNLRLRYGNVDAAASVNGVSSQYFNVYGMTFSEGNTFNEEQLAGRAQVVVLDSNTKRQLFPDKAKVVGEIVLVGNMPATVIGVAQEKQSMFGSSKILRVWLPYNTMAGRVMGQSWLNSITVRVKEGYNSHEAEQQLNRLLQLRHGKKDFFTYNMDGLLKTAEKTTRTLQMFLTLVAVISLLVGGIGVMNIMLVSVTERTKEIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLLIAFTLQLVLPGWEIGFSPMALLTAFACSTATGIIFGWLPARNAARLNPIDALARE ->ARGMiner~~~macA~~~WP_054179159.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_054179159.1~~~macrolide~~~unknown MNLKGKSKKVYLLLAVLVVIAGIWLWRTLNAPLPQYQTLIVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVSIGDKVKKDQLLGVIDPEQAQNQIKEVDATLMELRAQLRQAQAEQKLAQVTLARQQKLAKTNLISQQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLEMTAQVHIQLSGVKNVMTIPLSALGDAVGDNRYNVRLLRNGEVKDREVSIGARNDTEVEITKGLEEGDEVITGEGKPGAAK ->ARGMiner~~~macB~~~WP_000188204.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188204.1~~~macrolide~~~unknown MTPLLELKDIRRSYPASDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVIERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB~~~CUB10018.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~CUB10018.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQKDFERLLRRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRADKPHMTFY ->ARGMiner~~~macA~~~WP_032215246.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032215246.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTMIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macA~~~WP_050895663.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050895663.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATAKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEMTADEQQESSERAMGGPPRR ->ARGMiner~~~acrB~~~WP_038874987.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_038874987.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVIAIIVMLAGVLAIMKLPIAQYPTIAPPAVRISATYPGADAKTVQDTVTQIIEQNMNGIDNLMYMSSTSDSSGSVTITLTFDSGTDPDIAQVQVQNKLSLATPLLPQEVQQQGLKVEKSSSSFLMVAGFVSDDPNMTQDDIADYVASNIKDPISRSSGVGEVQLFGAQYAMRIWLDPNKLNNYQLTTTDVTSAITEQNNQIAAGQLGGLPPVPGQQLNASIIAQTRLTSPEEFGKILLKVNTDGSQVRLRDVAHIERGAESYAVTARYNGKPAAGLGIKLATGANALNTAKGVKNELAKMEPFFPQGMKVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIPKGDHGVKTGFFGWFNRMFEKSTHHYTDSVGNILRSTGRYLIIYLLIVVGMGLLFLRLPSSFLPDEDQGILLTMVQLPAGATESRTTKVLEEVSDYFLNKEKDNVVSVFTVAGFGFNGNGQNNGLAFVSLKDWGERPGAVNKVEAIAGRAMGAFSQIKEGLVFPFNLPAIIELGTATGFDFELIDQGGLGHEKLTEARNQLLGMVAQHPDVLVGVRPNGLEDTPQFKLIVDQEKAKALGVSITTINSTLSTALGGSYVNDFIDRGRVKKVYVQAEAPFRMLPEDINKWYVRGTSGQMVPFSAFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMNLMEQLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISILVVFLCLAALYESWSVPFSVMLVLPLGVIGALLAATMRGMNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSKKNEDLEHSHPVEHH ->ARGMiner~~~efmA~~~WP_014025044.1~~~macrolide;fluoroquinolone unknown +>ARGMiner~~~efmA~~~WP_014025044.1~~~macrolide;fluoroquinolone~~~unknown MNTSIPPNWRKNFYLFLIGQLLTGVTSMIVQYAIIWYLTLETGEESVLAIATLVGMLPMAILSPFVGPFIDRMNKKFLLIFYDAIVAVIALGLFIYGINNDAYPLWMVFVTIGIRAVAQTAQMPTVQSIMPTMVPEAEITRVNGQFGIIQSLIFIVSPGIGAFMVAALPIHWVILLDVIGFILGAGMLLLVKIPEVASQGEKISVVKDALEGFNILRENKPMWKMTLIGALFMLLFMPAMSLYPLVTTKYFGGTIVHAGWVEVLFAAAMLIGSFAVGIFGKTKDRMPWIIAAYLIVGLSIGGSGFLPGNMNGFWIFLVLNVFAGIVGQIYTTMNMAITQQSFEAQYLGRVMGIVSALMSIAGPVGLIFAAPVAESIGVQNMLVIAGFGGILAACLLYFTPSVRNYDKFLQRKLENEGQ ->ARGMiner~~~Escherichia coli ampC~~~WP_060773934.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_060773934.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPPEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLTPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAIKPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~cmeA~~~WP_002875807.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002875807.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIVNKLFKAGDKVKKGQTLFIIEQDKFKASFDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTIGDALINIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQDQNDVYVLLVKNGKVEKSFVHISYQNNEYAIIDKGLQNGDKIILDNFKKIHVGSNVQEIGSK ->ARGMiner~~~emrA~~~WP_063144178.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_063144178.1~~~fluoroquinolone~~~unknown MSANAESTTPQQPGNKKGKRKSALLLLTLLFIIIAVAYGIYWFLVLRHAEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAQTALASSVRQTRQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGTANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMVLGTSLENQPAVQQSATEVRNAWLALQRTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPADNLWVDANFKETQLAHMRIGQTATVVSDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLADHPLRIGLSTLVTVDTANRDGQILASQVRSSPAYESNAREISLDPVNKLIDDIVKANAG ->ARGMiner~~~macA~~~WP_044424707.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_044424707.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITTEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEAAKKSQGNGARLQRLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~tetX~~~gi:326634054:pdb:2XDO:A~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~gi:326634054:pdb:2XDO:A~~~glycylcycline;tetracycline~~~unknown MGSSHHHHHHSSGLVPRGSHMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~macB~~~WP_025237288.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_025237288.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGVERKRRLARAQELLQRLGLEERVDYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPATENMNVVAGTESIVKMSSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQRQPWVASATPAVSQNLRLRYSNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNSRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFALQLFLPGWEIGFSPLALLLAFLCSTVTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mecA~~~WP_031766030.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031766030.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGIWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETKSRNYPLEKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~macB~~~YP_002292212~~~macrolide unknown +>ARGMiner~~~macB~~~YP_002292212~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrB~~~WP_015875112.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_015875112.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSSEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGGAH ->ARGMiner~~~sdiA~~~WP_032446242.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032446242.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMATGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSPYQAENYFAIDPVLRPENFMRGHLPWNDSLFRDAPALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPGGYPEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~mdtG~~~WP_032436166.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032436166.1~~~fosfomycin~~~unknown MSSAETPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIVALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~FosA2~~~WP_032649979.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_032649979.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQFVPPQESDYTHYAFTVAEQDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~OXA-10~~~gi:151567624:pdb:2HP6:A~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-10~~~gi:151567624:pdb:2HP6:A~~~cephalosporin;penam~~~unknown MGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFKIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFALEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~vanRO~~~WP_054681472.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_054681472.1~~~glycopeptide~~~unknown MRVLIVEDEPFMAEAIRDGLRLEAIAADIAGDGDTALELLSINRYDIAVLDRDIPGPSGDEVAESIVASGSGTPILMLTAADRLDDKASGFGLGADDYLTKPFELRELVLRIRALARRRAHSRPPVREIAGLRLDPFRREVYRDGRYVALTRKQFAVLEVLVEAEGGVISAEHLLERAWDENADPFTNAVRITVSALRKRLGEPWLIATVPGVGYRIDTEPIAGGGIAGG ->ARGMiner~~~mdtF~~~WP_032268080.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032268080.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPKIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~Erm(41)~~~ACG70217.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~ACG70217.1~~~macrolide;lincosamide;streptogramin~~~unknown MSGQRSRRQRGWYPLTDDWAARIVAESGVRSGEFVVDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRVAEADLLAFRWPRRPFRVVASPPYQVTSALIRSLLTPESRLLAADLVLQRGAVHKHAKRAPVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~MexB~~~WP_045061034.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_045061034.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSRFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMNKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRVWLDPAKLNNFNLTPVDVKAAIAAQNIQVSSGQLGGLPAAPDQQLNATIIGKTRLQTAEQFNKILLKVNKDGSQVRLSDVADVGLGGENYSINAQFNGAPASGLAVKLANGANALDTAKALRNTIETLKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKPIPKGEHGTPKRGFFGWFNRSFDRGVKSYERGVGNMLTHKAPYLLAYVIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAQRTQVVIDEMREFLLRPSKDGGEGDAVASVFTVNGFNFAGRGQSSGLAFIMLKPWGERNADNSVFNLAARAQKHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKVLSQVRPNGLNDEPQYQLEIDDEKASALGLTLSDINTTLSIALGSSYVNDFIDRGRVKKVYVQGQPDSRMSPEDIKKWYVRNSEGTMVPFSAFAKGKWIYGAPKLSRYNGVEAMEILGAPAPGYSTGEAMAEVEALAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALLATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGKSLREAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSIGQRKKTDPDDTTETPKEAGQ ->ARGMiner~~~tolC~~~CDU91822.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CDU91822.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNAAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~smeE~~~WP_059065164.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_059065164.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGGLALFKLPISMYPNVAPPAVEISASYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIAFVSEDGSMDANDIADYVGSNVVDRLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYGMSVPEVVAAVKAQNAQVAIGQLGGAPSVKGQQLNATINAQSRLQTPEQFRNIIVRGAQDGAELRLGDVARVELGAESYDFVTRYNGQPASGLAVTLATGANALDTAAGVDETLKELEGFFPAGLKAEIPYDTTPFVRVSIKGVVQTLLEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGVLSVLGFSVNMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMSQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHRGFAGRFFNGFNRGFDRTSESYQRGVRGILHRPWRFMGIVAALFLLMGVLFVRLPSSFLPNEDQGVLMALVQAPVGATQERTLESIAALEKHFMENEKGAVESVFSVQGFSFAGMGQNAGMAFVKLKDWKDRDADNGVMPITGRAMAALGQIKDAFIFAFPPPAIPELGTASGYTFFLKDNSGQGHEALLAARNQLLGLAAGSKKLANVRPNGQEDTPQFRIDIDVAKASSLGLSIDQVNTTLATAWGSSYIDDFIDRGRVKRVFVQADQPFRMVPEDFDLWSVKNDKGEMVPFSAFASKHWDYGSPRLERYNGVSATEIQGEPAPGVASGDAMAEIEQLAKQLPAGFGVEWTAMSYQERQAGSQTPLLYTLSLMIVFLCLAALYESWSVPTSVLLVAPLGILGAVLANTFRGMERDIYFQVAMLTTVGLTSKNAILIVEFAKEHLEKGTGLIEATMHAVRDRLRPIIMTSLAFGMGVLPLAISSGAGSGAKQAIGTGVLGGMVVGTVLGVFFVPLFFVVVQRVFKKRNATS ->ARGMiner~~~CTX-M-3~~~AIC64416.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64416.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGGKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~macB~~~WP_033816469.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_033816469.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVPGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtE~~~WP_001685632.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001685632.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEFASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~macB~~~WP_032419782.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032419782.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~PmpM~~~WP_002229273.1~~~ unknown +>ARGMiner~~~PmpM~~~WP_002229273.1~~~~~~unknown MLLDLNRFSFSVFLKEVRLLTALALPMLLAQVAQVGIGFVDTVMAGGAGKEDLAAVALGSSAFATVYITFMGIMAALNPMIAQLYGAGKTDEVGETGRQGIWFGLFLGVFGMVLMWAAITPFRNWLTLSDYVEGTMAQYMLFTSLAMPAAMVHRALHAYASSLNRPRLIMLVSFAAFVLNVPLNYIFVYGKFGMPALGGAGCGLATMAVFWFSALALWIYIAKENFFRPFGLTAKFGKPDWAVFKQIWKIGAPIGLSYFLEASAFSFIVFLIAPFGEDYVAAQQVGISLSGILYMIPQSVGSAGTVRIGFSLGRREFSRARYISGVSLVLGWMLAVITVLSLVLFRSPLVSMYNNDPAVLSIAATVLLFAGLFQPADFTQCIASYALRGYKVTKVPMFIHAAAFWGCGLLPGYLLAYRFDMGIYGFWTALIASLTIAAIALVWCLELCSREMVRSHKAV ->ARGMiner~~~acrB~~~WP_001132502.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132502.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~mexH~~~WP_033950460.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_033950460.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGSPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~ykkC~~~WP_003327390.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~WP_003327390.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MKWGLVILAAFFEVIWVVGLKHADSALTWTGTAIGIIVSFYLLMKATNSLPVGTVYAVFTGLGTAGTVLCEIILFHGEVKFAKLALIGVLLIGVIGLKLVTQDQPDKEGSKA ->ARGMiner~~~FosA2~~~WP_038981720.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_038981720.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARRNVPPQESDYTHYAFTVAEEDFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~adeG~~~WP_041195554.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_041195554.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDGAAAATPVAGGVQRPRAAQGNARA ->ARGMiner~~~novA~~~WP_030582300.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030582300.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPDAHAADRPPAEVRRILRLFHPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQGFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLTLQSGATAVSLGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEKPVRLEKIRGEIAFENVDFSYDEKNGPTLTGIDVTVPAGAGLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEDAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQEAIDALAAGRTTLTIAHRLSTVRDADQIVVLEDGRAAERGTHEELLHRNGRYAALIRRDSHPAPVPAL ->ARGMiner~~~emrB~~~WP_042313106.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_042313106.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSMATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFMWSTIAFAIASWACGMSNSLNMLIFFRVVQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGAAVVLMTLQTLRGRETKTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIIILTVVAVVAISFLIVWELTDEHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPYNPNAQAMYNQLQGLGMSKEQASGWLAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~y56 beta-lactamase~~~WP_049615820.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_049615820.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITLPLVNFALPAWAATLPTSLDKQLAELEQQSQGLLGITVINTGNGRKIQYRGTQRFPFCSTFKFILAAAVLNKSASQPKLLDKHLHYHERDLLSYAPITRKNLAHGMSVSQLCAATVQYSDNTAANLLIQELGGVESVNQFARSIGDQTFRLDRLEPELNSALPNDPRDTTTPSAMAASMNALVLGDALPAAQREQLAVWLKGNTTGGETIRAGAPAEWIVGDKTGTGDYGTTNDIAVLWPTKAAPIVLVIYFTQREKDAKPRRDVLAAATKILLSHLP ->ARGMiner~~~novA~~~WP_045936958.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_045936958.1~~~aminocoumarin~~~unknown MPHDEPKWTPSKDPLDPTRPAPAEQPRELRRIVALFRPYRGRLAVVGLLVCASSLVGVASPFLLREILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTLVSLLLLPVFVWISRRVGHERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTSAFSAESEKLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQTGAPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERAEPVRLDRAKGEVALEDVHFTYDSENGPTLSGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVSGGRVAIDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEITEAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDHLSAGRTTITIAHRLSTVRDADQIVVLDGGRIAERGTHEELLKADGRYAALVRRDRDAALAPEPPEDAQLAPVNV ->ARGMiner~~~macA~~~WP_047653122.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047653122.1~~~macrolide~~~unknown MNLKGKRRTWFLLLAVAVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~macB~~~WP_000188186.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188186.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLSGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~arnA~~~WP_023216189.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_023216189.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRIACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~macA~~~WP_032716971.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032716971.1~~~macrolide~~~unknown MKLNGKRRKVWWLLVVVVLALAFWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAQNQIKEVDATLMELRAQLNQARAERQLAAVTLARQQQLAQRQLVSRQELDTAATDLAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGSLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQMAEVKNVITIPLSALGDAIGDNRYHVRLLRTGEVKEREVTIGARNDTDVAVVKGLEEGDEVIVGESRSGTTK ->ARGMiner~~~y56 beta-lactamase~~~WP_050088272.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050088272.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITVPLLNFALPSWAVGAKMSLDNQLAELEKSSNGRLGLALINTGKGTKIHYRGGQRFPFCSTFKLMLAAAVLGRSQSQPNLLSKHITYHESDLLAYAPITRKHLAQGMTVAELCAATIQYSDNTAANLLIKQLGGLAMVNQFARSIGDQTFRLDRWEPELNTALPNDPRDTTTPAAMAASVNKLVLGDALAAPQREQLALWLKGNTTGAATIRAGAPTDWVIGDKTGSGDYGTTNDVAVLWPVKGAPLVLVVYFTQLKKEAEPRRDVLAAATKIVLAHLS ->ARGMiner~~~mdtN~~~WP_001775069.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_001775069.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEIATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mdtH~~~WP_040227333.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_040227333.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLTLWWQFSPKRSASGMLEPRT ->ARGMiner~~~mdtH~~~WP_064184126.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064184126.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILIMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~tolC~~~WP_001988699.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001988699.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKIKLMLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMSAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~mdtP~~~WP_000610570.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610570.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGFDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~Escherichia coli ampC~~~WP_045145465.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_045145465.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPAPKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLTHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKAITPPAPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~CARB-3~~~KMX40042.1~~~penam unknown +>ARGMiner~~~CARB-3~~~KMX40042.1~~~penam~~~unknown MSSALQQGRFCYSRVLPPECRFSAAAADVIPSLQRRHYEDSTARRAGSGQALFGNHPTTGSTRHLTHRLGTLWPGYMKFLLAFSLLIPSVVFASSSKFQQVEQDVKAIEVSLSARIGVSVLDTQNGEYWDYNGNQRFPLTSTFKTIACAKLLYDAEQGKVNPNSTVEIKKADLVTYSPVIEKQVGQAITLDDACFATMTTSDNTAANIILSAVGGPKGVTDFLRQIGDKETRLDRIEPDLNEGKLGDLRDTTTPKAIASTLNKFLFGSALSEMNQKKLESWMVNNQVTGNLLRSVLPAGWNIADRSGAGGFGARSITAVVWSEHQAPIIVSIYLAQTQASMAERNDAIVKIGHSIFDVYTSQSR ->ARGMiner~~~macB~~~WP_015570984.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_015570984.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexB~~~WP_054046871.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_054046871.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSQDGSMSKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPGDVSAAISAQNVQISSGQLGGLPAMPGQQLNATIIGKTRLQTAEQFKAILLKVNKDGSQVRVGDVADVGLGGENSSIAAQFNGKPASGLAVKLANGANALDTAKALRKTIDDLKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRNFDRGLRSYERGVGNMLKHKIPYLLAYLLIIVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAERTQVVIDEMRSYLLEKESSAVASVFTVNGFNFAGRGQSSGLAFIMLKPWGERNADNSVFALAGRAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMAARNQFLGMAAQSKVLYQVRPNGLNDEPQYQLEIDDEKASALGLTLSDINSTLSISFGSSYVNDFIDRGRVKKVYVQGKPGSRMSPEDLKKWYVRNSAGTMVPFSAFAKGEWVYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLASKNAILIVEFAKALHEQGKSLREAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATILAIFWVPLFFVTVSSIGQRNKADEDDANETPKEAGQ ->ARGMiner~~~FosA2~~~WP_023305374.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_023305374.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~blaF~~~WP_064850904.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_064850904.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGGAAPTFAAPIDDQLAELERRDNVLIGLYAANLQSGRRITHRPDEMFAMCSTFKGYAAARVLQMAEHGEISLENRVFVDADALVPNSPVTETRAGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAILAGEALSPPQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPDGQRLLLVMMTRSQAHDPKAENLRPLIGELTALVLPSLL ->ARGMiner~~~ykkC~~~WP_007407236.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~WP_007407236.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MKWGLVVIAAVFEVVWVTGLKHADSALAWSGTITGIIISFYLLIKATDSLPVGTVYAVFTGLGTAGTVLSEILLFKEQADPVKIVLIGVLLIGVIGLKLVTQDKPETKEEKA ->ARGMiner~~~adeG~~~WP_024433603.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_024433603.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVVILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNHAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQLPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~macB~~~WP_048212057.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048212057.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEGQVEVLKDVSLRIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPPQKPTAGRDIDEPTVTTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLVAIQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDSNTRRQLFPSKAKVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAQAEQQLTRLLSLRHGKKDFFTWNMDGILKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexF~~~WP_003234928.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_003234928.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHVTSPDQRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAQPAPTDTSFQLSVNTQGRLVTEEEFENIVIRAGDNGEITRLKDVARVELGSSQYALRSLIDNQPAVAIPIFQRPGSNAIDISNEVRAKMAELKKSFPEGMDYRIAYDPTVFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLDPFPATEKAMSEVTGPIIATALVLCAVFIPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLRSHDAPKDRFSRVLDKLFGGWLFRPFNRFFEKASHGYVGTVRRVIRGSGIALFLYAGLMVLTWFGFAHTPTGFVPAQDKQYLVAFAQLPDAASLDRTEAVIKRMSDIALKQPGVESAIAFPGLSINGFTNSPNNGIVFVTLKPFDERKDASLSAGAIAGALNGQYAGIQEAYMAIFPPPPVQGLGTIGGFRLQVEDRGNLGYDELYKEVQNIIAKSRGVPELFGLFTSYTVNVPQVDAAIDREKAKTHGVAISDIFDTLQIYLGSLYANDFNRFGRTYQVNVQAEQQFRQDEDQIGQLKVRNNKGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGNAAPGYSSGQAQAAIEKLLKDELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIISGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRYVERSQARKAAKALKLETQP ->ARGMiner~~~TEM-1~~~ANG32125.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG32125.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAVPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEVGASLIKHW ->ARGMiner~~~macB~~~WP_045611431.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045611431.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGSDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSLLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_062876326.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_062876326.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCVAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~lsaB~~~WP_000061778.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061778.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFLNLLLGNYEYSGKILASVEFNYFPYPVADKNKFTHEILEEICPQAEGWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGDYSSWKLNFDRQQEHEQATNDRLQKDIGRLKQSSKRSASWSHDVGASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKVIEEKSKLLKNVEKTESLKLEALKFKSNELVILADVSVKYDDQVVNEPISFIVEQDDRIVLDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDYIEEQKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~macB~~~WP_032294786.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032294786.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRGLAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtP~~~WP_000610577.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610577.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTRNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATETRLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~OpmH~~~WP_014843521.1~~~triclosan unknown +>ARGMiner~~~OpmH~~~WP_014843521.1~~~triclosan~~~unknown MRKSLFCWILTLGVSTHVFATDLMDIYQQALENDTIFKEAYDTYMSSTEAIPQARAALYPQVGLGSQAGRNYQDAVAGAFSANQYYGSYLWQVNASQALFNYQAWAKVAQAKASVKAAQATFNDAAQNLILRTAKAYFDVLFAKDTLDFAEAKKRANKRQYDQATQRFQVGLDAITSVYEAKAAYDQSIATVIAARNNQINQSENLRKLTNHVYETLAPLKNSKIPLVKPEPNDVNQWIDTGLKQNYKLYAAKYNLEVAKDNVKAISAGNWPVFSLQSNASQVHNNASGNNVFIPSKQTQANIAIAMNFPVFQGGLVQAQTRQAQYGFQSTSEKLEQTYRDVIVNSRIAFNTITDGISKVKADRQTVISVQNSLQSTEAQFEVGTRTMVDVVNAQQRLFEAQEQLARDQYDLINSILTLKYLAGTLNVNDLEQINSWLATTRVNGFSPVDNKTSK ->ARGMiner~~~mdtM~~~WP_001646232.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001646232.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MAGLKATHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVSFSAKSVLRDFRNVFCNRQFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSTSLNMVILMVMSVSVEIGRGLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~TEM-1~~~ANG25658.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG25658.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRLVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtH~~~CP001918.1.gene2596.p01~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~CP001918.1.gene2596.p01~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTTLVVKLIRPQYRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGALLFILCALFNALFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALSQPELPWVMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~mefA~~~WP_046391446.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_046391446.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGSVLTIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGDRVQSLEPNFIREMKEGIVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMDYFNGTPVHISITEISFASGMLAGGLLLGRLGSFEKRVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFAERIGVNHWFLLSGVLIIGIAIACPMITEVRKLDLK ->ARGMiner~~~adeG~~~WP_042584907.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_042584907.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTPRSRIAAAVATLAVVGLGTFGAIRVSASAPEKPAAPLPEVDVATIVPQTVTDWQAYSGRLEAVEKVDVRPQVPGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAGAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVAGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGARDGRKVPVELGLANEAGYSRSGVIDSVDNRLDTSSGTIRVRARFDNADGSLVPGLYARVKVGGSAPHQALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQPGMQHGNRRVIVSGIAAGDRVIVNGTQRVRPGEQVKPHMVPMTGADAPSAPSAPLADNAKPAAPAKANS ->ARGMiner~~~otr(B)~~~WP_014112577.1~~~tetracycline unknown +>ARGMiner~~~otr(B)~~~WP_014112577.1~~~tetracycline~~~unknown MDTTSAKQASTKFVVLGLLLGILMSAMDNTIVATAMGSIVADLGSFDKFAWVTASYMVAVMAGMPIYGKLSDMYGRKRFFLFGLIFFLIGSALCGIAQTMNQLIIYRAIQGIGGGALLPIAFTIIFDLFPPEKRGKMSGMFGAVFGLSSVLGPLLGAIITDSISWHWVFYINVPIGALSLFFIIRYYKESLEHRKQKIDWGGAITLVVSIVCLMFALELGGKTYDWNSIQIIGLFIVFAVFFIAFFIVERKAEEPIISFWMFKNRLFATAQILAFLYGGTFIILAVFIPIFVQAVYGSSATSAGFILTPMMIGSVIGSMIGGIFQTKASFRNLMLISVIAFFIGMLLLSNMTPDTARVWLTVFMMISGFGVGFNFSLLPAASMNDLEPRFRGTANSTNSFLRSFGMTLGVTIFGTVQTNVFTNKLSDAFSGMKGSAGSGAAQNIGDPQEIFQAGTRSQIPEAILNRIIDAMSSSITYVFLLALIPIVLAAVTVLFMGKARVKTTAEMAKKAN ->ARGMiner~~~bacA~~~Q7W866~~~peptide unknown +>ARGMiner~~~bacA~~~Q7W866~~~peptide~~~unknown MTDSTLHLLKAFFLGIVEGLTEFIPVSSTGHLIVIGDWINFASSSGKVFEVVIQFGSILAVMWIFRARLWQLIRGTLTGVRQEVNFTRNLLLAFLPAAVIGAIFIKSIKQVFYHPGVVAVTLVVGGFIMLWVERRAPHTPGDAPGAADDTASDERATAHTLEQISAKQALGVGVAQCVAMIPGVSRSGATIIGGMIAGIQRKTATEFSFFLAMPTMLGAAVYDLYRNIGLLSQHDMSAIAVGFVAAFLSALVVVRAVLRFVANHTYRVFAWYRIALGLVVAAWIYAK ->ARGMiner~~~mdtE~~~WP_021546171.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_021546171.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRKDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYGQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~Escherichia coli ampC~~~WP_042093782.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_042093782.1~~~cephalosporin;penam~~~unknown MLKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGNAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~floR~~~WP_038977101.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_038977101.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSILAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTYFVFFSTAPRVLIGQAEYSDIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLIVSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~mdtN~~~WP_021578218.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_021578218.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYVSADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mdtH~~~WP_053891143.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053891143.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIIVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGCSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERNA ->ARGMiner~~~adeG~~~WP_038760472.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_038760472.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGAFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRAAQGNARA ->ARGMiner~~~OprN~~~EU408352.1.gene1.p01~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~EU408352.1.gene1.p01~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MSQHRSHPAVVIGVALVLTACSSAPPVKKFEPALDSGFANAPSVAAAGEPVGEFWRAFNDPLLDTLVQRALKANTDIRGAAASLREARALNRYADAQLLPSIGLDAGAARIRAPNDVGVVQTNNNYSAGFDVAWEADLFGRLGDARKAAQANVLAGAAGVRAAQVSVTAEVARNYFDLRGLQEQLRVAVASLETQQSALELVDARLDVGRGTALDSERARALVKSTAANIPALEAALIRTRYRLAVLCGLQPTALDAELGPVQPLPGLRAVRLSSIGSPASLLRRRPDIQLAEAQVAAAAAQVGVARSALFPVLSLGGTLGQNSSHFGDLGKGASYVYNLGAQLTWNLIDFGRIRAQISAADARNDAVVIGYEKAVLGALEETEGALASYTRTQRQTELLFEAAQSSEQAAVIARERFKVGSTDFLTVLDAERELLSARDRLAQAQAGAATSLVAVYKALGGGWEVPAQ ->ARGMiner~~~macB~~~WP_023292894.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023292894.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPREYRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSAMAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~arnA~~~WP_044714032.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_044714032.1~~~peptide~~~unknown MKAVVFAYHDMGCQGVQALLDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSDEILSIAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQQRVAISPDDVALTLHHKLCQAARQLLEEALPAIKTGDYAEHPQQEAEATCFGRRTPEDSFLDWNKPAAELHNQVRAVSDPWPGAFSYVGTQKFTVWSSRVCKNDRAAQPGTVISVSPLLIACADGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTAKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAISRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPENEASIQELAEMLLTCFEKHPLRNHFPPFAGFRDVESSSYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~FosB~~~WP_044444224.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_044444224.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNIENSITFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRKEIHQSYTHLAFSVEQKDFERLLHRLEENNVHILQGRERNVRDCGSIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~macB~~~WP_049005458.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049005458.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVDRKKRLERAQALLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIVEIHDGELVSNPPPRHSRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYNDLEAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSARAEQQLEQLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFTLQLFLPGWEIGFSPLAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~L1 beta-lactamase~~~WP_019659811.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_019659811.1~~~cephalosporin~~~unknown MRLCLTTLALAATLAFDVTAADEPLPQLRAYTVDSSWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMAGHLLDNMKLRDVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDSITFPPVSPDRIIMDGEVVNVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLQGNARYPRLVQDYRRSFAMVRGLPCDLLLTPHPGASNWNYAAGSNASENVLSCKAYADAAEKKFDAQLAKETATAR ->ARGMiner~~~arnA~~~WP_001731206.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001731206.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYATDDVNHPLWGERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISLFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~Erm(38)~~~DQ144639.1.orf1.gene.p01~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(38)~~~DQ144639.1.orf1.gene.p01~~~macrolide;lincosamide;streptogramin~~~unknown MSKPHHGRHELGQNFLSDRRVIADIVEIVSHTTGPIIEIGAGDGALTVPLQGLVRPLTAIEVDARRAHRLAQRTAKSTEIVVADFLRHPLPHSPHVVVGNLPFHLTTAILRRLLHGPGWTTAVLVVQWEVARRRAAVGGATMMTAQWWPWFEFALAQKIPASSFRPRPAVDAGLLTITRRNRPLVDSADRARYQALVHRVFTGRGRGMAQILRRLPTPVPRNWLRANGVAPNALPRQLSAAQWAALHEATLAGTERVDRPRDVQHGRAHRRGGGEVDRPAPHHKQTRPLIGQRQPQRGGDPDADPDDQCAAAPVTGHHQGERRDEDQADHQDRPLTGEHLADELSWRHASFDSSASTTLVSRKARVNGPTPPGLGDT ->ARGMiner~~~BLA1~~~WP_046955909.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_046955909.1~~~penam~~~unknown MEQTCFLDGLLCVRIKTDVENLKGMMILKNKRMLKIGICVGILGLSVTSLETFTGGSLQVEAKEKTGQMKHKNQATYKDFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPVHKRNILTGWMKGNATGDKLIRAGVPTDWIVADKSGAGSYGTRNDIAIVCPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKALR ->ARGMiner~~~mepA~~~WP_031862836.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031862836.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMMGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMSAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~BcI~~~WP_009326957.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_009326957.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGESLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARVGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTEWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALK ->ARGMiner~~~sdiA~~~WP_032652533.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032652533.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDLDFFTWRRDCSLRFQELTCAAEVYQELERQTQALEFDYYALCVRHPVPFTRPKISLQTTYPKLWMAQYQSANYFAIDPVLKPENFIQGHLPWTDALFAEAQELWHSAQDHGLRSGITQCLMLPNHALGFLSVSRTRVQEGPLAHEEIELRLQMLVQMALTSLIRFDDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mdtH~~~WP_036918242.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_036918242.1~~~fluoroquinolone~~~unknown MALVTQARTLGKYFLLLDNMLVVLGFFVVFPLISIRFVEQLGWAGVIVGFALGVRQLVQQGLGIFGGAIADRFGAKPMIITGMLLRALGFALMALADEPWILWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLLYDFHLVCWVGAGVFVLAAIFNAWLLPAYRISTTRTPIKEGLKRVILDKRFVQYVLTLTGYFVLSVQVMLMFPIVVNEIAGTPSAVKWMYAIEALLSLTLLYPIARWSEKHFRLEQRLMAGLFLMSISMFPVGITHSLHAIFLIITLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWMYDIGKQLELPELPWFLLGSIGFITLYALHRQFNRKKIETAMLTP ->ARGMiner~~~MexE~~~WP_017517480.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_017517480.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MERNLNTLRIPLALAAVLVLSACGKGQEAAQNMAAPKVSVADVIEQPINEWDEFTGRLEAPESVELRPRVSGYIDRVAFREGALVKKGDLLFQIDPRPFQAEVHRLEAQLQQARANQTRTVNEDARGVRLRATNAISAELADARSAAAAEAKAVVAATQAELDNARLNLSFTQVTAPIDGRVSRAEVTAGNLVNSGQTLLTTLVSTDKVYAYFDADERVYLKYVDLARKGGPDARGTSPVYLGLTGEDGFPHQGRLDFLDNQVNPKTGTIRGRAVFDNAANQFTPGLYARIKLVGSGTYPAALIKDEAVGTDLGKKFVLVLDKDSKVQYRGIELGPKLEGLRIVRSGLVKGDRIVVNGLQRVRPGAQVDAQGVEMASQSTLANLENQRRALESFEAPKVAEKSAKPGAPRG ->ARGMiner~~~emrB~~~ABE08502.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~ABE08502.1~~~fluoroquinolone~~~unknown MRVMQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~BLA1~~~WP_016104889.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_016104889.1~~~penam~~~unknown MEQTCFLDGLLCVRIKTGVQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGICVGILGLSLTSLEAFTGGALQVEAKEKTGPVKYKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRSNERFAFASTYKALAAGVLLQQNSIEKLNEVITYTKDDLVEYSPVTEKHVDTGMALGEIAEAAVRSSDNTAGNILFHKIGGPKGYEKALRQMGDRVTMADRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPVHKRNILTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEAAEVVINALKS ->ARGMiner~~~tolC~~~WP_023207427.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023207427.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDSVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSNTHGPGSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPENVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~acrE~~~WP_004139667.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_004139667.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTPHVRVTLLSSLIISAILLSGCDNSGDGQPHAQIPQVSVYVVHSAPLSVTTELPGRTSAYRVAEVRPQVNGIILQRNFVEGSDVSAGQSLYQIDPATYQAAYNSTKGDEAKAEAAAAIAHLTVKRYAPLLGTKYISQQEYDQAVATARQADADVIAAKAAVESARINLAYTKVTSPISGRIGKSSVTEGALVTNGQADAMATVQQLDPIYVDVTESSNDFMRLKQESLQHGNDTKNVQLIMENGQPYTLQGALQFSDVTVDESTGSITLRAIFPNPQHALLPGMFVRARIDEGVSPNAILVPQQGVTRTPRGEASVMLVNDKNQVETRAVTAAQAIGDKWLVTSGLKAGEKVIVSGLQKVRPGVTVKAEEDTATSVAQ ->ARGMiner~~~mdtG~~~WP_014831419.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_014831419.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADSYGLRPVFFITASVLFLCFIVTLICIREHFTPVAKKEMLHARDVLASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILLCALVISVLLLIPMSMVQSPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLVGAGISASFGFRAVFIVTAGVVLFNAIYSWFSLSRTLRPVAE ->ARGMiner~~~macB~~~WP_020689930.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_020689930.1~~~macrolide~~~unknown MTALLELTDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLARAQALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRASRAAAPKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQIFLPGWEIGFSPVAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_058109577.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_058109577.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAIKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~vanHB~~~WP_010815296.1~~~glycopeptide unknown +>ARGMiner~~~vanHB~~~WP_010815296.1~~~glycopeptide~~~unknown MRKSMGITVFGCEQDEANAFRTLSPDFHIIPTLISDAISADNAKLAAGNQCISVGHKSEVSEATILALRKVGVKYISTRSIGCNHIDTTAAERMGISVGTVAYSPDSVADYALMLMLMAIRGAKSTIHAVAQQNFRLDCVRGKELRDMTVGVIGTGHIGQAVVKRLQGFGCRVLAYDNSRKIEADYVQLDELLKNSDIVTLHVPLCADTHHLIGQRQIGEMKQGAFLINTGRGALVDTGSLVEALGSGKLGGAALDVLEGEDQFVYTDCSQKVLDHPFLSQLLRMPNVIITPHTAYYTERVLRDTTEKTIRNCLNFERSLQHE ->ARGMiner~~~macA~~~WP_012367700.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_012367700.1~~~macrolide~~~unknown MAFFLTKKQGKIIAAILIFIAIGLFFFWPKEHLPSYQTQKITRGELSKEVTATGKLDAVRKVDVGAQVSGQLQTLYVKEGDVVKKGDLLAIIDPKKAQNEVAESQETNNELMANLQQAKAELRLAQLTYQRQLKLIGTHVIAQEELDRTKTDVEVKKARVATYEAQIRKNQATLDTARTNLQYTRITAPMDGVVTFIKTLEGQTVIAAQEAPTILTLADLDTMLVKAEVSEADVIYLKPDLKASFTVLGAPDKAFNGKLKDILPTPEKINDAIFYYARFEVPNEQHLLRLQMTAQVKILLEHKKDILLVPLSALGENVGINEYQVEVLVNGQPEKRVVKIGIRTDVYAEVISGLNENDDVILGEIAGES ->ARGMiner~~~FosB~~~EVD09060.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~EVD09060.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGP ->ARGMiner~~~macA~~~WP_045717378.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045717378.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKNNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mdtM~~~WP_001137020.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001137020.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLSLLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVLAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~macA~~~WP_059386160.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_059386160.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISESLPGAAK ->ARGMiner~~~TEM-217~~~ANG25919.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-217~~~ANG25919.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVLYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtG~~~WP_032707033.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032707033.1~~~fosfomycin~~~unknown MSSADTPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAVASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLILRALLGLLGGFIPNANALIAIQIPRQKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTATVLFICFLFTLFLIRENFVPVNKKEMLNARDVFGSLKNPKLVLSLFITSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALLSAPRLGKLGDRIGPEKILIVALVISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTACVVLFNAFYSTISLRRPRHDASADDGGSGKRSVN ->ARGMiner~~~arnA~~~WP_061382108.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_061382108.1~~~peptide~~~unknown MKAVVFAYHDMGCQGVQALLDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSEEILSLAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIIAQQSVAISSDDVALTLHHKLCQAARHLLEQALPAIKAGDYAERPQQEAEATCFGRRTPEDSFLDWNKPAAELHNQVRAVSDPWPGAFSYVGTQKFTVWSSRVCTNDLAAQPGTVISVSPLLIACADGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTSKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAIGRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPENEASIQELAEMLLTCFEKHPLRQHFPPFAGFRDVESSTYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~macA~~~WP_038396319.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_038396319.1~~~macrolide~~~unknown MRAKEKKFKKRYLVIILILLVGGMAIWRMLNAPLPNYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDSVKKDQLLGVIDPEQAENQIKEVEATLMELNAERQQAAAELKLARVTLTRRQQLAKTQSVSQQDLDTAATELAVKQARIGTIDAQIKRNQASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQKAWFTIPGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVDNNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGEGRPGATP ->ARGMiner~~~macB~~~WP_000188206.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188206.1~~~macrolide~~~unknown MTPLLELSNIRRSFPSGEDVVEVLKGINLTINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGIYKVAGQDVSTLDSDSLAQLRREHFGFIFQRYHLLSHLTALQNVEVPAVYAGIERKQREARAQALLQRLGLGERVDYRPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRERGHTVIIVTHDPHVAAQAERVIEIRDGEIVSNPPAKNSAIAQTFSDSSAQPRSGWRQFIAGFREALTMAWLALATNKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAIQKQSWVASATPAVSQNVRLRYGNIDVAAAANGVSGDYFNVYGMTFSEGNTFNKEQLNARAQVVVLDNNTRRQLFPHKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMASRVMGQSWLNSITVRVKEGYDSDQAEQQLTRLMTLRHGKKDFFTWNMDGILKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVMVCLVGGALGICVSLLIAFTLQLFLPGWEIGFSPVALLTAFLCSTITGILFGWLPARNASRLDPVEALARE ->ARGMiner~~~tolC~~~EXR45158.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EXR45158.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDRLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQMPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~mdtA~~~ELI73679.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~ELI73679.1~~~aminocoumarin~~~unknown MCPPFRRFPIVFHNSSIFLPYWLATLVSFRETFQEEKLLTMKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLVKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLLFTLPESDIATVVQAQKTGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~sdiA~~~WP_024359890.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_024359890.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRREMLQQFQSVSAGEGVFHLLQQQAKGLEYDYFALCVRHPVPFTRPRVTLQSTYPQAWMAHYQAENYFAIDPVLRKENFLRGHLPWNDKLFNDTPELWNGARDHGLRKGVTQCLTLPNHAQGFLSVSGASHSQGPFAEDELEMRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~MexF~~~WP_058527057.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_058527057.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALLLVFIGLIAILVLPVSQYPAIVPPTIQVTTTYPGADAKTLINTVALPIEQQVNGVENMLYMQSTSSNNGAYTLIVTFAIGTDLNFAQVLVQNRVQAAMAQLPMDVQKQGVVVQQKSTAILQFITLTSENGEYDGVFLNSYATINMQDELARLPGVGNVLVFGSGSYAMRVWLDPKKMLAYSLNPSDVLNAISYQNKDVSAGQIASPPVLGSHSYQLTVNVPGQLADPDEFGNIIIKTVDTQPDENANASSSAQVVRIKDVGRVELGSSSYSQLANLNGKPTAAIGIFQLPGANALQVAEEVRKTVAKMAKKFPPGLTYSIPFDTTVFVKASISEVYKTLYEAGILVLLVIVVFLQNFRASLVPATTVPVTIIGTFFALMLLGYTINLLTLFALVLAIGIVVDDAIVIVEGVTQHIERGLSPKEASIEAMRELFGPILGITLVLMAVFVPAGFMPGLTGAMYAQFALVIAATALISAINAMTLKPTQCALWLKPIDKDKPKNIFFRTFDKIYNPIEARYIRFMDKLVHHSGMVCLLGAALVALAIFGLTRIPTGFIPIEDQGYLILSVQLPDAATLGRTDKVMSELSKKVSQIDGIANVIAIDGISLLDNNASLANAGVLYIMFKDWSVRGKSENLLALYTKINDIAKNTLDAKVLTVVPPPIQGLGLSGGFQMQVELQDGTFDYQKLQRVTDGLISEGNREPDLQNLMTSFRASVPQVAAPINRTKAESLGVRIGDAFGTLQTYLGSSYVNLFTKFGQVFPVYVQADASSRGQVEDLRNYYVKNQQGSMVSLGTLTDIKPDVGPAIISLYNLYPSGNVNGMAAKGYSSGEGIETMEELAKKLPPGFSYEWTSTAYQEKVAGNMSYYIFAMSLVLVYLILAGQYENWLIPSAILFSVPLTLIGTVLALGLLGLDNNMYTQIGLLLLIALATKNAILIVEVAHEQHHIHKKSVMEAAVIGAKTRFRPILMTSFAFIMGVMPLVFATGAGANARRSIGVAVCSGMLASTCLAVVFVPVFYVLLQTWQDKRKAKKEKTALPV ->ARGMiner~~~mepA~~~EFR91268.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~EFR91268.1~~~glycylcycline;tetracycline~~~unknown MKHSDNYYLTKASIPKAIAHLSIPMMLGMSVGVIYNIVNAFFIGLLHDTSMLTAVTLGLPMFTILMAIGNMFGVGGGTYISRLLGKEDGIKAKQVSAFVLYGSLVLGIICAIILGFLINPVTHFLGADATSFLHTKNYTLALLICSPFIIANFALEQVVRAEGASRISMNGMLIGTLVNLVFDPLLILYFNFNVVGAAVSIGIASTVSLIYYAWYLEKKSDYLSIRFKWFKATKEIV ->ARGMiner~~~norA~~~WP_049385045.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049385045.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTISIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPQKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~aadA~~~AAM92010.1:AF527485_2~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~AAM92010.1:AF527485_2~~~aminoglycoside~~~unknown MAREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~sdiA~~~WP_033873433.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_033873433.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPESWVSYYQAKSFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMTLIRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~FosB3~~~WP_015383763.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_015383763.1~~~fosfomycin~~~unknown MEIKGINHLLFSVSHLDTSIDFYQKVFGAKLLVKGRTTAYFDMNGIWLALNEEPDIPRNDIKLSYTHIAFTIEDHEFEEVSAKLKRQHVNILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMHFYDETAF ->ARGMiner~~~mepA~~~WP_031787393.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031787393.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVVYGILNIYFIGFLGDSHMISAISLTLPVFAILMGLGNLFGIGGGTYISRLLGAKDYSKSKFVSSFSIYGGILLGIIVILATIPFSDQIAMILGAKGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPIISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAAIFFIVYFMKNSDVVSVNFKFAKPNKEMLSEIFKIGVPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKVVIMSIGVIFAVCMIAVFTIGHHMVGLFTTDQQIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNGLFGLTGVIWSLLIAESLCAFAAMLIVYLLRNRLTVDTSELIEG ->ARGMiner~~~tolC~~~WP_046276168.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_046276168.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFTTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLGLTASTGVSDTSYNGSKTNTSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPIPTSPDNVAPQNPQQDAAVNDFNSNGNMPAPQPTAARSASSNGNNPFRN ->ARGMiner~~~Escherichia coli ampC~~~WP_001699534.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001699534.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAGIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~macA~~~WP_002225577.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002225577.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~mdtH~~~WP_023303422.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023303422.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEASLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~macA~~~WP_001201753.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201753.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~aadK~~~WP_014477445.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_014477445.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVDSFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYKYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~macB~~~WP_061336327.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061336327.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLHYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Bacillus subtilis mprF~~~WP_053862023.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_053862023.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFITYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQYVSDLWLDNRQEMHFSVGEFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~abeM~~~EKL38962.1~~~fluoroquinolone;acridinedye;triclosan unknown +>ARGMiner~~~abeM~~~EKL38962.1~~~fluoroquinolone;acridinedye;triclosan~~~unknown MLPILITQFAQAGFGLIDTIMAGHLSAADLAAIAVGVGLWIPVMLLFSGIMIATTPLVAEAKGARNTEQIPVIVRQSLWVAVILGVLAMLILQLMPFFLHVFGVPESLQPKASLFLHAIGLGMPAVTMYAALRGYSEALGHPRPVTVISLLALVVLIPLNMIFMYGLGPIPALGSAGCGFATSILQWLMLITLAGYIYKASAYRNTSIFSRFDKINLSWVKRILQLGLPIGLAVFFEVSIFSTGALVLSPLGEVFIAAHQVAISVTSVLFMIPLSLAIALTIRVGTYYGEKNWASMYQVQKIGLSTAVFFALLTMSFIALGREQIVSVYTQDINVVPVAMYLLWFAMAYQLMDALQVSAAGCLRGMQDTQAPMWITLMAYWVIAFPIGLYLARYTDWGVAGVWLGLIIGLSIACVLLLSRLYLNTKRLSQT ->ARGMiner~~~mdtH~~~WP_032432860.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032432860.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSTKRSASGMLEPRT ->ARGMiner~~~macB~~~WP_048222288.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048222288.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDISTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSSLAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_063435597.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063435597.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLNQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGTTPAAQPAAARTTAPASKGNNPFRK ->ARGMiner~~~emrA~~~WP_064543230.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_064543230.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPANKKGKRKRALILLTLLFVIIAVAYGAYWFLVLRHFEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYIQQGDVLVTLDQTDAQQAFEKAQTQLASSVRQMRQLMINNKQLQANIDIRKTTLAQAQTDLNRRIPLGSANLIGREELQHAKDAVTSAQAALDVAVQQYNANQAMILGTKLEDQPTVRQAATDVRNAWLALQRTKIVSPMSGYVSRRSVQPGAQISPTTPLMAVVPASNLWVDANFKETQLAHMRIGQPVTVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDADQLAKHPLRIGLSTLVKVDTSNRDGQMLANQIRTSPVYESNAREISLDPVNKLINDIVQANAN ->ARGMiner~~~novA~~~WP_054228897.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_054228897.1~~~aminocoumarin~~~unknown MRPDQSTWTPSPAEGEQPRQVRRILKLFRPYRGRLAIVGLLVGASSLVTVATPFLLKETLDVAIPQGRTGLLSLLALGMILSAVVTSIFGVLQTLISTTVGQRVMHDLRTAVYGRLQRMSLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATIVAMVALDWRLTVVSLLLLPVFVWISRRVGNERKKIATQRQKQMAAMAATVTESLSVSGILLGRTMGRGDSLTKAFEAESDSLVDLEVKSNMAGRWRMSVIGIVMAAMPAVIYWAAGMALQFGGPSVSIGTLVAFVSLQQGLFRPTVSLLSTGVQIQTSLALFQRIFEYLDLPIDITEPERPVHLDQVKGEIRFEDVEFRYDDKSGPILEGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARGIGVVSQETYLFHASVADNLRFARPDATDEELQAAARAAQIHDHIASLPDGYDTVVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEYAVQEAIDALSANRTTLTIAHRLSTIRGADQIVVLDSGRAVERGTHEELLEREGRYAALVHRDAELEPTR ->ARGMiner~~~adeA~~~WP_039573465.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~WP_039573465.1~~~glycylcycline;tetracycline~~~unknown MNIERLRRDGGVHALLVAMLAGCGPSEPQSAPPAPQVATTSVAPTHLELTEDLPGRVAAVRVAEIRPQVSGIVLRRLFEQGTEVRAGQPLFQINPAPFRADADTAAAALRRAEAALARARVQTTRLQPLVEADAVSRQVYDDAVSQRDQAAADVEQARATLARRQLDLKFATVEAPIPGRIDQALVTEGALVNSGDSNPMARIQQIDQVYVDVRRPASSLEALRRTLATQTAGAGNGLPVAVLRGNGEPYEAKGRILFSGINVDAGTGDVLLRVLVNNPQRQLLPGMFVRARVPHVRYTDALTVPQQAVVRVGGQPQVWALDANGHARLKPVELGELVDRRYRIRTGLSAGQKIVVEGMERLSDGAAVTAHAWKSPEPVLAGPAH ->ARGMiner~~~CTX-M-3~~~AIC64444.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64444.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPAAWVVGDKTDSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~emrB~~~WP_049106280.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_049106280.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGSGGGAH ->ARGMiner~~~Escherichia coli ampC~~~WP_001376145.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001376145.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDDVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLTHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAACQILNALQ ->ARGMiner~~~FosB3~~~WP_044155736.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_044155736.1~~~fosfomycin~~~unknown MEIKGINHLLFSVSDLLTSIEFYQNVFDAKLLVKGRSTAYFDLNGIWLALNEERDIPRNDINASYTHIAFTIEESEFEEMSAKLKNLHVTILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLQYYKQAKTHMDFL ->ARGMiner~~~tolC~~~WP_023210150.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023210150.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRHR ->ARGMiner~~~macB~~~Q5PGK9~~~macrolide unknown +>ARGMiner~~~macB~~~Q5PGK9~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAMLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~CTX-M-3~~~AIC64479.2~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64479.2~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLVAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRLDVLASAAKIVTDGL ->ARGMiner~~~OXA-10~~~gi:304445559:pdb:2WKH:A~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-10~~~gi:304445559:pdb:2WKH:A~~~cephalosporin;penam~~~unknown MGSITENTSWNKEFSAEAVNGVFVLCKSSSKSCATNDLARASKEYLPASTFCIPNAIIGLETGVIKNEHQVFKWDGKPRAMKQWERDLTLRGAIQVSAVPVFQQIAREVGEVRMQKYLKKFSYGNQNISGGIDKFWLEGQLRISAVNQVEFLESLYLNKLSASKENQLIVKEALVTEAAPEYLVHSKTGFSGVGTESNPGVAWWVGWVEKETEVYFFAFNMDIDNESKLPLRKSIPTKIMESEGIIGG ->ARGMiner~~~MexF~~~WP_060839111.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_060839111.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKITLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYALLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAPPAPSATSFQLSVNTQGRLVTEEEFENIIIRSGEDGEITRLKDIARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIEISNEVRAKMAELKQSFPQGMDFSIVYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLNPVEATKRAMREVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKEHHAPKDRFSKILDTLLGGWLFKPFNRFFDRASHGYVGTVRRVIRGSGIALFLYAGLMVLTWFGFAHTPTGFVPAQDKQYLVAFAQLPDAASLDRTEDVIKRMSDIALKQPGVESAVAFPGLSINGFTNSPNNGIVFVTLKPFDERKDPSMSAGAIAGALNGKYADIQDAYMAIFPPPPVQGLGTIGGFRLQVEDRSGLGYDELYKEVQNVITKSRSVPELAGLFTSYQVNVPQVDAAIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNKGEMIPLATFIKVSDTAGPDRVMHYNGFVTAEINGAAAPGYSSGQAEAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIVSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVISSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERSEARKAARALQLEAQQ ->ARGMiner~~~tolC~~~WP_032456630.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032456630.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTSKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASSGVSNNRYSGSKSISQDADIGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLMALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAMKPASAGTTTHSSGSNPFRQ ->ARGMiner~~~MexF~~~WP_005788492.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_005788492.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKLTLTITFALGTDLDNAQVQVQNRVTRTQPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAQPAPSDTSFQLSVNTQGRLVTEEEFENIVIRAGANGEITRLKDIARVELGSSQYALRSLIDNQPAVAIPIFQRPGSNAIDISNDVRSKMAELKKSFPAGMDYRIAYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPFPATEKAMSEVTGPIIATALVLCAVFIPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLRGHDAPKDRFSKFLDKLFGGWLFRPFNRFFEKASHGYVGTVRRVIRGSGIALFVYAGLMVLTFFGFAHTPTGFVPAQDKQYLVAFAQLPDAASLDRTENVMKRMSEIALKQPGVEAAIAFPGLSINGFTNSPNSGIVFVTLKPFDERKDASMSAGAIAGALNGQYANIEEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKEVQNVIAKSHSVPELFGLFTSYTVNVPQVDAAIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDSDQIGQLKVRNNKGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGNAAPGYSSGQAQAAIEKLLKDELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIISGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGLDPLAAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRYVERQQARKAAKALKLETQQ ->ARGMiner~~~aadK~~~WP_024714945.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_024714945.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNKNIPPDPFQDYDISYFVTDMESFKGNDRWLEFFGTRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIHEAEAYFAESDGLVEVLLDKDTFIKHKVIPNDRQYWIKKPTAREFDDCCNEFWMVSTYVVKGLARKEILFAIDHVNEIVRPNVLRMVAWHIASQQGYTCSMGKNYKFMNRYLSNKEWEELMATYSVNGYQEMWKSLFNCYALFRKYSKAVSESLGYQYPDYDEGITKYTKRIYETLK ->ARGMiner~~~tolC~~~WP_016150988.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_016150988.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTNSSQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTVAPQNPQQDAAVNDFNGTGNLPAAQPTAARSTNSNGNNPFRN ->ARGMiner~~~hmrM~~~WP_001174944.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174944.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSVIILQRASR ->ARGMiner~~~L1 beta-lactamase~~~WP_049450924.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049450924.1~~~cephalosporin~~~unknown MRFTLLAFALAVALPAAHASAAEAPLPQLRAYTVDASWLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELMRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASAEALTCNAYADAAEKKFDAQLARETAGTR ->ARGMiner~~~Escherichia coli acrA~~~WP_046594597.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_046594597.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISSRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGNKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~adeG~~~WP_039212168.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_039212168.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVISKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPIYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~mecR1~~~EHT58943.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~EHT58943.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~emrA~~~WP_064397954.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_064397954.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIVAVAYGIYWFLVLRHYEETDDAYVSGNQVQIMAQVSGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAQTQLAASVRQTRQQMINSKQLQASIEVKKTALSQAQTDLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTKIVSPISGYVSRRSVQPGAQITTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRGGEMLASQVRSAPVYESNAREIGLEPVNKLINDIIQANAG ->ARGMiner~~~vanXB~~~WP_041118890.1~~~glycopeptide unknown +>ARGMiner~~~vanXB~~~WP_041118890.1~~~glycopeptide~~~unknown MENGFLFLDEMLHGVRWDAKYATWDNFTGKPVDGYEVNRIIGTKALAFALREAQIHAAALGYGLLLWDGYRPRTAVDCFLRWAAQPEDKLTKEKFYPNIERAELITKGYVASQSSHSRGSAIDLTLYHLDTGELVSMGSNFDFMDERSHHTAKGIGDAEAQNRRCLRKIMESSGFQSYRFEWWHYKLIDEPYPDTYFNFAVS ->ARGMiner~~~sdiA~~~WP_058650820.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_058650820.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCFLRFQALTSASEVYQELQRQTQALEFDYYALCVRHPVPFTRPRISVHATYPQRWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFADAEALWNGARDHGLRKGITQCLMLPNHALGFLSVSCTSVQAGAVTSEELELRLQMLLQMALTSLLRFEDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~L1 beta-lactamase~~~WP_065723394.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_065723394.1~~~cephalosporin~~~unknown MRQHMLALTLSAVLPIAHATAAEAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTENLTALLVQTAKGAVLLDGGMPQMASHLLDNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRSGAHVVANAESAVLLARGGSDDLHFGDGITYPPASADRIVMDGEVVEVGGIEFTAHFMPGHTPGSTAWTWTDTREGKPLRIAYADSLSAPGYQLQGNPRYPHLIEDYRRSFATVRALPCDVLLTPHPGASNWDYAAGARAGAKALTCKAYADAAEQKFDAQLAKEAAGAR ->ARGMiner~~~bcr-1~~~ENH14582.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~ENH14582.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKGLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~macA~~~WP_047718664.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047718664.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQRALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLEMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~macB~~~WP_061537938.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_061537938.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMFFREGNTFNSVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~blaF~~~WP_065069428.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_065069428.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGGAAPAFAAPIDDQLAELERRDNVLIGLYAANLQSGRRITHRPDEMFAMCSTFKGYAAARVLQMAEHGEISLDNRVFVDADALVPNSPVTETSAGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAILAGDALSPPQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPDGQRLLLVMMTRSQAHDPKAENLRPLIGELTGLVLPSLI ->ARGMiner~~~FosB3~~~WP_000920237.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_000920237.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLFTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLEDRLNYYKEAKPHMTFYK ->ARGMiner~~~macA~~~WP_033486313.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_033486313.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLEMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~macB~~~WP_022647610.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_022647610.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPLPRQSRAAAPKEPLPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSGLAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~y56 beta-lactamase~~~WP_050137910.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050137910.1~~~cephalosporin;penam~~~unknown MKHSSLRRSLLLAGITLPLVNFAPPTWAAAIPGSLDKQLAALEHSANGRLGIAMINSGAGTKILYRGAQRFPFCSTFKFMLAAAVLDQSQSQPNLLNKHINYHESDLLSYAPITRKNLARGMTVSELCAATIQYSDNTAANLLIKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALRPAQRSQLAAWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATQIILSQIS ->ARGMiner~~~sul2~~~WP_032254374.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_032254374.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFTRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~mdtH~~~WP_038979779.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_038979779.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPHHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGALLFILCALFNALFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALSQPELPWVMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~arnA~~~WP_001607766.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001607766.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYSSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKALPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~tolC~~~WP_049847444.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049847444.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSAMSQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYEYSNGYRDQNGINSNATSASLSLTQTLFDMSKWRQLSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDNVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDSFKTDKPNAVNTLLKEAEKRNLSLLQARLSQDLAREQIRLAQDGHLPTLNLTASTGVSDTSYSGSNTGGANASRFEDSNVGQNKVGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLLSLNNALGKPISTTPEAVAPENPQQDASADGYSADSAAPATQPAAARTLSSSNQGANPFRN ->ARGMiner~~~FosB~~~WP_043927384.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_043927384.1~~~fosfomycin~~~unknown MANNKILGINHLLFSVSDLSVSISFYEKVFDAKWLVKAEKTAYFDLNGIWLALNEEKDIKRQEIHDSYTHIAFSIQQEDLPFWEKKLHDLGVNVLKGRKRHEGDKDSIYFSDPDGHKFELHTGSVFDRLQYYQNEKTHLSFHEGHIKALYDRNK ->ARGMiner~~~sdiA~~~WP_045326853.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_045326853.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFSWRRDCFLRFQELTCADEVYQELERQTQALEFDYYALCVRHPVPFTRPKISLQTTYPKQWMAQYQSANYFAIDPVLKPENFIQGHLPWTDGLFADAQELWHSAQDHGLRAGITQCLMLPNHALGFLSVSRTRVQEGPLAHEEIELRLQMLVQMALTSLMRFEDKMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~emrB~~~WP_004986179.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_004986179.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWECGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtH~~~WP_048235656.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_048235656.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGLITFLALGWQFSIKRPTRGMLEPDA ->ARGMiner~~~tolC~~~WP_045377735.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045377735.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDRNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASTSVSNNRYSGSKKISPDADIGQNTVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPTSPDSVAPENPQQDAAADGYANTASAQPAAARTTKTSGSNPFSH ->ARGMiner~~~MexB~~~WP_015451603.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_015451603.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MLSKFFIQRPIFANVLAIIVMAFGIFSVMNLPVERYPDIAPPKITVSANYSGADAQTVEQSVTQILEQQIQGIDHLLYFSSSSDSSGRSRITISFDNGTNPDTAQVQVQNSISGVIRRLPDEVQRQGVTVSKSLGDTFMVIGLYDSTGKTGNIELSDYLTTHVVDNLNRIEGVGETDVFGSQYAMRIWLNPDKLKQYNLMPSDVANAITAQNTQVAAGAIGDLPVIDGQYLNTKVTAGSRLKTVEDFKNIVVKSNKTASYVYLKDIARVELGAENYQSFNTINGYPAAGLGISLSSGANAIQTSKLIHQTLDQLTTKLPAGYKIVYPRDNTPFVQESIKEVVKTLVEAIILVILVMFLFLQSWRATLIPSITVPVVILGTFAVLYVLGFSINTLTLFALVLAIGLLVDDAIVVVENVERLMHEQHLSPKEAAIESMGEISGALVGITLVLTAVFIPMSFLGGSIGVIYRQFSITLVAAMALSLIVALILTPALCALILKPNPQPQRWAVWFNQKIEQLKNQYIKLVQTSIHYSKSVIVIFVALIAVFTLFYNGLKSGFIPKEDQGILSVQIKLVDSAPISQSQKIGEQVRQYFLTQEDKNVDLVLIRYGRNYSGTGQNLAQGFIALKPWDVRTGKENSAEAIQKRAMKYFSHFNNAQINVTLPASVNGLGQTDGLDLWIQDLNGQGQDFLDSAFRQLQAQSKNYSTFENFDKQSTNSKANLNIKIDQKQALANGLQLSAINNTLSSAWGGTYVNDFIDRGRIKRVMIQGDAEFRSKPEDLYNWSVRNDQNEMVPFSSFANFSWGGAPEIVKRYMGYSALQLQADVASGSSSGQAMKDVEQLVNQQKDIGLAWTGLSFEEQKSTNQAVWLYLISAGFIFLCLAALYESLSIPAAVMTSIPLGVGGSVIFSYIFGLPNDVYFQIALLTTIGLSCKNAILIVEFAALAQEKGKKAIQAALEGASLRLRPILMTSLAFGAGVIPLVFAQGAGAVSRQEIGISILGGVMFGTVLVLFFIPVMYVLLRSLFKSKAST ->ARGMiner~~~mdtG~~~WP_024232816.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_024232816.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLLLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~OXA-58~~~ADI87510.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-58~~~ADI87510.1~~~cephalosporin;penam~~~unknown MKLLKILSLVCLSISIGACAEHSMSRAKTSTIPQVNNSIIDQNVQALFNEISADAVFVTYDGQNIKKYGTHLDRAKTAYIPASTFKIANALIGLENHKATSTEIFKWDGKPRFFKAWDKDFTLGEAMQASTVPVYQELARRIGPSLMQSELQRIGYGNMQIGTEVDQFWLKGPLTITPIQEVKFVYDLAQGQLPFKPEVQQQVKEMLYVERRGENRLYAKSGWGMAVDPQVGWYVGSVEKADGQVVAFALNMQMKAGDDIALRKQLSLDVLDKLGVFHYL ->ARGMiner~~~acrB~~~WP_050182829.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_050182829.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVIRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~TEM-1~~~ANG28997.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG28997.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQVAEIGASLIKRW ->ARGMiner~~~mdtH~~~EFK47522.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~EFK47522.1~~~fluoroquinolone~~~unknown MLIFYFPGGEMSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVHTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARVRGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~FosB3~~~gi:588293043:pdb:4NAY:A~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~gi:588293043:pdb:4NAY:A~~~fosfomycin~~~unknown MHHHHHHLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~TEM-1~~~ANG09690.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG09690.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTIRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLTKHW ->ARGMiner~~~macB~~~WP_000188146.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188146.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQIVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtM~~~WP_032349961.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032349961.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRVGRRPVLITGALIFTLACAATMFITSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNSGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~macA~~~WP_046811405.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_046811405.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTNSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKPGQSSDKSSSSPEAAKKSQGNGARLERLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~mdtG~~~WP_032637005.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032637005.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMTIIMALMGVAQNVWQFLVLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADNYGLRPVFFITASVLFLCFIVTLLCIRENFTPVAKKEMLHARDVLTSLKNPRLVLSLFVTTMIIQVATGSIAPILTLYVRDLAGDVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLVPMSMVHSPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGAGISASFGFRAVFIVTAGVVLFNAMYSWLSLSRALRPTTE ->ARGMiner~~~hmrM~~~WP_001555037.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001555037.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYCLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~mexH~~~WP_023105016.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_023105016.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATSSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mexH~~~WP_058129433.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058129433.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALLCAAVVGIAVYATGSAKKDTGGFAGYPPVKVALATVERRVVPRLFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVERGQLLVQLNDAVEQADLIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIEQKAIRAPFSGRLGIRRVHLGQYLGIAEPVASLVDAQTLKSNFSLDESTSPELKVGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQAVLENPEGLLAAGMFASVRVSRKADAPSLSVPETAVTYTAYGDTVFVARQEGDQPLSARRVSVRVGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAALPVPVAGR ->ARGMiner~~~lsaB~~~WP_033674693.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_033674693.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKITSSVEFNYFPYPVSNKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKMVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSAGWSHQVEATKNGTRNSGSKLDKGFVGHKAAKMMKRSKNLESRKQKAIEEKSKLLKNVEKTDSLKLESLKFQSNELVVLADVSVKYDDQIVNEPISFIVEQGNRIVLDGKNGSGKSSILKLILGHPIQHTGSVNLGSGLIISYVQQDTSHLKGSLSDFIEEHEIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDQAFQQTVATKTISM ->ARGMiner~~~tetX~~~WP_041915032.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~WP_041915032.1~~~glycylcycline;tetracycline~~~unknown MNLLSDKNVAIIGAGPVGLTMARLLQQNGVDVTVYERDKDRDARIFGGTLDLHRDSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILTTKNVKPENRFDNPEINRNDLRTILLNSLQNNTVIWDRKLVALEPDKEKWVLSFEDKPNETANLVIIANGGMSKVRKYVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKKFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~mdtG~~~EFS01863.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~EFS01863.1~~~fosfomycin~~~unknown MENWKKNLYVVWIGCFLTGTGLNLIMPFLPLYIEELGVHNPDQVSIWSGIALSSTFLVSAIMSPIWGKLADQKGRRVMLLRASLGMAVAMILMGLVSNVYQFVGLRLLMGIFSGYISTANALVATQVPRHRSGWALGALSTAAVSGVLIGPLIGGVLSDSFGVRPVFYITGVLLLGSFFLTLFFVKEKFTPIEKKEMRSGKEVFLSLKNPGLIISLFITTMMIQIASNSVNPILTLYVRDLAGNAQNIAFISGMIASVPGVAALIAAPRLGRWGDRIGSERILLGALIGSMLLQIPMAFAQNPWQLGILRFLLGLTDGALLPAVQSLLAKNAPREVSGRIFGYNQSFQYIGNVIGPLVXSSVAAHFGYGDVFLVVAGFIFINVIISFYFNQKMHREKGNHAN ->ARGMiner~~~sdiA~~~WP_003030480.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_003030480.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDLDFFTWRRSMLLRFQEMATAEDVYTELQQQTQHLEFDFYALCVRHPVPFTRPKTSLHTTYPKAWVAHYQSENYFAIDPVLKPENFSQGHLPWNDTLFRDAQPLWDAARNHGLRKGMTQCLMLPNRALGFLSVSRASIRNSRLASDEVELRMQLLVRESLSVLTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mefA~~~WP_015057858.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_015057858.1~~~macrolide~~~unknown MEKYINWKFKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYTELSVWMVMVVLFIRSIGTAFHSPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIISPAAAALLYSVWKLNAIIAIDILGAMIASITVAIVSIPKLGDQVQSLKPNFLREMKEGIVALRQNKGLFALLLLGTLYTFVYMPINALFPLISMEYFNGTPVHISITEIAFASGMLVGGLLLGRLGNFEKRVLLITGSFFIMGASLAVSGLLPPSGFVIFVACCAVMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSFAMPIGLILSGFFADRIGVNHWFLLSGILIIGIAIVCPMITEVRKLVK ->ARGMiner~~~macB~~~WP_033810948.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_033810948.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSVEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~WP_061737364.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061737364.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGICGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~macB~~~WP_032658224.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032658224.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sul2~~~WP_059342829.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_059342829.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGNVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~macB~~~WP_016153652.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_016153652.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPSHKPSTGRDIAEPTVKTASGWGQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_044806522.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044806522.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVIERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNASRLDPVDALARE ->ARGMiner~~~adeB~~~WP_004654153.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_004654153.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIILFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSSTTDTSGTAEISATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNGQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNIEIAPGRLGDLPAEQGQLITVPLSAQGQLGNVEQFRNISLKSKTSGSVIKLSDVAKVEIGSQAYNFAILEDGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMHFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFAVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKEATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGIIYQQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELILLKVIKHTIPMMVIFVVITGLTFAGMKYWPTAFMPEEDQGWFLTSFQLPSDATAERTRGVVNEFESSLKDNPNVKSNTTIMGWGFSGAGQNVAVAFTTLTDFKDRTSTATEMTNAVNATMAHSKEGATMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDQLMEMAAKNKKFYMVWNEGLPQGDNISLKIDRAKLNVLGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVDAKSRMQLKDILNLKVAGSSGQLVSLSEVVTPQWSKAPQQYNRYNGRPSLSIAGIPNFDTSSGDAMREMENLIAKLPKGIGYEWTGISLQEKQSESQMAFLLALSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIVAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLVEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFVLGAVEKLFSPKPKNPS ->ARGMiner~~~macA~~~WP_060557066.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_060557066.1~~~macrolide~~~unknown MAFFLTKKQGKIIAAILIFIAIGLFFFWPKEHLPRYQTQKITRGELSKEVTATGKLDAVRKVDVGAQVSGQLQTLYVKEGDVVKKGDLLAIIDPKKAQNEVTESQETANELSANLQQAKAELRLAQLTYQRQLKLIGTHVIAQEELDRTKTDVEVKKARVATYEAQIRKNQATLDTARTNLQYTRITAPMDGVVTFIKTLEGQTVIAAQEAPTILTLADLDTMLVKAEVSEADVIYLKPDLKASFTVLGAPDKAFNGKLKDILPTPEKINDAIFYYARFEVPNEQHLLRLQMTAQVKILLEHKKDILLVPLSALGEDVGMNEYQVEVLVNGQPEKRVVKIGIRTDVYAEVISGLNENDDVILGEIAGES ->ARGMiner~~~vanXO~~~WP_020667646.1~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~WP_020667646.1~~~glycopeptide~~~unknown MNDDFVFVDELVPGIRWDAKYATWDNFTGKPVDGYLVNRVVGTRTLCAALEAAREKAASLGFGLLLWDGYRPQRAVDSFLRWSKQPEDGQTKLRHYPNIDRAEMFEKGYVAAKSGHSRGSTVDLTLYHLATGELAPMGGDHDLMDPLSHHGAKGITPVEASNRQHLSYIMEACGFNRYDCEWWHYTLKDEPYPDTYFDFPITKVLIHA ->ARGMiner~~~macA~~~WP_001201752.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201752.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMSVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPKKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGGEVIIGESRPGATP ->ARGMiner~~~tolC~~~WP_017421280.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_017421280.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLLIGAALGSLSSSVWADSLAEIYDLAKQNDPQLLSVQAKRDAAFEAVTSSRSTLLPQINLTAGYNINRSDVDPRDSDKLSAGINFSQELYQRSSWITLDNAEKSARQADAAYAATQQGLILRTAQAYFEVLKAQDNLEFVRAEKAAVARQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENSLTNSYEALREITGQEHKNLNVLDTKRFSASRSNASAETLIEEAQEKNLSLLSARITKDIAKDNISLASSGHLPSLTLDGGYNYGNETNSNNGSVLNNSGDDYTTNDFSLGLNLVVPLYTGGNTTSQTKQAEFNYVSASQDLEATYRGVVKEVRAQNNNINASIGALRAYEQSVVSARSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYNYILSVLQLRQAVGTLNEQDVLDVDAGLVAKK ->ARGMiner~~~viomycin phosphotransferase~~~WP_064456700.1~~~peptide unknown +>ARGMiner~~~viomycin phosphotransferase~~~WP_064456700.1~~~peptide~~~unknown MGITATHRDLLTRLLPGDTTDELAVHQGQFHHVVIGSDRVVCFPRTEAAARRLPERAAVLRALAGIDLGCRTPRPLFAGGAQDPDEPPYLVLSRIPGAPLADGVLDSPGIAEAVARGCVTLLCGLAAAGEDERARAALPEAPAGAWQEFAADVRTGLFPLMSADGRERAGRELAALDALPHLTTAVVHGDLGGENILWETAGGVPRPSGVVDWDEVRFGDPAEDLAAIGASYGEELLGRVLALGGWADRATAGRISAIRGTFALQQALCALRDGDEEELADGLDGYR ->ARGMiner~~~bcr-1~~~WP_042091741.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_042091741.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPMLGHLIMLKFPWQSLFWTMATMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~adeG~~~EBA47966.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~EBA47966.1~~~fluoroquinolone;tetracycline~~~unknown MRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKSATPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETAHINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATSVAGGVQRPRAAQGNARA ->ARGMiner~~~macB~~~WP_021518974.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_021518974.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSNVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~bcrA~~~COF64653.1~~~peptide unknown +>ARGMiner~~~bcrA~~~COF64653.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGKQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGEDLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVYKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGRLLEEVSLDKLRKANRKYIEFQVNNENKAAMLLENQFQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNNIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~Escherichia coli ampC~~~WP_032237529.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_032237529.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~acrB~~~WP_045440626.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_045440626.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFQSGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMDPTELNKYQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDIIAKFNGKPASGLGIKLATGANALDTAAAIRAELVKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLAEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAIFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFDKSTNHYTDSVGGILRSTGRYLALYLIIVVGMAYLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTDYYLTKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGEENKVEAITSRAMAAFSKIQDAMVFAFNLPAIVELGTATGFDFQLIDQAGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPEDIGNWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEQLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATLRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLDAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDVEHSHPVDHH ->ARGMiner~~~macB~~~WP_000188207.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188207.1~~~macrolide~~~unknown MTPLLELSNIRRSFPSGEDVVEVLKGINLTINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGIYKVAGQDVSTLDSDSLAQLRREHFGFIFQRYHLLSHLTALQNVEVPAVYAGIERKQREARAQALLQRLGLGERVDYRPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRERGHTVIIVTHDPHVAAQAERVIEIRDGEIVSNPPAKNSAIAQTFSDSSAQPRSGWRQFIAGFREALTMAWLALATNKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAIQKQSWVASATPAVSQNVRLRYGNIDVAAAANGVSGDYFNVYGMTFSEGNTFNKEQLNGRAQVVVLDNNTRRQLFPHKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMASRVMGQSWLNSITVRVKEGYDSAQAEQQLTRLMTLRHGKKDFFTWNMDGILKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVMVCLVGGALGICVSLLIAFTLQLFLPGWEIGFSPVALLTAFLCSTITGILFGWLPARNASRLDPVEALARE ->ARGMiner~~~tolC~~~WP_024159120.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_024159120.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSNTHGPGSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~macB~~~WP_032187271.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032187271.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVAERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB~~~WP_002090011.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002090011.1~~~fosfomycin~~~unknown MIQSINHICFSVINLEKAIEFYQNILQAKLLVKGRKLAYFDLNGLWIALNVEESIPRNEVQYSYTHIAFTVTNNEFDSLKEILIQNHVNILPGRERDDRDKRSIYFTDPDGHKFEFHTGTLQDRLQYYKEDKKHMTFY ->ARGMiner~~~floR~~~WP_034065992.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_034065992.1~~~phenicol~~~unknown MTITRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPSMIQLTLSLYMVMLGVGQVIFGPISDRIGRRPILLAGGALFIVASLGAAWSSTAAAFVAFRLLQAVGASAALVATFATVRDVYASRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFFGWRAIFVTLAALALPALLNAGFRWHETRPSDEVNTRRSVLPIFVSPNFWVYTVGFSAGMGTFFVFFSTAPRVLIGQADYSEIGFSLAFATVALAMIVTTRFAKSFVARWGIAGCAARGMALLVCGAILLGIGELFGSPSFLSFILPMWVMAVGIVFTVSVTANGALAQFDDIAGSAVAFYFCVQSLIVSIVGTLAVTLLNGDTAWPVICYATTMAMLVSTGLALLRFREVHAERSPVV ->ARGMiner~~~macA~~~WP_050892763.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050892763.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATAKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~macB~~~WP_012905216.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_012905216.1~~~macrolide~~~unknown MTALLDLSNIRRSYPSGEEQVEVLKGINLQINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDRDALAQLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKQRLARAQALLQRLGLGERVEYHPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSRSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIHDGEIVRNPPSRPVPQGKGIQEPTVTTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDYGDDDPQYQQALKYDDLIAIQKQPWVTSATPAVSQNLRLRYGNIDVAASANGVNGDYFNVYGMTFREGNTFNREQLNGRAQVVVLDSNARRQLFPHKASVTGEVILVGNMPATVIGVTEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDEVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGIGLSMLIAFTLQLFLPGWEIGFSPMALLTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtP~~~WP_052938693.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_052938693.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARTLFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mdtH~~~WP_004237312.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_004237312.1~~~fluoroquinolone~~~unknown MSLVRQARTLGKYWLLVDNLLVVLGFFVVFPLISIRFVEQLGWAGIVVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIVIGMFLRAGGFALMAMADEPWILWLSCILSAIGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVLGALLGSWLLIYDFHLVCWVGAAIFIITALCNAWLLPAYRISTTRTPVKEGLTRVLSDKRFSRYVLTLTGYFILSVQVMLMFPIIVNELAGTPAAVKWMYAIEAALSLTLLYPLARWSEKHFRLETRLMAGLFLMSLSMFPVALTHSLHVLFAIICLFYLGSVIAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWLYDLGKEMQLPELPWFLLGTIGLITLIALYRQFNPKKISPAVLN ->ARGMiner~~~macA~~~WP_001201742.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201742.1~~~macrolide~~~unknown MRAKGKKFKKRYLAIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGAAP ->ARGMiner~~~mdtF~~~EIQ03268.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~EIQ03268.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIELLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMRLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTSTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~L1 beta-lactamase~~~WP_049462644.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049462644.1~~~cephalosporin~~~unknown MRFSPLAFALVTALPIAHASGAETPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTQDLTALLVQTADGAVLLDGGMPQMAGHLISNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRTGAKVAASAESAVLLARGGSDDLHFGDGITYPPASADRIVMDGEVVEVGGIEFTAHFMPGHTPGSTAWTWSDTREGKPVRIAYADSLSAPGYQLQGNARYPRLVDDYKRSFATVRALPCDVLLTPHPGASNWDYASGSKASAKALTCKAYADAVEQKFDAQLAKETARAR ->ARGMiner~~~mdtP~~~WP_001522245.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001522245.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISSCALVRRDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQIQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVLQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~tetX~~~AMP52958.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP52958.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGIFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKKFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~macA~~~WP_002243331.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002243331.1~~~macrolide~~~unknown MAKMMKWAAVAAVVAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~tolC~~~WP_017827539.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_017827539.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLVCLLVTMSLAGFSTASQAEDLLQVYQKAKDSNPELRKSLAERNQAFEKINEARSPLLPQLGLGASIDYKSGYRDAKNTESNSLGANLTLTQTIFDMSLWRQLTMQEKTAGMSDVTYQTSQQQLILDTATAYFNVLRAIDSLSFIEAQKEQVYRQLDQTTQRFNVGLVAITDVQNARANYDSVLAQEVAGRNELDNALEKLRQVSGVYYINLASLNIARFSTTPPDAIDKLLKDAEERNLSLLSARLGQDLARENIRLAQSGHLPTVNLNASTGVSNSHNHGSALPPETPANSRNSYNGQSSIGLSLSIPLYTGGRTSSQVEQAQYGFTSASEQLESVYRSIVQIARSSYNNISASISSIKAYQQVVVSAQSSLDATEAGYQVGTRTIVDVLNATTTLYDAKQKLSSARYDYLINQLNIQYARGTLNENDLIQLNNALGEEISTSPDNIIRPLTSPVLNTTN ->ARGMiner~~~bacA~~~Q880L3~~~peptide unknown +>ARGMiner~~~bacA~~~Q880L3~~~peptide~~~unknown MDLWTAAQALILGIVEGLTEFLPISSTGHQIIVADLIDFGGERAMAFNIIIQLGAILAVVWEFRRKILDVVVGLPKQQEAQRFTLNLLIAFMPAVVLGVIFADTIHHYLFNAITVATALVVGGVIMLWAERRVHTVRTETVDDMTWRDALKIGLVQCLAMIPGTSRSGSTIIGGLLFGLSRKAATEFSFFLAMPTMVGAAVYSGYKYRDMFRPDDFAVFAIGFITSFVFAMIAVRALLKFIATHSYAVFAWYRIAFGLLILATWQFGWIDWASAKA ->ARGMiner~~~Escherichia coli ampC~~~WP_016233737.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_016233737.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINDSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~macB~~~WP_050193691.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_050193691.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFRKALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_001737026.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001737026.1~~~macrolide~~~unknown MTPLLELKDIRRSCPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-1~~~ANG29540.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG29540.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGTSLIEHW ->ARGMiner~~~emrB~~~WP_032415421.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032415421.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGVVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~emrB~~~WP_015953212.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_015953212.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMYHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~tolC~~~EYD68384.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EYD68384.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLKLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYQQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~tolC~~~WP_064377960.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064377960.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDAKDQNSDVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSSVSNSSYSGSKNTTQDRDIGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~cmeB~~~WP_002866634.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002866634.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGVISLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALVLAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLNKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~emrB~~~WP_001494381.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001494381.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGAIGTAITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtO~~~EGK30518.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~EGK30518.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQIVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPNRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAVAARECNLENICQTLLQLGQMNPNTPPTPAAKPPSMVADAFTNPDYIRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMLWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAMIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~hmrM~~~WP_032231210.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032231210.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKVVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~emrK~~~NC_002695.1.915653.p01~~~tetracycline unknown +>ARGMiner~~~emrK~~~NC_002695.1.915653.p01~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLVIVLFIAFSGAYAYWSMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQAYKANKALVMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTKNEDIAEMPELASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~emrB~~~NC_010410.6002639.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~NC_010410.6002639.p01~~~fluoroquinolone~~~unknown MNATPTHQALQPEYRLLVLLVSIGFFMQALDTTIVNTAIPAMAHHLNEDPLRMHSVVVAYVLSVAACIPLSGWLADRFGVRNTFLSAIIIFTLASLGCGLSQSLNQLLFFRVIQGIGGALLLPVGRLSLLKIIPRTQFLAAMSLMSLAGLLGPLAGPTLGGWLVEVATWHWIFLINIPMGVLGILMTLKVMPNVKEPTVKTFDLIGFVLLVVAMIGWSLGIEHLASPEYSKWFSISLLVVGVIATLWYAYHSHTHQNALFRSRLFRNKIYAIGILGNFFARFGGNALPFVLPLMLQVAFGFEPFIAGLMMIPLVLGSLFSKPIVRPIIQKMGYRRFLLINTTLVGLCIASFAIMTVDTPTWFRALHFFLFGTLNSLQFVGMNTLTLKDLPQQDASSGNSFLSMIMMLSMSIGVALAGTLINIFTDYYGTAHVTTAFHVTLICLGCINIITALIFWQIPKNTPV ->ARGMiner~~~macA~~~WP_004191152.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004191152.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPSYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~tolC~~~WP_048298285.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048298285.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTSKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASSGVSNNRYSGSNSISQDADIGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTTQSSGSNPFRQ ->ARGMiner~~~arnA~~~WP_016244890.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_016244890.1~~~peptide~~~unknown MKTVVFAYHDMGCFGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDDVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQMLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_023217979.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023217979.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVEALARE ->ARGMiner~~~mdtE~~~EGC96509.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~EGC96509.1~~~macrolide;fluoroquinolone;penam~~~unknown MGVVTITPGTVSVTSELPGRTVPFEIAEIRPQVGGIIVKRNFIEGDKVKQGDSLYQIDPAPLKAALDSAKGNLAKAQSAASNARLTFNRQSSLLHSNYVSRQDYDNARSQLNEAEANVTVAKADVEQAAINLKYANVTSPITGISGKSSVTVGALVTANQSEALVTVQRLDPIYVDLTQSVQEFLRLKEEIASGQIQQVKGQTPVALNLENGKRYAQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALLDEGSRQNVLMVPQEGVTHNAQGKATALILDKDDVVQQREINAVKAIGNQWLVTAGLQPGDRVIVSGLQRIRPGIKAQAISSDENTASNPAKQ ->ARGMiner~~~hmrM~~~KLW31786.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~KLW31786.1~~~fluoroquinolone;acridinedye~~~unknown MIEARQLLALAIPVIVAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRVAHQVRQGFWLAGCVSVLIMIVLWNAGYIIRAMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLLNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFGCMLTYVKHARSMRDIRNDTAFSTPDWSMMSRLTQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGFRLGQGSTLDAQTAARTGLGVGVCMAVCTALFTVLLREQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGVLRGYKDTRSIFFITFIAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAIMMMLRMRFLQRQSSAVILQRAAR ->ARGMiner~~~mdtG~~~WP_023332677.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023332677.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLVLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADNYGLRPVFFITASVLFLCFIVTLLCIHENFTPVAKKEMLHARDVLTSLKNPRLVLSLFVTTMIIQVATGSIAPILTLYVRDLAGDVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLVPMSMVHSPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGAGISASFGFRAVFIVTAGVVLFNAMYSWLSLSRALRPTTE ->ARGMiner~~~mefA~~~WP_024410015.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_024410015.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFYMELPVWIVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEYFNGTPVHISITEISFAFGMLAGGLLLGRLGSFEKPVLLITSSFFIMGASLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALYQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCPMITEVRRLDLK ->ARGMiner~~~mdtG~~~CP001138.1.gene2020.p01~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~CP001138.1.gene2020.p01~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~FosB3~~~WP_047502624.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_047502624.1~~~fosfomycin~~~unknown MIQSINHVTYSVSDISKSINFYKNILKAKILVESDKSAYFSLGGLWLALNEEKDIPRNEIQYSYTHIAFTIDESEFGEWHHWLKDNDVNILEGRNRDIRDKQSIYFTDPDGHKLELHTGTLQDRLNYYKEEKPHKKFYE ->ARGMiner~~~tolC~~~WP_063623801.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063623801.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSYNNVNASISSINAYKQAVVSAQSSLDANEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVPTSPDSVAPENPQQDAAVDNLTPNSSAPFAQPAAARSTAPASSGTNPFRH ->ARGMiner~~~mdtC~~~WP_000667590.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_000667590.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAVSNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVVVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGVVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~FosB~~~YP_001420711~~~fosfomycin unknown +>ARGMiner~~~FosB~~~YP_001420711~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHHRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~emrB~~~CP000647.1.gene3015.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~CP000647.1.gene3015.p01~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~mdtH~~~WP_025204466.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_025204466.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALIVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLHDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEAALSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~MexB~~~WP_063342720.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_063342720.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGNMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKSVKNFLMVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNYNLTPVDVKTAIAAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNKDGSQVRVGDVAEVGLGGENYSISAQFNGAPASGLAVKLANGANALDTAKALRNTIDTLKPFFPEGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRSFDRGVKSYERGVGNILTHKAPYLLAYLIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSSQRTQVVVDEMREFLLRPSSEGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLKPWEERNADNSVFKLAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKVLSQVRPNGLNDEPQYQLEIDDEKASALGVTLTDINNTLSIALGSSYVNDFIDRGRVKKVYIQGLPGARMSPEDLKKWYVRNSAGTMVPFSSFAKGEWIYGSPKLARYNGVEAMEILGAPAPGHSTGEAMAEVEALAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSMRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSMGQRKVADQDDAIEPSKEAG ->ARGMiner~~~SRT-2~~~WP_049188167.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_049188167.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILSTAQAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFNDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTDNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYNKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAGTHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEATYRIIQALEKR ->ARGMiner~~~emrA~~~WP_001615023.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001615023.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEGQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~mexY~~~WP_034054922.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_034054922.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYTLVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMPGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~vanRO~~~WP_056710274.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_056710274.1~~~glycopeptide~~~unknown MRVLIVEDEPLLAVAIRDGLRLEAIAADIAGDGDTALELLAINTYDIAVLDRDIPGPSGDEVAQRIVASGSGMPILMLTAADRLDDKATGFELGADDYLTKPFDLRELVLRLRALDRRRAHNRPPVSEIAGLRLDPFRREVYRDGRYVALTRKQFAVLEVLVGAEGGVISAEELLERAWDENADPFTNAVRITVSSLRKRLGEPWLIATVPGVGYRIDTTPSTATEGVGHA ->ARGMiner~~~OXA-46~~~gi:291191123:pdb:3IF6:C~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-46~~~gi:291191123:pdb:3IF6:C~~~cephalosporin;penam~~~unknown MAIRFFTILLSTFFLTSFVYAQEHVVIRSDWKKFFSDLQAEGAIVIADERQAKHTLSVFDQERAAKRYSPASTFXIPHTLFALDADAVRDEFQVFRWDGVNRSFAGHNQDQDLRSAMRNSTVWVYELFAKDIGEDKARRYLKQIDYGNVDPSTIKGDYWIDGNLKISAHEQILFLRKLYRNQLPFKVEHQRLVKDLMITEAGRSWILRAKTGWEGRFGWWVGWIEWPTGPVFFALNIDTPNRTDDLFKREAIARAILRSIDALPPN ->ARGMiner~~~acrB~~~WP_052987400.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_052987400.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINISLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~mdtF~~~WP_032268563.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032268563.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~macA~~~WP_032619987.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032619987.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWNVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGESREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~mexY~~~WP_057380585.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_057380585.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMSLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~mepA~~~WP_031866896.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031866896.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIGG ->ARGMiner~~~FosA2~~~WP_045330267.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_045330267.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVAEADFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~vanA~~~WP_041120633.1~~~glycopeptide unknown +>ARGMiner~~~vanA~~~WP_041120633.1~~~glycopeptide~~~unknown MNRIKVAILFGGCSEEHDVSEKSAIEIAANINKEKYEPLYIGITKSGVWKMCEKPCAEWENDNCYSAVLSPDKKMHGLLVKKNHEYEINHVDVAFSALHGKSGEDGSIQGLFELSGIPFVGCDIQSSAICMDKSLTYIVAKNAGIATPAFWVINKDDRPVAATFTYPVFVKPARSGSSFGVKKVNSADELDYAIESARQYDSKILIEQAVSGCEVGCAVLGNSAALAVGEVDQIRLQYGIFRIHQEVEPEKGSENAVITVPADLSAEERGRIQETAKKIYKALGCRGLARVDMFLQDNGRIVLNEVNTLPGFTSYSRYPRMMAAAGIALPELIDRLIVLALKG ->ARGMiner~~~emrB~~~WP_048291088.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_048291088.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMPLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~macA~~~WP_041030541.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_041030541.1~~~macrolide~~~unknown MINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKRARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~TEM-1~~~ANG11187.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG11187.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLVKHW ->ARGMiner~~~tetW~~~AMP42199.1~~~tetracycline unknown +>ARGMiner~~~tetW~~~AMP42199.1~~~tetracycline~~~unknown MKIINIGILAHVDAGKTTLTESLLYASGAISEPGSVEKGTTRTDTMFLERQRGITIQAAVTSFQWHRCKVNIVDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDKLLEKYIAGEPISREKLVREEQRRVQDASLFPVYYGSAKKGLGIQPLMDAVTGLFQPIGEQGSAALCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDPTRLPRKRWREDPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKLETVVKEPSVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLSLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPREYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGRSVCLTELKGYQAAVGQPVIQPRRPNSRLDKVRHMFQKVM ->ARGMiner~~~mdtH~~~ESD42788.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~ESD42788.1~~~fluoroquinolone~~~unknown MLIFYFPGGEMSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRTARRLLERDA ->ARGMiner~~~smeA~~~WP_005411526.1~~~aminoglycoside;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~smeA~~~WP_005411526.1~~~aminoglycoside;cephalosporin;cephamycin;penam~~~unknown MSLLRPLSRSPRPLLLPLLLALAACSAGRTDAPAMPEVGVIIARAQPLALQQTLPGRAVPFEISEVRPQVGGLIRQRLFTEGQQVKAGQLLYQVDPAPYQAAFDTARGQLAQAEATVLSAQPKAERTRALVSMDAASKQDADDATSALKQAQANVIAARAALQAARINLDYTRVTAPIDGRIGTSSVTAGALVAAGQDTALTTIQRLDPVYLDVTQSSTQMLALRKQLDAGLVKAIDGKAQVKVLLEDGSTYAHEGTLEFVGSAVDPGTGNVKLRAVIPNPDGLLLPGMYLKAVLPMATDARALLVPQKAVVRNERGEPLLRLLDATDHVVERRVSTGQVVGNQWQITSGLTAGERVIVSNGSAVSLGQQVKAVAATTAQLAAMSAVDPNGNTDEKSH ->ARGMiner~~~tet(K)~~~WP_001805519.1~~~tetracycline unknown +>ARGMiner~~~tet(K)~~~WP_001805519.1~~~tetracycline~~~unknown MLIIGISLSCLGSLIAFIGHNHFFILIFGRLVQGVGSAAFPSLIMVVVARNITRKKQGKAFGFIGSIVALGEGLGPSIGGIIAHYIHWSYLLILPMITIVTIPFLIKVMVPGKSTKNTLDIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFMLGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVATIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVASGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGVYSNILVAMAILIILCCLLTIIVFKRSEKQFE ->ARGMiner~~~vanHF~~~WP_035293250.1~~~glycopeptide unknown +>ARGMiner~~~vanHF~~~WP_035293250.1~~~glycopeptide~~~unknown MMKNIGITIYGCEQDEADVFKELSPRFGVIPAITSSAVSETNVMLAHGNQCISVGHKSEISESILLALKESGVKYISTRSIGYNHIDMKAAESMGIAVGNVAYSPDSVADYTVMLMLMAVRNAKSIVRSVEKHDFRLDSVRGKVLRDMTVGVLGTGHIGKAVIERLRGFRCHVLAYGHNKEAAANYVSLNELLQKSDILTIHVPLSADTYHMIGREQIKAMKQGAFLINTARGGLIDTDVLVKALENGKLGGAALDVLEGEEGLFYFDCTQKPIDNQFLLKLQRMPNVIITPHTAYYTEQALRDTVENTIKNCLEFERRETLV ->ARGMiner~~~tolC~~~WP_063078678.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063078678.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQISGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~tet(C)~~~ANA09320.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~ANA09320.1~~~tetracycline~~~unknown MSTNLSVIKNPRVQSDQRRLVRRPDVKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATTPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWRNSSNSRCT ->ARGMiner~~~FosB~~~KXG09183.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~KXG09183.1~~~fosfomycin~~~unknown MPIGSINHLTFSVSDLEKAVRFYQQVFGAKLLVKGRNLAYFDLNGMWLALNVQKDIPRNELRHSYTHIAFSVKEEDFDDLVQRLKELDVAIIPSRERDERDKRSVYFTDPDGHKFEFHTGSLNDRLAYYKSEKHHMQFFT ->ARGMiner~~~FosB~~~WP_000581204.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000581204.1~~~fosfomycin~~~unknown MIHGINHLCFSVSDLEESIQFYETILEGKLLVKGRKLAYFDVCGVWIALNEEVDIARNEIHQSYTHLAFSVQQEDFQQLLKRLEENKVHILQGRERDVRDCQSIYFIDPDGHKFEFHSGTLQDRLKYYKEAKPHMKFY ->ARGMiner~~~MexT~~~WP_031685846.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~WP_031685846.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNRNDLRRVDLNLLIVFETLMHERSVTRAAEKLFLGQPAISAALSRLRTLFDDPLFVRTGRSMEPTARAQEIFAHLSPELDSISTAMSRASEFDPATSTAVFRIGLSDDVEFGLLPPLLRRLRAEAPGIVLVVRRANYLLMPNLLASGEISVGVSYTDELPANAKRKTVRRSKPKILRADSAPGQLTLDDYCARPHALVSFAGDLSGFVDEELEKFGRKRKVVLAVPQFNGLGTLLAGTDIIATVPDYAAQALIAAGGLRAEDPPFETRAFELSMAWRGAQDNDPAERWLRSRISMFIGDPDSL ->ARGMiner~~~emrB~~~WP_047066733.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_047066733.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFVWSTVAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWVSAGIFILLLSLVWFARPPFSAGGGGGGAH ->ARGMiner~~~macA~~~WP_063435807.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063435807.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGEMREREVVIGARNDTDVVVVKGLEEGEEVVISESLPGAAK ->ARGMiner~~~mdtM~~~WP_001188921.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001188921.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGTVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~emrK~~~WP_001308821.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_001308821.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLAVVLFIAFSGAYAYWSMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQAYKANKALVMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTKNEDIAEMPELASTVTSMPAYTSKALVIDTTPIEKEISNIISHNGQL ->ARGMiner~~~tet(42)~~~WP_045264616.1~~~tetracycline unknown +>ARGMiner~~~tet(42)~~~WP_045264616.1~~~tetracycline~~~unknown MLIVLTMLTVIGMTVVLPVLPFVVLQYVSHESDLAIWVGVLEAINGLCAFLVAPFLGRLSDRFGRRPVIIVAAFGAAFSMALFGFGGALWVLVLARVIQGLTAGDLPALFAYLADITPPEQRAKRFGLLGALSGIGTMIGPAIGGLLAAISIQLPVFLTAAVALTIAILSIFLLPESLKPGNRIAAITLRDVQPFAVFKEAFGRKELRGLMIGFGLLALPFGFFVNNFSVLALDSIQWGPTQIGLLTAAVGIIDILIQGVLLGILLPRIGERGVIVSGIVAQMIGLAALAVVASVFAQPWVFIVGALMLAAGQGASQAAMDGAMSNAVGDDEQGWLGGATQSLNAAMGTAAPLIAGALYALVSHAAPYWLGVGLMIVAVIVVSRAHIANTAKRPAGGTTGDAPAALVETAG ->ARGMiner~~~mdtH~~~WP_062899913.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_062899913.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVAMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~y56 beta-lactamase~~~WP_050322900.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050322900.1~~~cephalosporin;penam~~~unknown MKHSSLRRSLLLAGITLPLVNFALPTWAAAIPGSLDKQLAALEHSANGRLGIAMINSGAGTKILYRGAQRFPFCSTFKFMLAAAVLDQSQSQPNLLNKHINYHESDLLSYAPITRKNLARGMTVSELCAATIQYSDNTAANLLIKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASMNKLVLGDALRPAQRSQLAAWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATQIILSQIS ->ARGMiner~~~mdtP~~~WP_021563012.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_021563012.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSVWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRNVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPELKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~msbA~~~WP_000597241.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597241.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLKNESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macA~~~WP_031954363.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_031954363.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSAYKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~arnA~~~WP_001661543.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001661543.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYATDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEAPCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtH~~~CP004022.1.gene1136.p01~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~CP004022.1.gene1136.p01~~~fluoroquinolone~~~unknown MALVTQARTLGKYFLLLDNMLVVLGFFVVFPLISIRFVEQLGWAGVIVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIITGMLLRALGFALMALADEPWILWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLLYDFHLVCWVGAGVFVLAAIFNAWLLPAYRISTTRTPIKEGLKRVILDKRFVQYVLTLTGYFVLSVQVMLMFPIVVNEIAGTPSAVKWMYAIEALLSLTLLYPIARWSEKHFRLEQRLMAGLFLMSISMFPVGITHSLHAIFLIITLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWMYDIGKQLELPELPWFLLGTIGFITLYALHRQFNRKKIETAMLTP ->ARGMiner~~~emrB~~~WP_052991689.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_052991689.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTKRRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGAIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~tolC~~~WP_058114916.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_058114916.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGVSDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~mdtN~~~WP_048971036.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_048971036.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTKPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~novA~~~WP_055701183.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_055701183.1~~~aminocoumarin~~~unknown MHHDEPTWTPPPQAPDQEPPRQLRRILRLFRPYRGRLALVGLLVCAASLVSVATPFLLKEILDTALPQGRTGLLSLLALGMILSAVVTSVFGVLQTLISTSVGQRVMHDLRTAVYGRLQSMSLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKIATERQKQMAVMAATVTESLSVSGIVLGRTMGRADSLTTSFAAESERLVDLEIRSNMAGRWRMAVITIVMAAMPAVIYWTAGLAFQLGGPTVSIGTLVAFVSLQQGLFRPTVSLLSTGVQIQTSLALFQRIFEYLDLTVDITEPENPVRLDEIKGEIRFEDVEFRYDEKSGPTLQGIDLAIPAGGSLAVVGPTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATDEELVTAARAAQIHDHIASLPDGYDTVVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTERAVQEAIDALSADRTTLTIAHRLSTVRGADQIVVLDAGRTAERGTHEELLARDGRYAALVRRDAQLEPTA ->ARGMiner~~~MexB~~~WP_056739344.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_056739344.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSRFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRVWLDPAKLNNFNLTPVDVKAAIAAQNIQVSSGQLGGLPAAPGQQLNATIIGKTRLQTAEQFNKILLKVNKDGSQVRLSDVADVGLGGENYSINAQFNGAPASGLAVKLANGANALDTAKALRKTIETLKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRNFDRGVKSYERGVGNMLANKAPYLLAYLVILVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAQRTQVVIDEMRSYLLDKESSAVASVFTVNGFNFAGRGQSSGLAFIMLKPWDQRDAENSVFKLAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDKLMEARNQFLGMAAQSKVLYQVRPNGLNDEPQYQLEIDDEKASALGITLTDINSTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMSPEDIKKWYVRNAKGTMVPFSAFAKGEWIYGAPKLSRYNGVEAMEILGAPAPGYSTGEAMAEVEALAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALLATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAVEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSAMGQRKIADQDDALEPSKEAGL ->ARGMiner~~~norA~~~WP_023374778.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_023374778.1~~~fluoroquinolone;acridinedye~~~unknown MRKQLFTLYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGILVASFALAQMIISPFGGGLADKLGKKLIICIGLVLFSISEFMFAVGHSFTILVISRVLGGFSAGMVMPGVTGLIADISPSQDKAKNFGYMSAIINSGFILGPGFGGFLAEVSHRLPFYFAGGLGIVAFIMSLIVIHNPKKMTTAGFPQYDPELLTKINWKVFLTPVILTLVLAFGLSAFETLFSLYTSDKAGYTPKDISIAITGGGIFGALFQVFFFDKFMKFTTELNFIAWSLLYSAIVLVMLIIAQGYWTIMLISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFIGPLVAGTLFDVNIEFPLYMAIAVSLSGIVIIFIEKMIRTQLNRNSK ->ARGMiner~~~emrK~~~WP_033547684.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_033547684.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLAIVLFIAFSGAYAYWSMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQAYKANKALIMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTKNEDIAEMPELASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~hmrM~~~WP_001594132.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001594132.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLMMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVKPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~amrA~~~WP_012363841.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_012363841.1~~~aminoglycoside~~~unknown MNNKRSLARRLQLAPVAFAAMLAVAGCGKGDNDKAPEAIQNATVVTVRPTAVPMTVELPGRLDAYRQAPVRARVAGIVTARTYEEGQEVKQGAVLFRIDPAPLKAARDAAQGALAKAQAAALAASDKRRRYDDLVRDRAVSERDHTEALADDTRAKADVASAKAELARAQLQLDYATVTAPIAGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVDALRRAVKSGHATGIAQHDVTVTLRRADGTAYPLKGKLLFSDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDAAVDQRAILVPRDALLRTAERTSVRVVGTNGKVKDVEVVADQMSGRDWRITRGLSGGERVIVDNAAQFAPDTAVKPVEQASPTKAASAAAARQT ->ARGMiner~~~mdtH~~~WP_064373065.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064373065.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLIMTLAMMPIGLSSNLQQLFTLICVFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAIGGAFGYAGGGWLFDAGKATGQPELPWLMLGAIGLATFVALWWQFSPKRSTSGMLEPRT ->ARGMiner~~~macA~~~WP_047037469.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047037469.1~~~macrolide~~~unknown MTLNGKRRKVWWLLALVVVIAAIWGWRILNAPLPQYQTLVARKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAENQIKEVDATLMELRAQLKQAQAERKLAQVTLARQQQLAQRQLVSRQDLDTAATDVAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLAEVKNVITIPLSALGDAIGDNRYNVRLLRNGEVKEREIVIGARNDTDVVVAKGLEEGDEVIISESTPGATK ->ARGMiner~~~tetM~~~WP_000691730.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~WP_000691730.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFHNCSLFPVYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLTPIVLEQAFRKAGTELLEPYLSFKVYAPQEYLSRAYNDAPKYCANIVNTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~mdtH~~~WP_000092203.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092203.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGDAIGYIGGGWLFDLGKSVHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~macA~~~WP_047919963.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047919963.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQASYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSLGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~mdtM~~~KEO17121.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~KEO17121.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGGIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~norA~~~WP_057492920.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_057492920.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFSVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISYRLPFYVAGTLGVVAFIMSVLLIHNPHKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKHMSELNFIAWSLLYSAIVLVMLVLANGYWTIMMISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~Bacillus subtilis mprF~~~WP_064136479.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_064136479.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHLSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~Escherichia coli mdfA~~~EKU01337.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~EKU01337.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MQNKLASGARLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIITCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQQAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNGGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHEGKKMPDCFVRSGILELMVMVVNLVFDNHPFTYAGKMANIRMRAVSRSWRRSHIDGLRHSRDADRLWNAD ->ARGMiner~~~macB~~~WP_000125868.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000125868.1~~~macrolide~~~unknown MTALLELCNISRSYPSGEAQVEVLKDISLQIRAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSMLDPDALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGIDRKKRLARARELLQRLGLSDRVDYSPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMTILHQLRDRGHTVIIVTHDPQVASQAERVIEIHDGKIVRNPPAQEKGGGQSTAAAVVNEASGWRQFVSSFREALAMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKHMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQVLKYDDLAAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFSEGNTFNVVQQRDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQIWLPYSTMSDRIMGQSWLNSITVRVKDGVNSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLLVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVRQQFLIEAVLVCLVGGALGIGLSMLIAFMLQLFLPGWEIGFSLTALLSAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_023179342.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023179342.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGTADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~macA~~~WP_046335163.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_046335163.1~~~macrolide~~~unknown MAFFLTKKQGKIVAAILIFIAIGLFFFWPKEHLPSYQTQKITRGELSKEVTATGKLDAVRKVDVGAQVSGQLQTLYVKEGDVVKKGDLLAIIDPKKAQNEVAESQETNNELTANLQQAKAELRLAQLTYQRQLKLIGTHVIAQEELDRTKTDVEVKKARVATYEAQIRKNQATLDTARTNLQYTRITAPMDGVVTFIKTLEGQTVIAAQEAPTILTLADLDTMLVKAEVSEADVIYLKPDLKASFTVLGAPDKAFNGKLKDILPTPEKINDAIFYYARFEVPNEQHLLRLQMTAQVKILLEHKKDILLVPLSALGEDVGINEYQVEVLVNGQPEKRVVKIGIRTDVYAEVISGLNENDDVILGEIAGES ->ARGMiner~~~CRP~~~WP_000242755.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_000242755.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSAQMARRLQVTSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~tolC~~~WP_000735334.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735334.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSDQDPRESDLLSAGINFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDNAKNTSGEEYNDFKIGVNLKVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~mdtG~~~WP_048216630.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_048216630.1~~~fosfomycin~~~unknown MSPSDDPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAVVMMLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFILTLFFIRERFQPVSKKEMLHIREVVASLRNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPKLGKLGDRIGPEKILIVALIVSVLLLIPMSFVQTPWQLGILRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNALYSWNSLRRRRSTEVVG ->ARGMiner~~~nalD~~~WP_058168023.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_058168023.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLAEPMFRGLVRDWGQASSAP ->ARGMiner~~~adeG~~~WP_027781543.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_027781543.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVNANAPEKAAAPLPEVDVATIVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREANANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARSGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGTLVPGLYARVKVGGSAPHEALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQPGMQHGNRRVIVSGLAAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDEPSAPLASTAKPAAPAKADS ->ARGMiner~~~Bacillus subtilis mprF~~~WP_053871829.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_053871829.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFLPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGEFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~tetX~~~AMP54221.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP54221.1~~~glycylcycline;tetracycline~~~unknown MTLLKHKKITIIGAGPVGLTMARLLQQNGVDITVYERDKDQDARIFGGTLDLHRDSGQEAMKRAGLLQTYYDLALPMGVNIVDEKGNILTTKNVRPENRFDNPEINRNDLRTILLNSLQNDTVIWDRKLVTLEPDKEKWILTFEDKSSETADLVIIANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEVNCPGFFQLCNGNRLMAAHQGNLLFANPNNNGALHFGISFKTPDEWKSKTRVDFQDRNSVVDFLLKKFSDWDERYKELIRLTSSFVGLATRIFPLDKSWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLTNGKFNSIEEAIENYEQQMFAYGREAQAESIINETEMFSSDFSFQKLMNL ->ARGMiner~~~sdiA~~~WP_064162452.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_064162452.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSIATGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWNDSLFRDAPALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPGGYPEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~mdtF~~~WP_001604027.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001604027.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICTGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~TEM-207~~~ANG27022.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG27022.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAATTMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIRHW ->ARGMiner~~~tetX~~~AMP49531.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP49531.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~acrB~~~WP_052994150.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_052994150.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWINPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTSLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~bcrA~~~WP_065382463.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_065382463.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGENLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVHKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGKLLEEVSLDTLRKANRKYIEFQVNNDDKAVMLLENHLQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLDDIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~acrB~~~WP_021525230.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_021525230.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAEPAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTNYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~MexD~~~WP_023518100.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_023518100.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLADLSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDASIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~FosA2~~~WP_045894228.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_045894228.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGELWVCLSYDEARRYVPPQESDYTHYAFTVAEEDFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYSGMVFASDEA ->ARGMiner~~~lsaA~~~WP_002407917.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002407917.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEKNAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFTPISFSINAGKIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~emrA~~~WP_004123983.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_004123983.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIVAVAYGIYWFLVLRHFEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAQTQLAASVRQTRQQMINSKQLQASIEVKKTALSQAQTDLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTKIVSPISGYVSRRSVQPGAQISSTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRGGEMLASQVRSSPVYESNAREIGLEPVNKLINDIIQANAG ->ARGMiner~~~mexH~~~WP_058166316.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058166316.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRISERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~emrA~~~EJZ63717.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~EJZ63717.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREEQQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~macB~~~WP_063443532.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063443532.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGEIDVLKGITLSIAAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRIRLERARDLLTRLGMGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSPEKGGVLRAEARAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli acrA~~~WP_053919771.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_053919771.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQAAYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETSRINLAYTKVTSPISGRIGKSNVTEGALVQNGQTTALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~TEM-1~~~ANG13795.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG13795.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQRFRVALMPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tetX~~~AMP49502.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP49502.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQRLLNV ->ARGMiner~~~emrB~~~WP_024358423.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_024358423.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFAIASWACGMSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPSAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVVMTLQTLRNRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPYNPNAQQMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWFSAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~TEM-207~~~ANG29526.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG29526.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGHGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~emrA~~~WP_006687196.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_006687196.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKNGKRKSMLLLLTLLFIIIAVAYGIYWFLVLRHVEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKQGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQASIEVQRTALAQAQSDFNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILGSKLEDQPAVQQAATEVRNAWLALERTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPATNLWVDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAQQLAQHPLRIGLSTLVTVDTANRDGQILASQVRTTPVSESNAREINLDPVNKMIEEIVRANAG ->ARGMiner~~~aadK~~~WP_033885128.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_033885128.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVESFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTQNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYKYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~mdtP~~~WP_032298198.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032298198.1~~~nucleoside;acridinedye~~~unknown MIKRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~mdtM~~~WP_016244236.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_016244236.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLSMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQIPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~tmrB~~~P12921~~~nucleoside unknown +>ARGMiner~~~tmrB~~~P12921~~~nucleoside~~~unknown MIIWINGAFGSGKTQTAFELHRRLNPSYVYDPQKMGFALRSMVPQEIAKDDFQSYPLWRAFNYSLLASLTDTYRGILIVPMTIVHPEYFNEIIGRLRQEGRIVHHFTLMASKETLLKRLRTRAEGKNSWAAKQIDRCVEGLSSPIFEDHIQTDNLSIQDVAENIAARAELPLDPDTRGSLRRFADRLMVKLNHIRIK ->ARGMiner~~~macA~~~WP_000746461.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746461.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEDKPGAAQ ->ARGMiner~~~lsaB~~~WP_000061780.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061780.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFLNLLLGNYEYSGKILASVEFNYFPYPVADKNKFTHEILEEICPQAEGWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEQATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSDSKLDKGFVGHKAAKMMKRAKNLESRQQKVIEEKSKLLKNVEKTESLKLEALKFKSNELVILADVSVKYDDQVVNEPISFIVEQDDRIVLDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDYIEEQKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~arnA~~~WP_023206204.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_023206204.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPTGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNEGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLNWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~macB~~~WP_047064288.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047064288.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGEVDVLKGITLSIAAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRIRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSPEKGGVLRAESRAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_032005587.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032005587.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEAAKKSQGNGARLERLNLTAEQKQLIEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~macB~~~WP_042191851.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_042191851.1~~~macrolide~~~unknown MTPLLELKDIRSSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_008911364.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_008911364.1~~~fluoroquinolone~~~unknown MAQVSKARSLGKYFLLFDNMLVVLGFFVVFPLISIRFVDQLGWAAVIVGFALGLRQFVQQGFGIFGGAIADRFGAKPMIVIGMLLRACGFAFMAIADNPWMLWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLQYDFHYVCWAGALVFIIAAICNALLLPAYRISTVRSPIKEGMGRVLKDRRFVTYVVTLSGYFMLAVQVMLMFPIVVNELAGSASAVKWMYAIEAAISLTLLYPIARWSEKHFRLEQRLMAGLFLMSLSMFPIGMTSSIHVLFGLICLFYLGTVTADPARETLSASLADPRARGSYMGFSRLGLALGGAVGYTGGGWMYDIGHQWKIPQLPWFLLGIIGFITLWALHKQFNHKKIEPVILTGSK ->ARGMiner~~~AQU-1~~~WP_042065775.1~~~cephalosporin unknown +>ARGMiner~~~AQU-1~~~WP_042065775.1~~~cephalosporin~~~unknown MLGTRWPVRLTAPEHTEIFAMKQTRSLPLLALGTLLLAPLALAAPVDPLNAVVDDAIRPMLKQHRIPGMAVAVLKGGQAHYFNYGLADMAAGKKVSEQTLFEIGSVSKTYTATLGAYAVVKGGFKLDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVESVEQMQAYYRQWMPAYQPGSHRQYSNPSIGLFGYLAASSQQQPFAQLMAQTLLPGLGMHHTYLDVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANISGVDDKALQQAISLTHQGRYSVGEMTQGLGWESYPYPVSEQTLLAGNSSAVIYNANPVKPVAASQETGGARLYNKTGSTNGFGAYVAFVPAKGIGIVMLANRNYPNEARVSAAHAILSQLAP ->ARGMiner~~~macA~~~WP_023261332.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023261332.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTGIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mdtH~~~WP_036975833.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_036975833.1~~~fluoroquinolone~~~unknown MAQVSRARSLGKYFLLLDNMLVVLGFFVVFPLISIRFVEQLGWAAVVVGFALGLRQFVQQGFGIFGGAIADRFGAKPLIIIGMLLRAAGFVLMALAYDPWVLWLSCVLSALGGTLFDPPRTALVIKLTRPYERGRFYSLLFMQDSAGAVIGALIGSWLLQYDFHYVCWAGAAVFVIAALFNAWLLPAYRISTIKAPIRDGMHRVLKDKRFVTYVITLSGYFMLSVQVMLMFPIAVNELAGTPTAVKWMYAIEAAISLTLLYPIARWSEKHFRLEQRLMAGLFLMSLSMFPIGMTSSINILFVLIGIFYLGTVTADPARETLSASLADPRARGSYMGFSRLGLALGGAVGYTGGGWMYDIGHQWNIPQLPWFLLGTIGFITLWTLHRQFNRKKIETVMLSGQ ->ARGMiner~~~emrA~~~WP_001603305.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_001603305.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLSHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~CAU-1 beta-lactamase~~~WP_041538501.1~~~carbapenem;penam unknown +>ARGMiner~~~CAU-1 beta-lactamase~~~WP_041538501.1~~~carbapenem;penam~~~unknown MAALATLLLALAPAAHADMPANWTKPTKPYRVVGNIYYVGTEGISSWLITSSEGHVILDGGPNAETGKQIERNIASLGFQLADVKFLINTHAHYDHAGGLAQLKADIPAAKLWISRGDEPAIEQGHHIGDNENGPTPMPAVKVDRAFGDGQKLKLGETSLVAHLTPGHTIGCTTWTTAVIEKGRPLNVTFPCSLSVAGNMLVGNKGHKTIVADYRASFATMRAIPTDVVLPSHEEQGDLLAKRQKQLRGDPNAFVDPTEMGRFVDGYEAAFNKGLARQQGVGGAQ ->ARGMiner~~~macB~~~WP_021546369.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_021546369.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNIIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNSFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_023287443.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023287443.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFTILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~lsaB~~~WP_000061806.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061806.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQNLTFSYPGSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYPVADKNKFTHEILEKICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKVVSDYLRKKKGFVLISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFERQQEHEEATNERLQKDIGRLKQSFKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEALKFKSNELVTLADVSVKYDDQVVNEPINFVVEQGDRIVLDGKNGSGKSSILKLILGHPIQHSGLVTLGTGLTISYVQQDTSHLKGSLSDFIEEHKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCKKAHMYIWDEPLNFIDIYSRMQIEELIQQFNPTMIIVEHDKAFQQTIATKTISM ->ARGMiner~~~hmrM~~~WP_032411643.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032411643.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRTLLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARTMRDIRCVERFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMRKQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~TEM-1~~~ANG22144.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG22144.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAGIGASLVKHW ->ARGMiner~~~MexD~~~WP_043081214.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_043081214.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLRPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~SRT-2~~~WP_006324999.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_006324999.1~~~cephalosporin~~~unknown MKRIHKLAVALLTALAIPAGHAADKVDIDAIVQPLMQKYGVPGMAIAVSVEGKQQFYHYGVASKQTGKPITNQTLFEIGSLSKTFTATLAAYANDEGKLSFAEPASRYLPELRGSAFDHVSLLNLATHTSGLPLFVPDEVTNDAQLMAYYKQWQPSQPAGSTRVYSNLGIGMLGMITAKSLNQPFAQAMEQRLFPALGLTHSYINVPTGQMANYAQGYNKKDQPVRVTPGPLDAESYGVKSNAQDLIRYLEANMQVAKVGDKWRKALDETHTGYYRAGVFTQDLMWESYPYPVALARLTEGNNAGMIMNGTSATAITPPQREQGAAWFNKTGSTGGFSTYAVFLPKQKIAVVMLANKWFPNDDRVAATYRIVQALEKP ->ARGMiner~~~vanD~~~WP_033144146.1~~~glycopeptide unknown +>ARGMiner~~~vanD~~~WP_033144146.1~~~glycopeptide~~~unknown MFKIKVAVLFGGCSEEHNVSVKSAMEVAANINKEKYQLFYIGITKSGAWKLCDKPCRDWENYAGYPAVISPDRRTHGLLIQKDGGYESQPVDVVLPMIHGKFGEDGTIQGLLELSGIPYVGCDIQSSVTCMDKSLAYMVVKNAGIEVPGFRVLQKGDSLEAETLSYPVFVKPARSGSSFGVNKVCRAEELQAAVTEAGKYDSKILVEEAVSGSEVGCAILGNGNDLIAGEVDQIELKHGFFKIHQEAQPEKGSENAVIRVPTALPDEVREQIQETAKKIYRVLGCRGLSRIDLFLQEDGSIVLNEVNTMPGFTSYSRYPRMMTAAGFTLSEILDRLIGLSLRR ->ARGMiner~~~aadK~~~WP_029727170.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_029727170.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVDSFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKGSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLTYQYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~tolC~~~WP_054624016.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_054624016.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGVDSTAKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDNFKTTKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTSGAAASRYADSKIGQNSIGLSFNLPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTAPESVAPENPEQDAAVNNMANGGGNTPAMQPAAATRSSNSNSGNPFRQ ->ARGMiner~~~acrE~~~WP_023291163.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_023291163.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNPQPHAQAPQVTVHVVNSGPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATKASVESARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELLMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGTDPQAILVPQQGVTRTPRGDASVMLVNDKNQVETRQVVASQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEVERAAPVAQ ->ARGMiner~~~amrA~~~WP_014897085.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_014897085.1~~~aminoglycoside~~~unknown MNNKCSLSCRHRLAPFALAAVLAVAGCGKGEKDTAPEAMQNAAVVTVRPTAVPMTVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVTQGAVLFRIDPAPLKAARDAAQGALAKAQAAALAASDKRRRYDDLVRDRAVSERDHTEAVADDTQAKADVTSAKAELSRAQLQLDYATVTAPISGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAVDVDALRRAVKSGRATGIAQHDVAVTLLRADGSAYPLKGKLLFSDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDAAVDQRAILVPRDALLRTVDRTSVRVVGANGKVKDVEVVADQMSGRDWRITRGLAGGERVIVDNAAQFAPDTAVKPVEKAPPTKAASPAAARQT ->ARGMiner~~~emrB~~~WP_058914934.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_058914934.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLLPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~adeA~~~WP_055325699.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~WP_055325699.1~~~glycylcycline;tetracycline~~~unknown MKIERLRRDGGVHALLVAMLAGCGPSEPQNAPPAAQVAATTVVPTHLELTEDLPGRVAAVRVAEIRPQVSGIVLRRLFEQGTEVRAGQPLFQINPAPFRADADTAAAALRRAEAALARARVQTTRLQPLVEADAVSRQVYDDAVSQRDQAAADVEQARATLARRQLDLKFATVEAPIPGRIDQALVTEGALVNSGDSNPMARIQQIDQVYVDVRRPASSLEALRQTLATQTAGAGNGLPVAVLRGNGEPYEAKGRILFSGINVDAGTGDVLLRVLVNNPRRQLLPGMFVRARVPHVRYTDALTVPQQAVVRVGGQPRVWALDANGHARLKPVELGELVDRRYRIRTGLSAGQKIVVEGMERLSDGAAVTAHDWKSPEPVPAGPAH ->ARGMiner~~~FosB~~~WP_048527426.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_048527426.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENSITFYEKVLEGELLVKGRKLAYFHVCGVWIALNEEAHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRINYYKEDKPHMTFY ->ARGMiner~~~vanXO~~~WP_027929810.1~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~WP_027929810.1~~~glycopeptide~~~unknown MNDDFAYVDELVPGIRWDAKYATWDNFTGKPVDGYLANRIVGTRALCAALEQARDKAASLGFGLLLWDGYRPQRAVDRFLRWSGQPEDGRTKARHYPNIDRADMVEKGYVAAKSGHTRGSTVDLTLYHLATGELAAMGGRHDLMDPVSRHRATEIAPAEFQNREHLRSIMEDCGFDRYDREWWHYTLRNEPFPDVYFDFPIT ->ARGMiner~~~MexF~~~WP_031594729.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_031594729.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSVNTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQDARKAARAQRLQNLPAEMH ->ARGMiner~~~mdtM~~~WP_032240872.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032240872.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINMVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVFRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTESRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mdtB~~~WP_021551023.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_021551023.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVITRLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALLIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~emrA~~~WP_004881012.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_004881012.1~~~fluoroquinolone~~~unknown MLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKGDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~tolC~~~WP_061685371.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_061685371.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPALNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~ACT-29~~~WP_060573544.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_060573544.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKFLCCALLLSTSCSVLAAPMSEKQLADVVERNVTPLMKAQGIPGMAVAVIYQGQPHYFTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPDLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANSSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEETHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMANMAPDALQDTSLKQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVSPPVPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~macA~~~EFC33136.1~~~macrolide unknown +>ARGMiner~~~macA~~~EFC33136.1~~~macrolide~~~unknown MKKKIILIILIVILGSIGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLQSAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLDYTTISAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSNSTTSSSSSGSSSSSSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSNSKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADDLAPKIKLRF ->ARGMiner~~~emrA~~~WP_024171025.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_024171025.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIISPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLGSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~macA~~~WP_045348331.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045348331.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAQ ->ARGMiner~~~adeG~~~WP_033852043.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_033852043.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANATLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPQPQPTDKTSTPAKG ->ARGMiner~~~norA~~~WP_001670288.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001670288.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRLAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~macA~~~WP_047090888.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047090888.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARATYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~tolC~~~WP_047358647.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047358647.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFTTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLGLTASTGVSDTSYNGSKTNTSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPIPTSPDSVAPQNPQQDAAVNDFNSNGNMPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~lsaA~~~WP_016630030.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_016630030.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLGNFSGDSEGEATLAHQLTISYVRQDYEDNLGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~emrA~~~CRL59872.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~CRL59872.1~~~fluoroquinolone~~~unknown MSVNEENTPPQAPIRNKKRTRRNVLLLLTILFIVAGIAYTAYWFMVLRHHETTDNAYVTGNQIMVMPQISGSVTTVYVDNTDFVKAGEPLVLLDSSDEKLALEKAKTALANSVRQMHQQIINGRQLKANIVLRETELTKLQNDLRRREVLGERNVIGKEELQHAREAVSTAKAALEVAREQYNANQAIILNTPIAQQPSVLQASTDVRNAWLALERTKILSPTDGYVSRRSVQVGAQVAPGKPLMAIVPITGMWIDANFKETQLANMRIGQPAKITTDFYGKKVIYHGTVLGLDMGTGSAFSLLPAQNASGNWIKVVQRLPVRISLDEKELAEKPLRIGLSSEVTVDTINLDGKVLSHSERQVPAYHTDALTIDMSAINKLINEIIEQNAGQ ->ARGMiner~~~sul1~~~WP_031991321.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_031991321.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLAPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~PDC-7~~~WP_025126369.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_025126369.1~~~monobactam;carbapenem;cephalosporin~~~unknown MQQKTLAGLGITSACALLLGTSVALADTPQDQALKTTVDATIRPLMHQQGIPGMAVAVIVDGQRHYFNYGVASKDNQHPVDNDTLFEVGSVSKTFAATLAGYAQASGKLALKDNASQYLPALRGSAFDGVSLLQLGTYTAGGLPLQFPDAVQTQEQTLDYYNTWKPRFTPGTQRQYSNPSLGLFGYLSARSLGQPYDQLLEQTLLPKLGLKHSYLQVPADQQSHYAQGYDKQNQPIRVSPGPLDAEAYGIKTSAADLLQYLAGNLQPGQFDDTLHKALLATQSGYYQVGDMTQGLGWERYAYPVSLARLVAGNSTQMALEPHPVQWLTPPQPPKADALYNKTGSTSGFGAYLLFVPSQQIGIVLLANKNYPNDERIKAAHKILSALEATK ->ARGMiner~~~FosB~~~WP_000943765.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000943765.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFEYLIQRLEENDVHILKGRERDVRDCESIYFVDPDGNKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~MexB~~~WP_054596050.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_054596050.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLMVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKTAIAAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKEILLRVNKDGSQVRLSDVADVGLGGENYSISAQFNGSPASGLAVKLANGANALDTAKALRKTIDNLKPFFPEGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRNFDRSVRSYERGVGNMLKHKAPYLLAYIIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTSQRTQVVVDEMREFLLRPGKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLRPWAERNADNSVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDKLMAARNQFLGMAAQSKVLSQVRPNGLNDEPQYQLEIDDEKASALGITMTDINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMSPEDLKKWYVRNSQGAMVPFSAFAKGEWIYGSPKLARYNGVEAVEILGSPAPGYSTGEAMAEVEALAQKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALLATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLSDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATVLAIFWVPLFFVTVSSMGQRKTADQDDVAIETSKEAGQ ->ARGMiner~~~arnA~~~WP_001497707.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001497707.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYATDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHPFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtG~~~WP_047958257.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_047958257.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLAVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGMAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADSYGLRPVFFITASVLFLCFVVTLLCIRENFTPVAKKEMLHARDVLASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSLVQSPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGAGISASFGFRAVFIVTAGVVLFNAIYSWFSLSRALRPPTE ->ARGMiner~~~bcrA~~~AGN36970.1~~~peptide unknown +>ARGMiner~~~bcrA~~~AGN36970.1~~~peptide~~~unknown MKESGSTLSTIIKTTDLTKMYGSQKSVDHLNINVKQGDIYGFLGRNGAGKTTTIRMLLGLIKPTSGQIEIFGENFFKNKKEILRRIGSIVEVPGFYANLTARENLLINAKIIGIHKKNAIDEVLEIVGLQHETKKLVGKFSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRRLIHSLAKERNITIFISSHILSEIEQLVDHVGIIHEGKLLEEIPFDHLKKRNRKYLEFQLSDQNKAVVLMEQHFDIHDYEVHQDGIIRVYSHLGQQGKLNKLFVENGIDVLKITMSEDSLEDYFVKLIGGGTIG ->ARGMiner~~~MexA~~~WP_034065665.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_034065665.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALETNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~lsaA~~~WP_002388424.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002388424.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~tolC~~~WP_050161476.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_050161476.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQGSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~APH(6)-Id~~~WP_040110386.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_040110386.1~~~aminoglycoside~~~unknown MFMSPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~macB~~~WP_033800544.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_033800544.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVVTLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~cmeB~~~WP_002869743.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002869743.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDIADVEIGSQQYSSQGRLNGNDAVPIIINLQSGANALHTAELVQAKMQELSKNFPKGLTYNIPYDTTKFVIESIKEVIKTFIEALVLVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGDPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLNKAVPSSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVTAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDTLKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMVFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLVGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~SRT-2~~~WP_044550790.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_044550790.1~~~cephalosporin~~~unknown MTKINRLAAALFAALILPTGHAAEKADIDAIIQPLMQKYAVPGMAIAVSVDGKQQFYHYGVASKQTSKPITNQTLFEIGSLSKTFTATLAAYAQNEGKMSFADPASRYLPTLRGSAFDQVTLLNLATHTSGLPLFVPDDVTNDAQLMAYYRQWQPPHAVGSYRVYSNLGIGMLGMITAKSLNQPFTQAMEKTLLPALGMDHTFIKVPAEEMENYAQGYNKKDQPVRVTPGPLDAEAYGIKSNAQDLIRYLDANMQVVKVGEPWRKALASTHTGYYRTGVFTQDLMWESYPYPEKLATLTEGNNAGMIMNGAPATAITPPQQDQGAAWYNKTGSTGGFSTYAVFIPSQKIAVVMLANKWFPNDDRVAATYQIVQALDKR ->ARGMiner~~~macB~~~WP_040974017.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_040974017.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHDLLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIEIRDGKIVRNPPGSRQGGGLRARQQPEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexE~~~WP_058018160.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_058018160.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKTPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~mecA~~~WP_031876626.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031876626.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMNQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~FosB3~~~WP_031792635.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_031792635.1~~~fosfomycin~~~unknown MCFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~bacA~~~EQF38518.1~~~peptide unknown +>ARGMiner~~~bacA~~~EQF38518.1~~~peptide~~~unknown MSLDVIFILKSVIIAIVEGLTEFIPVSSTGHMILVGNLIDFKGQFAEMFEVVIQLGAILAVVVLYWKKIKDSIIEFFKFIFTGGKEGKIGFRFGMNVIIGCIPFAIIGVLFYDNIKSLFNLQSVIIGFIVGGILLLVVETLFRKKNHSTDNIDKITPIQALKVGTLQVLSAWPGMSRSASTIMGGWIAGLNSPTAAEFSFFLAVPAMVASSGKDLFEFDYSIMTPTLWIALVVGFIVALLYQ ->ARGMiner~~~emrA~~~WP_016507108.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_016507108.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFERAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVFQRLPVRVELDARKLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~Pseudomonas aeruginosa CpxR~~~AKU13184.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~Pseudomonas aeruginosa CpxR~~~AKU13184.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MIMTNAPDHILIVDDDREIRTLLADYLEKQGMRCTTAADGREMKAALDRHRVDLIVLDLMLPGEDGLTLCRNLRAAGPHVSTPVLMLTARGEDMDRILGLEMGADDYLPKPFVPRELLARIRAVLRRARALPPNLDAAPVQHASELGFAHWRLNTVGRHLVGPDGTIAPLSGAEYRLLSVFLSHPQRVLNRDQLMELTQGREADVFDRSIDLLVSRLRQRLGDNAREPEIIKTVRNEGYVLACAVTPVAPEDASS ->ARGMiner~~~mdtG~~~AHM74628.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~AHM74628.1~~~fosfomycin~~~unknown MTSPPQLVNWKRNLFVTWLGCFLTGAAFSLIMPFLPLYVEELGVSGHQSLNMWSGLVFSITFLFSAIAAPFWGSLADRKGRKIMLLRSALGMGIVMVLMGLAQNIWQFLALRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALIGPLIGGLLADNYGLRPVFFITAAVLFACFAMTWIYVREQFAPVLKKDMLNGRQVFNSLKNPKLILSLFVTTMIIQIATGSIAPILTLYVRELAGDIHNLAFVSGMIASVPGVAALISAPRLGKLGDKIGPERILIAMLALSVLILIPMAFVQTPLQLGILRFLLGATDGALLPAVQTLLIYNCTNQVAGRIFSYNQSFRDVGNVSGPLLGAAVSASYGFRAVFCVTAAVVVFNALYSYWCLQRQPLKAQRRDIQQQDN ->ARGMiner~~~acrE~~~WP_023321698.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_023321698.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTRHVRVTLLSSLIIPALLLSGCDDSGDRQPHAQMPQVSVYVVNSAPLSVTTELPGRTSAYRVAEVRPQVSGIILHRNFVEGSDVAAGQSLYQIDPATYQAAYNSAKGDEAKAEAAAAIAHLTVKRYAPLLGTKYISQQEYDQAVATARQADADVIATKAAVESARIDLAYTKVTSPIGGRIGKSSVTEGALVTNGQADAMATIQQLDPIYVDVTESSNDFMRLKQESLQQGSGTKSVQLIMENGQPYALRGTLQFSDVTVDESTGSITLRAIFPNPQHALLPGMFVRARIDEGISPDAILVPQQGVTRTPRGDASVMLVNDKNQVETRPVTASQAIGDKWLITSGLKAGEKVIVSGLQKVRPGVTVKAEEDTATTVAQ ->ARGMiner~~~mdtP~~~WP_001465785.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001465785.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSLLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mexY~~~WP_061181482.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061181482.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPSDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~sdiA~~~WP_006684076.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_006684076.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDHDFFTWRRSMLLRFQEMATAEDVYNELQQQTQHLEFDFYALCVRHPVPFTRPKTSLYTTYPKAWVAHYQSENYFAIDPVLKPENFSQGHLPWSDTLFRDAQPLWDAARNHGLRKGMTQCLMLPNRALGFLSVSRANIRNSRLANDEVELRMQLLVRESLSVLMRLEDDMVMVPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~macB~~~WP_023339842.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023339842.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGRERRARLARAHDLLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIEIRDGEIVRNPPGSRQGGGLRARQQPEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~IMI-3~~~ALJ52278.1~~~carbapenem unknown +>ARGMiner~~~IMI-3~~~ALJ52278.1~~~carbapenem~~~unknown MFISCLFSISFFSQANTKGIDEIKNLETDFNGRVGVYALDTGSGKSFSYKANERFPLCSSFKGFLAAAVLKGSQDNQLNLNQIVNYNTRSLEFHSPITTKYKDNGMSLGDMAAAALQYSDNGATNIILERYIGGPEGMTKFMRSIGDEDFRLDRWELDLNTAIPGDERDTSTPAAVAKSLKTLALGNILSEREKETYQTWLKGNTTGAARIRASVPSDWVVGDKTGSCGAYGTANDYAVVWPKNRAPLIISVYTTKNEKEAKHEDKVIAEASRIAIDNLK ->ARGMiner~~~lsaB~~~WP_003335783.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_003335783.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFHLLLGNYEYSGKIISSVEFNYFPYPVSDKNKYTHEIFEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKTVSDYLRKKKGFILISHDRNFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNQRLQKDIGRLKQSSKRTAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEPLEFQSNELIVLADVSVKYNDQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGNPIQHTGSMNLGSGLVISYVQQGTSHLKGLLSDFIEEHEIDEPLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQRFNPTMVIVEHDQAFQQTVATKTISM ->ARGMiner~~~sdiA~~~WP_023185724.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023185724.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEIATAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSVSRGSLRCSSFTYDEVELRLQLLVRESLSALTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~Escherichia coli ampC~~~WP_001591389.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001591389.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTSKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAAHPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~cmeA~~~WP_002778283.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002778283.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MNLFQKNTLLLLSALFLFSACSKEEAPQKQTPPQSVSTMSAKAENLPLNFTYPAKLVSDYDVIIKPQVSGVIVEKLFKAGDLIKKGQTLFIIEQDKFKASVNSAYGKALMARANFDNASKDYNRSKTLYNKGAISQKEYDSALANFNNTKANLTSARADLENARIDLAYTEIKAPFDGIVGDALINIGDYVSSSSTELVRITNLNPIYADFYISDTDKLNIVRNTQDGKWDLSNIYANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~FosB3~~~AID41023.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~AID41023.1~~~fosfomycin~~~unknown MTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMIFYK ->ARGMiner~~~TEM-1~~~ANG31757.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG31757.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLAARQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mexY~~~WP_033939474.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_033939474.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGTSPQIPTEQA ->ARGMiner~~~macB~~~WP_021240890.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_021240890.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~msbA~~~WP_043044256.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_043044256.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIEHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~acrE~~~WP_025380606.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_025380606.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTTADTASK ->ARGMiner~~~emrB~~~WP_049017006.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_049017006.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFAIPPFSAGGGGGGAH ->ARGMiner~~~cmeA~~~WP_002880477.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002880477.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQNNTILALSVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLSLSFTYPAKLVSDYDVIIKPQVSGVIVNKLFKAGDKVKKGQTLFIVEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTVGDALVNIGDYVSASTTELVRITNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAVFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQDQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~macA~~~CP001918.1.gene2796.p01~~~macrolide unknown +>ARGMiner~~~macA~~~CP001918.1.gene2796.p01~~~macrolide~~~unknown MNLKGKRRKWFLLLAIVVIAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGDEVVTSETLPGAAK ->ARGMiner~~~cmeA~~~WP_002886250.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002886250.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACSKEEVPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIVNKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~Escherichia coli ampC~~~WP_001417211.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001417211.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWERLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~hmrM~~~WP_001645359.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001645359.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAGYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~tolC~~~WP_008077604.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_008077604.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFISAALGSLSTNALADTLAEVYNQAKDNDPTLLSAAAQRDAAFEAVTSSRATLLPQITLTAGYDINRSDIDARESDKLSAGVNFTQELYKRSSWLTLDVSEKSARQADASYAAAQQALILRVAQAYFDVLRAQDNLEFVQAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDAVLADEVLAENSLINSYEGLREITGQEHSNLDVLDTSRFSASRTSTPINQLIEEAQEKNLSLLSARISQDVAKDNISLASSGHLPSLTLDGGYNYGDERNDNNGSKGDNSDFSVGLNLAVPLYTGGSITSETKQAEFNYVAASQDLEAQYRSVVKDVRAFNNNISASIGALRAYEQTVVSAESALEATEAGFDVGTRTIVDVLDSTRRLYDANKNLSNARYDYIISVLQLRQAVGTLNEQDIMDINAGLKTAKN ->ARGMiner~~~nalC~~~WP_058129832.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_058129832.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNEASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATVRATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKTFYEQGPQQSYLLLTGRLAAVADEVAEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLALSVDIIACYLEHGARRPAAG ->ARGMiner~~~EXO beta-lactamase~~~WP_010643391.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_010643391.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAATLVPGTAHASSGGRGHGSGSVSDAERRLAGLERASGARLGVYAYDTGSGRTVAYRADELFPMCSVFKTLSSAAVLRDLDRNGEFLSRRIFYTQDDVDRADGAPETGKPENLANGMTVEELCEVSITASDNCAANLMLRELGGPAAVTRFVRSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLVLGDALNPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRPPIVLTVLTAKTEQDAARDDGLVADAARVLAETLG ->ARGMiner~~~macB~~~WP_049117877.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049117877.1~~~macrolide~~~unknown MTALLELRNIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAISKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrA~~~WP_010356273.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_010356273.1~~~fluoroquinolone~~~unknown MSANAETQNPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~mgrA~~~WP_031822618.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_031822618.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MPDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~tolC~~~WP_021549257.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_021549257.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQGGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~MexA~~~WP_034033916.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_034033916.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRLQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~emrB~~~WP_052921619.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_052921619.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~acrB~~~WP_052992324.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_052992324.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTSLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLSAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~emrB~~~WP_036972253.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_036972253.1~~~fluoroquinolone~~~unknown MIKEPLQGGKLAIMTIALALATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFALTSWLCGISQSLEMLIFFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSVTIVVAPILGPILGGYISDNYHWGWIFFINVPFGVLIIMCISNTLSGRETKTEIKPIDTIGLVLLVVGVGALQIMLDQGKELDWFNSTEIIVLTIIAVVALSFLIVWELTDEHPVIDLSLFKSRNFTIGCLTLSLAYMIYFGTIVLLPLLLQEVFGYTATWAGLAAASVGLLPLIITPIIGKFGGKVDLRYIISFSFIMFAVCFYWRAYTFEPGMDFATVAWPQFWQGLGVACFFMPLTTMTLSGLPPEKMASASSLSNFLRTLAGAIGASLTTTIWTQRESLHHETFVEKINPLDPDAQLAFQQMRELGLSDEQTSAYLAKTITEQGLILSANEIFWLAAGIFILMLVVVWFAKPPFSPGK ->ARGMiner~~~FosB~~~WP_053104211.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_053104211.1~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSNLEKSIEFYEKAFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHQRLKNLNVSILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~macB~~~WP_064325534.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064325534.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGSVEVLKGISLRVEAGEMIAIVGASGSGKSTLMNILGCLDKPTSGSYRVAGTDVSTLDSDALAKLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGVERKKRLERAKSLLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPLVASQAERIVEIHDGELISNPPPKTSQAGVAREVLPPPSGWGQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQNQQALKYADLAALQKQPWVNSATPSVSQSLRLRYGNIDVAASANGVSGDFFNVYGMTFSEGATFNAEQLAGRAQVVVLDENSRKQLFPNKTQVVGEVILVGNMPATVIGVAEEKQSMFGSSKLLRVWLPYTTLSGRIMGQSWLNSITVRVKEGFDSTQAEQQLERLLTLRHGKKDFVTFNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGIALSMLIAFTLQLFLPGWEIGFSPFALLTAFLCSSFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~AQU-1~~~WP_043849334.1~~~cephalosporin unknown +>ARGMiner~~~AQU-1~~~WP_043849334.1~~~cephalosporin~~~unknown MKQPKTLSLLTLGSLLISSFASAAQDVPLATIVDGTIQPVLKEYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTYTSTLGAYAVVKGGFKIDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVESVEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGYLAASSLQQPFARLMGQTLLPGLGMHHTYLEVPKQAMGDYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANISGVDDKALQQAISLTHQGRYSVGEMTQGLGWESYAYPVSEQTLLAGNSSAVIYNANPVKPVAASQETGGARLYNKTGSTNGFGAYVAFVPAKGIGIVMLANRNYPNDARVKAAYAILSKLAD ->ARGMiner~~~PmpM~~~NC_011035.1.6448920.p01~~~ unknown +>ARGMiner~~~PmpM~~~NC_011035.1.6448920.p01~~~~~~unknown MLLDLDRFSFSVFLKEIRLLTALALPMLLAQVAQVGIGFVDTVMAGGAGKEDLAAVALGSSAFATVYITFMGIMAALNPMIAQLYGAGKTGEAGETGRQGIWFGLILGIFGMILMWAAITPFRNWLTLSDYVEGTMAQYMLFTSLAMPAAMVHRALHAYASSLNRPRLIMLVSFAAFVLNVPLNYIFVYGKFGMPALGGAGCGVATMAVFWFSALALWIYIAKEKFFRPFGLTAKFGKPDWAVFKQIWKIGAPIGLSYFLEASAFSFIVFLIAPFGEDYVAAQQVGISLSGILYMIPQSVGSAGTVRIGFSLGRREFSRARYISGVSLVSGWVLAVITVLSLVLFRSPLASMYNDDPAVLSIASTVLLFAGLFQPADFTQCIASYALRGYKVTKVPMFIHAAAFWGCGLLPGYLLAYRFDMGIYGFWTALIASLTIAAVALVWCLEKYSMELVKSHEAV ->ARGMiner~~~emrB~~~EHC33464.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~EHC33464.1~~~fluoroquinolone~~~unknown MRVMQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGRPP ->ARGMiner~~~sul2~~~WP_057202382.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_057202382.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKXMAEGADVIXXGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLXSYQPATQAYALSRGVAYLNDXRGFPXAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDJMDHIAAFXDARIAALTGAGIKRNRLVLDPGMGFFLGAAPXTSLXVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~CRP~~~WP_050153302.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_050153302.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAVTLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSSQMANRLQITSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~macB~~~WP_000188184.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188184.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGRTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGILKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mexY~~~WP_061192286.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061192286.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMDLGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMALAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSDAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~norA~~~WP_002508919.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_002508919.1~~~fluoroquinolone;acridinedye~~~unknown MNRQFYVLYFNIFLVFLGIGLVVPVLPVYLKDLGLKGSDLGILVAVFALAQMIISPFGGTLADKLGKKLIICIGLFLFAISEFLFAVSHTFELLIISRVLGGFSAGMVMPGVTGMIADISPSSDKAKNFGYMSAIINSGFILGPGIGGFLAEFSHRLPFYVAGVSGLVALILSIVFIHNPKKATTDGFTKYQPELLSKINWKVFLTPIILTLVLAFGLSSFETLFPLYTADKAHYSPLDISIAITGGGIFGAVFQVFFFDKFMKYFSELTFITYALIYSAIILAALTLTNSYWAIMVVSFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLVAGTLYDINFEFPIYMAVLVMIIGIIVIRIERVLRVRRNRKAS ->ARGMiner~~~iri~~~WP_046378300.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_046378300.1~~~rifamycin~~~unknown MSDVIIVGAGPTGLMLAGELRLQGVDVVVVDKDEEPTQFVRALGIHVRSIEIMEQRGLLDKFLAHGRKYPLGGFFAGISKPAPAHLDTAHGYVLGIPQPEIDRILAEHATEVGAEIQRGKRVVAIRQDADKVTAELSDGTTLHARYLVGCDGGRSTVRKLIDVGFPGEPSSADTLIGEMDVTMPADELAAVVAEIRETHKRFGVGPAGNGAFRVVVPAAEVADGRATPTTLDDIKQQLLAIAGTDFGVHSPRWLSRFGDATRLAEHYRRDRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPEGLLDTYESERRPVAADVLDNTRAQAELISTAAGPQAVRRLISELMEFEDVKRYLTEKITAISIRYDFGEGDDLLGRRLRNIALTRGNLYDLMRSGRGLLLDQGGQLSVDGWSDRADHIVDTSAELEVPAVLLRPDGHVAWVGDTQAELDTQLFKWFGRPTA ->ARGMiner~~~arnA~~~WP_024231556.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_024231556.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLTVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtP~~~WP_000610555.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610555.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLLEEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRTASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mdtH~~~WP_047650852.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047650852.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALVVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKAFNQPELPWMMLGAVGFMTLLALWWQFSPKRSASGMLEPGA ->ARGMiner~~~arnA~~~WP_061380650.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_061380650.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKHADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNTILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCIKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPNIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~mdtB~~~NC_002695.1.916585.p01~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~NC_002695.1.916585.p01~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQISDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALMIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~arnA~~~WP_021000543.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021000543.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNEGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~sdiA~~~WP_065696916.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_065696916.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDILHQFQSMAAAQEVYNLLQRETETLEYDFYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWEDGLFRDAQALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPNAYAEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~blaR1~~~ALA52628.1~~~penam unknown +>ARGMiner~~~blaR1~~~ALA52628.1~~~penam~~~unknown MGIKMTLPHILLSLVLITATILVIFFVRAVFYKQLSAKWRYHLWFLLITVLTLPFIPIHLLTGLSFFDQGRQQITPSAQKRFGFADQNEQWMVDFGTSVSRFDDTFIHAVFVSIWIGGMIFFLLLTLYHYAKLQRLVKAASRIQNQKVENAFSDCMAELQITNKLTILESPAIQTPMTFGWLKTYILLPKNIELYLSDDEIRHVLLHELHHYKSKHIKVNYIFVVYQIVYWFHPLVWKAFKEMRLDRELACDTEVLLTLGQREYKAYGQTIMRFLERNSRFLYLTNQLHSSKKALKIRILNIASFTGESKRRQLKSMVVFAVLTVFVIAQFPFLTATAVSTERYQFDESQAVVEDYSTYFAGNEGSFVLYSLNSDQFEIYNKEKSVRRVSPNSSYKIYTALMALELGVIGRDDSWLEWDGVEYEDEAWNSGQDLKSAMSQSVTWYFQELDERIKQRNIQSFVNQLDYGNKDLSGGLNHYWLESSLKISPVEQVELLHSFYTNQLDFKEEHVQFVKEVMKLEENQKGTLYGKTGTGIVNGHAINGWFIGFVETETDTYFFATNIQQQDHAYGSTAAEITLSILSSKGIY ->ARGMiner~~~mdtN~~~WP_044866795.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_044866795.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLDGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~macB~~~WP_001548352.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001548352.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGSALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_041910532.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_041910532.1~~~fosfomycin~~~unknown MTLSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADNYGLRPVFFITASVLFLCFIVTLVCIREKFTPVAKKEMLHARDVLTSLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGLIASVPGVAALVSAPRLGKLGDRVGPEKILICALIISVLLLIPMSMVHSPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGAGISASFGFRAVFIVTAGVVLFNAIYSWFSLSRALRPVTE ->ARGMiner~~~CAU-1 beta-lactamase~~~WP_062095207.1~~~carbapenem;penam unknown +>ARGMiner~~~CAU-1 beta-lactamase~~~WP_062095207.1~~~carbapenem;penam~~~unknown MKRLLLIAAASLLALAPAAYADDMPANWTKPTKPYRVVGNIYYVGTEGISSWLITSSAGHVLLDGGPNAETGKQIERNIASLGFQLTDVKMLINTHAHFDHAGGLAQLKADTGAKVWISRGDAPAMTAGHHIGDNVYGATPMPAVKPDRTFGDQTKLKLGEIAMVAHLTPGHTIGCTSWTTAVVEKGRPLNVTFPCSLSVAGNVLVGNKTHRTIVADYRASFAKLRAIPTDVMLPAHEEQGNLLAKRQKQLRGDPNAFVDTTELARFVDASEAAFNKELARQQAAGPKR ->ARGMiner~~~FosA2~~~WP_032104356.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_032104356.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVAEADFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDKA ->ARGMiner~~~mdtM~~~WP_001565069.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001565069.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPLFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~acrE~~~WP_032432306.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_032432306.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNQHPHAQAPQVTVHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGVDPLAILVPQQGVTRTPRGDASVMLVNDKNQVETREVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEAERAAPAVQ ->ARGMiner~~~FosB~~~WP_061862621.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_061862621.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSNLERSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHQRLKNLNVNILNGHPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~TEM-1~~~ANG18854.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG18854.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTVGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKYW ->ARGMiner~~~FOX-4~~~WP_043556312.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-4~~~WP_043556312.1~~~cephalosporin;cephamycin~~~unknown MKQNTAVSLLALSALLLSPLSHGEGADPLTTVVDGAIQPLLKQHRIPGMAVAVLKDGKAHYFNYGVADRESGARVSEQTLFEIGSVSKTLTATLGAYAAVKGGFQLEDKASQHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSSDKMHAYYRQWTPAYPAGTHRQYSNPSIGLFGHLAASSLGQPFEQLMSQTLLPRLGLHHTYIQVPESAMANYAYGYSKEDKPVRVNPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAAVTSAIALTHTGFHSVGEMTQGLGWESYAYPVTEQTLLAGNSPAVSFQANPVKRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPNEARVKAAHAILSQLAL ->ARGMiner~~~macA~~~WP_040251204.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_040251204.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPEQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~vanXI~~~WP_020575321.1~~~glycopeptide unknown +>ARGMiner~~~vanXI~~~WP_020575321.1~~~glycopeptide~~~unknown MKDDFLFVDEFVSGIRWDAKYATWDNFTGKPVDGYLANRIVGTRALCAALERARAEAASLGFGLLLWDGYRPQRAVDCFLRWSKQPEDGRTKLRHYPNIDRAEMFERGYVAAKSGHSRGSTVDLTLYHLATGELAPMGGYHDLMDSISHHGAQGIAQTEARNRRYLRSIMEACGFSSYDSEWWHYTLKDEPYPDTYFDFPSAGRQT ->ARGMiner~~~acrF~~~WP_046594084.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~WP_046594084.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MANFFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRLKDPEEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLSPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGFFGWFNTRFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMAVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIDQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAPGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLTALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVISRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~dfrA12~~~WP_045232968.1~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA12~~~WP_045232968.1~~~diaminopyrimidine~~~unknown MNSESVRIYLVAAMGANRVIGNGPNIPWKIPGEQKIFRRLTEGKVVVMGRKTFESIGKPLPNRHTLVISRQANYRATGCVVVSTLSHAIALASELGNELYVAGGAEIYTLALPHAHGVFLSEIHQTFEGDAFFPMLNETEFELVSTETIQAVIPYTHSVYARRNG ->ARGMiner~~~tet(C)~~~AMP48040.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~AMP48040.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALVQFACAPVLGALSDRFGRRPILLVSLAGATVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADISDGDERARNFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~macA~~~WP_017827731.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_017827731.1~~~macrolide~~~unknown MAFFLTKKQGKIIAAILIFIAIGLFFFWPKEHLPSYQTQKITRGELSKEVTATGKLDAVRKVDVGAQVSGQLQTLYVKEGDVVKKGDLLAIIDPKKAQNEVAESQETNNELMANLQQTKAELRLAQLTYQRQLKLIGTHVIAQEELDRTKTDVEVKKARVATYEAQIRKNQATLDTARTNLQYTRITAPMDGVVTFIKTLEGQTVIAAQEAPTILTLADLDTMLVKAEVSEADVIYLKPDLKASFTVLGAPDKAFNGKLKDILPTPEKINDAIFYYARFEVPNEQHLLRLQMTAQVKILLEHKKDILLVPLSALGEDVGINEYQVEVLVNGQPEKRVVKIGIRTDVYAEVISGLNENDDVILGEIAGES ->ARGMiner~~~novA~~~WP_047175495.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_047175495.1~~~aminocoumarin~~~unknown MKPEEPTWTPPPDAATDRPPAEVRRILRLFHPYRGRLAVVGLLVGASSLVSVASPFLLREVLDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYSRLQQMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRGFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEDVDFSYDEKNGPTLTGIDLTVPAGGSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQQAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRAAERGTHEELLDRDGRYAALIRRDAHPAPVPAP ->ARGMiner~~~mdtH~~~WP_004849878.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_004849878.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEQRLMAGLLIMTLAMMPIGLSSNLQQLFTLICVFYMGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGAFGYAGGGWLFDAGKAVGQPELPWLMLGIIGLATFIALWWQFSPKRSASGMLEPRT ->ARGMiner~~~mdtH~~~WP_045261652.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045261652.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGVMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~macB~~~WP_032414014.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032414014.1~~~macrolide~~~unknown MTALLELRDIRRCYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrA~~~WP_049203604.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_049203604.1~~~fluoroquinolone~~~unknown MSVNEEKNPPQAPIRNKKRTRRNVLLLLTFIFILAGFAYTAYWFMVLRHHETTDNAYVTGNQIMVMPQISGSVTTVYVDNTDYVKAGEPLVQLDDSDEILALDKAKTALANSVRQMHQQIINGRQLKANIVLRETELAKLQNDLRRREVLGERNVIGKEELQHAREAVATAKAALDVAKEQYNANQAIILTTPIAQQPSVLQAATEVRNAWLALQRTKILSPAEGYVSRRSVQVGAQVAPGKPLMAVVPVTGMWIDANFKETQLANMRIGQPAKITTDFYGKKVIYHGRVQGLDMGTGSAFSLLPAQNASGNWIKVVQRLPVRISLDEKEIAEKPLRIGLSTEVTVDTVNLDGKVLSQSERQAPAYHTDALTIDMSEINSLINEIIEQNAG ->ARGMiner~~~Enterobacter cloacae acrA~~~CP001918.1.gene1258.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Enterobacter cloacae acrA~~~CP001918.1.gene1258.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLTLTGCDDKQAQQGAQQMPEVGVVTLKSEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFTEGGDVQAGESLYQIDPATYQASYESAKGDLAKAEAAAKISQLTLNRYKKLLGTQYISQQDYDTALADAQQANAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQATAMATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVELVTNDGIKYPQAGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGTNPTALLVPQQGVTRTPRGDASALVVGAGDKVEMRNITATQAIGDKWLVTDGLKDGDRVIVTGLQKVRPGAQVKAQEVKSDDKQQASAAGQSEQTKS ->ARGMiner~~~emrA~~~WP_050188258.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_050188258.1~~~fluoroquinolone~~~unknown MSANAEIQPPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQMSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFERAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~macA~~~WP_042946955.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_042946955.1~~~macrolide~~~unknown MKVKGKRRTIWWLLAIVVLGLAVWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVAIGARNDTDVAVVQGLDEGEEVIVGESASGAAK ->ARGMiner~~~lsaA~~~WP_016613945.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_016613945.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFTPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKISLKS ->ARGMiner~~~nalD~~~WP_034023520.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_034023520.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERPSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~CRP~~~WP_004246872.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_004246872.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEDQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSAQMANRLQTTSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~macA~~~WP_063135292.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063135292.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPEGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~macB~~~WP_032429904.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032429904.1~~~macrolide~~~unknown MTALLELRNIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGELVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_058684013.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_058684013.1~~~macrolide~~~unknown MNLKGKRRKWFLLLAIVVIAAGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYQGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESTGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGDEVVTSETLPGAAK ->ARGMiner~~~tolC~~~WP_036934147.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_036934147.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLSLLITMSLAGFSSASQAEDLLQVYQKAKDSNPELRKSLAERNQAFEKINEARGSLLPQLGLGASADYKSGYRDARDTESNSIGASLTLTQSVFNMSLWRQLNLQEKTAGMSDVTYQTSQQKLILDTATAYFDVLRAIDSLSFIEAQKEQVYRQLDQTTQRFNVGLVAITDVQNARANYDSVLAQEVAGRNQLDNALEKLRQVSGIYYINLASLNISRFSTTSPDSIDKLLKDAEERNLSLLSARLGQDLARENIRLAQAGHLPTVDLNASTGVSNSRSHDHRSDAIVSHSNSYSGQNSIGLSVSIPLYTGGRTSSQVEQAQYGFTSASEQLESVYRSIVQIARSSYNNISASISSIKAYQQVVVSAQSSLDATEAGYQVGTRTIVDVLNATTTLYDAKQKLSNARYDYLINQLNIEYARGTLNENDLIQLNNALGEEVSTSPDSIIRPLTNPTLNVRP ->ARGMiner~~~sul2~~~WP_033917658.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_033917658.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDELAVLAALKETARIR ->ARGMiner~~~emrA~~~WP_042021032.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_042021032.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQILANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~mdtH~~~WP_023181157.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023181157.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMTGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macA~~~WP_032035334.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032035334.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIVIIALLAWKFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGEDTKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKASSTPEAVKKSQGNGARLERLNLTAEQKQLIEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~FosB~~~WP_059350317.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_059350317.1~~~fosfomycin~~~unknown MIKGVNHFCFSVSDLDASITFYERVLEARLLVKGRSTAYFDLNGIWLALNVEKDIPRNEIHESYTHIAFTVEENDLNILHKRLIDWNVSILQGRDRDEKDKNSIYFADPDGHKFEFHSGTLEERVEFYKNEKNIWSFFNTR ->ARGMiner~~~macB~~~WP_001513696.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001513696.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKAYVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~nalC~~~WP_058143230.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_058143230.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYHLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLVAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~norA~~~WP_053871425.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_053871425.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTAYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~FosB~~~WP_061130923.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_061130923.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVRGRKIAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMTFY ->ARGMiner~~~mgrA~~~WP_002449577.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_002449577.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLSILWEESPVNVKKVVTELALDTGTVSPLLKRMENVDLIKRERSEIDQREVFIHLTEKSENIKPELSDASQKVAIASSLSNDEVHELNRLLGKIITAFTENK ->ARGMiner~~~mdtC~~~WP_001210094.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_001210094.1~~~aminocoumarin~~~unknown MRFFALFIYRPVATILIAAAITLCGILGFRLLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVNEMTSSSSLGSTRIILEFNFDRDINGAARDVQAAINAAQSLLPGGMPSRPTYRKANPSDAPIMILTLTSESWSQGKLYDFASTQLAQTIAQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDEVREAIDSANVRRPQGAIEDSVHRWQIQTNDELKTAAEYQPLIIHYNNGAAVRLGDVASVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDGIRAKLPELRAMIPAAVDLQIAQDRSPTIRASLQEVEETLAISVALVILVVFLFLRSGRATLIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVISMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLVVSLTLTPMMCGWMLKSSKPRTQPRKRGVGRLLVALQQGYGTSLKWVLNHTRLVGVVFLGTVALNIWLYIAIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVNNVTGFTGGSRVNSGMMFITLKPRGERKETAQQIIDRLRVKLAKEPGARLFLMAVQDIRVGGRQANASYQYTLLSDSLAALREWEPKIRKALSALPQLADVNSDQQDNGAEMNLIYDRDTMSRLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYSQDISALEKMFVINRDGKAIPLSYFAQWRPANAPLSVNHQGLSAASTIAFNLPTGTSLSQATEAINRTMTQLGVPSTVRGSFSGTAQVFQQTMNSQLILIVAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRSGGLTPAQAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKNSKPVVEI ->ARGMiner~~~macA~~~WP_039261540.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_039261540.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVAVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMVGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~mdtF~~~WP_032178723.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032178723.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGESTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~norA~~~WP_031836580.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031836580.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGELFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~macB~~~WP_063264839.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063264839.1~~~macrolide~~~unknown MTVLLELRDIRRSYPSGETDVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLNDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVGYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDAARLPAQPQDAPALGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQLWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSSEAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~ErmB~~~WP_007487729.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmB~~~WP_007487729.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKNIKYSQNFLTSEKVLNQIIKQLNLKETDTVYEIGTGKGHLTTKLAKISKQVTSIELDSHLFNLSSEKLKLNTRVTLIHQDILQFQFPNKQRYKIVGSIPYHLSTQIIKKVVFESHASDIYLIVEEGFYKGTLDIHRTLGLLLHTQVSIQQLLKLPAECFHPKPKVNSVLIKLTRHTTDVPDKYWKLYTYFVSKWVNREYRQLFTKNQFHQAMKHAKVNNLSTVTYEQVLSIFNSYLLFNGRK ->ARGMiner~~~mfpA~~~WP_055378556.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_055378556.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACWLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAGHGLCLAGG ->ARGMiner~~~acrB~~~WP_023203859.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_023203859.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGKVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~Escherichia coli mdfA~~~YP_001334540~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~YP_001334540~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MQNYSLSGRRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVQEFQVGNEWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLTGVVWFIVTCLATLLAQTIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHILPWEMMFVLFAVLAAISFFGLQRAMPETATRLGEKLSVKELGRDYRLVLKNLRFVAGALATGFVSLPLLAWIAQSPVIIISGEQATSYEYGMLQVPIFGALIAGNLVLARLTARRTVRSLIIMGGWPIMFGLILSAAATVVSSHAYLWMTAGLSFYAFGIGLANAGLVRLTLFASEMSKGTVSAAMGMLQMLIFTVGIELSKHAYELGGNGLFSLFNLLGGVLWLGLMIYFLKDKSVGNSQQG ->ARGMiner~~~emrB~~~WP_057492782.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_057492782.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLIIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGISSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLVGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mecR1~~~WP_031783216.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_031783216.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLELSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~macB~~~WP_064161764.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064161764.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMSANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~bcr-1~~~WP_000085999.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_000085999.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAMMGIAVLMLSLFILKETRPAAPAASDKSRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~TEM-84~~~ANG27398.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-84~~~ANG27398.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQRFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERDRQIAEIGASLIKHW ->ARGMiner~~~FosB~~~WP_025284546.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_025284546.1~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFNLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~emrB~~~WP_058670439.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_058670439.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMRLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQTMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtH~~~WP_023352133.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023352133.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWTAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~FosB~~~WP_000943762.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000943762.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRELAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKSLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~tolC~~~WP_002014301.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_002014301.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKIKLMLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLKLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRGDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~macB~~~WP_045908399.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045908399.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDISTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtP~~~YP_002331861~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~YP_002331861~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALVQQTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGIRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mdtE~~~WP_001082001.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001082001.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENTAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLCEIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~lsaB~~~WP_025115215.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_025115215.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPGSFDPIFEGVNFQLDTDWKLGFIGRNGRGKSTFFQLLLGNYEYSGKINASVEFTYFPYPVADRNKYTYEIFEEICPQAEDWEYLREISYLNVDAEVMYRPFYTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKIVANYLKKKKGFILISHDRTFLDGCVDHILSINRANIDVQSGNYSSWKLNFDRQQEHEEATNHRLQKDIERLKHSSKRSAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKHIESRQQKAIDEKSKLLKNVEKTESLKLEPLAFQSKELMTLTDVSVRYDDQMINHPLSFKVEQGDRIVLDGKNGSGKSSILKLIHGNDIQHTGTIHVGSGLIISYVQQDTSHLKGSLSDFIEEHGIDETLFKSILRKMDFDRIQFEKDIAHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRLQIEELIQSFNPTMVFVEHDQVFQQTVATKIISM ->ARGMiner~~~MexF~~~WP_057425316.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_057425316.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYISSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSVNTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQDARKAARAQRLQNLPAEMH ->ARGMiner~~~mdtP~~~WP_052987890.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_052987890.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLTGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARTLFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~arnA~~~WP_001712816.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001712816.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYATDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFPLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSILNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~sdiA~~~WP_023312287.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023312287.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCSLRFQELTNTDEVYRELERQTQALEFDYYALCVRHPVSFTRPKISLQTTYPKPWMAQYQSANYFAIDPVLKAENFIQGHLPWTDALFAEAQELWHSAQDHGLRAGITQCLMLPNHALGFLSVSRTSVQEGPFAREEIELRLQMLVQMALTSLMRFEDGMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mdtM~~~WP_061382832.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_061382832.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRILAFFSQRATTLFFPIALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLFTTSMTQFLAARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAVITSIVLVAPVIGPLSGAALMHFVHWKILFAIIAVMGLIALIGLTLAMPETVQRGAVPFSARSVVRDFRDVFRNRVFLFGAATLSLSYIPMMSWVAVSPVILIDAGGMTTSQFAWAQAPVFGAVIVANMVVVRFVKDPTRPRFIWRAVPIQLSGLAVLIAGNLLSPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEIGRWLWFNGGRISFHLLAVVAGIAVVFTLAGLLKRVRQHEATALVTES ->ARGMiner~~~msbA~~~WP_000597246.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597246.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDENYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGNIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~iri~~~WP_045068423.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_045068423.1~~~rifamycin~~~unknown MSDVIVVGAGPTGLMLAGELRLQGVDVVVLDKAEQPTPFVRALGIHVRSIEIMEQRGLLDRFLAHGRKYPLGGFFAGISKPAPTYLDTEHGYVLGIPQPEIDRILAEHATEVGADIRRGKRVVAVRQDHNGATAELDDGTTLQARYLVGCDGGRSTVRKLIDVGFPGEPSTADTLIGEMDVAMPADELAAVVAKIRETHKRFGIGPAGNGAHRVVVPAADVADGRAVPTTLDDIKQQLRVIAGTDFGVHSPRWLSRFGDATRLADHYRRGRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPESLLDTYESERRPVASDVLDNTRAQAELISTAAGPQAVRRLISELMDFDDVNRYLTEKITAISIRYDFGDDHDLLGRRLKNIALTRGNLYDLMHSGRGLLIDQGGQLSVDGWSDRVDHIVDTSTELDAPAVLLRPDGHVAWVGDEQSELDTRLNRWFGRSTTRKSPPSSQ ->ARGMiner~~~macA~~~WP_057105314.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_057105314.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDDTKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSEKASSTPSTERKNQGNGARLERLNLTAEQKQLVEQGKATLSVVRILQADGTAKPTQILVGINNRVNAQVLAGLNQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~nalC~~~WP_058332030.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_058332030.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRALLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~sdiA~~~WP_029741487.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_029741487.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCSLRFQELTSTDEVYRELERQTQMLEFDYYALCVRHPVPFTRPKISLQTTYPKPWMAQYQSANYFAIDPVLKAENFIQGHLPWTDALFAEAQELWHSAQDHGLRAGITQCLMLPNHALGFLSVSRTSVLEGPFAREEIELRLQMLVQMALTSLMRFEDGMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~sdiA~~~WP_001616257.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001616257.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPESWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWKAAHAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~emrB~~~WP_058800662.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_058800662.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKEQDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQTMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macA~~~WP_048965671.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_048965671.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLSRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYVGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAQ ->ARGMiner~~~Erm(41)~~~ACO89577.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~ACO89577.1~~~macrolide;lincosamide;streptogramin~~~unknown MSGQRSGRQWGWYPLTDDWAARIVAESGVRSGEFVVDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRVAEADLLAFRWPRRPFRVVASPPYQVTSALIRSLLTPESRLLAADLVLQRGAVHKHAKRALVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~mdtH~~~WP_063431610.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063431610.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGMFLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEAALSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALHQPELPWMMLGAVGFMTLLALWWQFSPKRSASGMLEPGA ->ARGMiner~~~mdtH~~~WP_023243819.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023243819.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNVWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~mgrA~~~WP_033859526.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_033859526.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYSLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~mexH~~~WP_033987125.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_033987125.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGHPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~vanXF~~~WP_039635346.1~~~glycopeptide unknown +>ARGMiner~~~vanXF~~~WP_039635346.1~~~glycopeptide~~~unknown MEKDFVFLDEILHGVRWDSKYATWDNFTGKPVDGYEVNRIAGTYALAVALLKAKKQAAALGYGLLLWDGYRPQRAVNCFLHWSAQQEDGRTKERYYPNIDRSEMVTKGYVAPKSSHSRGSAIDLTLYRLDTGALVPMGSDFDCMDERSHHISKEISSNEAENRRLLCSIMEHSGFEPYVYEWWHYVLKNEPYPNSYFDFPIGENHLK ->ARGMiner~~~hmrM~~~WP_036937266.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_036937266.1~~~fluoroquinolone;acridinedye~~~unknown MQKYIKEARSLLALGIPVIIAQFSQTAMGVVDTVMAGAVNATEMSAVAVGTSIWLPTILLGQGILMALTPIVAQLNGSGQRKHIANRTQQGFWLATFLSIMVIAILYNSRFIIEAQHDIEPELAEKAIGFIHAIMWGAPGCLYYQVLRSQCEGLSKTKPGMIIGFIGLLINIPVNYAFIYGKFGAPQLGGIGCGVATASVFWAMFLMMRYYVRRAPTQRDVMPVKRIVLPEFHTIKRITFLGLPVGLALFFEVTLFAVVALLVSPLGVTAVASHQIALNFSSLMFMFPLSLGIAATIRVGYNLGQRSTEQARISAITALTVGLMLASCTAVFSIIFREKIALMYNDNIEVVTLASHLMLFAALYQLSDSVQVIGSGILRGYKDTRSIFFITFIAYWVIGLPSGYILGRTDYFVEAMGPAGFWIGFILGLTASAIMMGSRIWWIQRQSDEVVLLRSER ->ARGMiner~~~emrB~~~WP_052979351.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_052979351.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVGLMTLQTLRGRETRTKRRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macA~~~WP_031611234.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_031611234.1~~~macrolide~~~unknown MLRERNLKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mexH~~~WP_058177485.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058177485.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPMASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~bcrA~~~WP_002010000.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_002010000.1~~~peptide~~~unknown MPPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLLRNPKDILRRIGSIVEVPGFYENLTARENLLINAKIIGVHKRNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQDRNITILISSHILAEVEQLVDRMGIIHEGRLLEEVSLDTLRRANRKYIEFQVNNDNKAAMLLEKHFQIFDYEVHDEGNIRVYSHFGQQGHINRTLVRNDVEVLKIVMSEDRLEDYFTQLVGGGAIG ->ARGMiner~~~acrB~~~WP_040076873.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_040076873.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTVSATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIREELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGGHGEHKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYIIIVVGMAYLFVRLPSSFLPEEDQGVFLTMAQLPAGATQERTQKVLDEVTDYYLTKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGDENKVEAITGRAMGTFSQIKDAMVFAFNLPAIVDLGTATGFDFQLIDQGNLGHDKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQYKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAEYRMLPNDINNWYVRGSNGQMVPFSAFSTSHWEYGSPRLERYNGLPSMEILGQAAPGRSTGEAMDMMEELASKLPAGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRSLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATILAIFFVPVFFVVVRRRFSRKNDDIEHNHPV ->ARGMiner~~~emrB~~~WP_038394722.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_038394722.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAIGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~arnA~~~WP_032280005.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032280005.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVEPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macA~~~WP_063138473.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063138473.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLEMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGESREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~macA~~~WP_047924275.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047924275.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWHYLKPEPQASYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEMTAAEQQESGERALGGPPRR ->ARGMiner~~~tolC~~~WP_001621377.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001621377.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADSTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~norA~~~WP_049335029.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049335029.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFTLYFNIFLIFVGIGLVIPVLPVYLKDLGLKGSDLGILVAIFSLSQMIISPFGGSLADKLGKKLIICLGLVFFTISEFLFAMSHSFILLIVSRILGGFSAGMVMPGVTGMIADISIAKDKAKNFGYMSAIINSGFILGPGIGGFLAEISHRLPFYFAGALGVIAFVISVILIRQPKNTAESHHIHFETKELSKIQWGVFITPIILTFVLAFGLSSFETLFSLYTSSKANYAPGDISIAIVGGGVVGAVFQIFFFDKFMRYTTELTFITWALLYSVIVIFSLIIAHSYWSIMLISFIVFIGFDLIRPALTNYYSNIAGNRQGFAGGLNSTFTSMGNFVGPLVAGLLFDVNIEFPLYMSIIVMLFGVVIIFIEKKLKLNRSRCD ->ARGMiner~~~mdtE~~~NP_756186~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~NP_756186~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYGQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~macB~~~WP_046334012.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_046334012.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLAGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVSLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sul1~~~WP_031966051.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_031966051.1~~~sulfonamide;sulfone~~~unknown MLRSRVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITISETLAKFRSRDARDRGLDHA ->ARGMiner~~~tetO~~~ACT76129.1~~~tetracycline unknown +>ARGMiner~~~tetO~~~ACT76129.1~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAEPGSVDKGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQTMKIPTIFFINKIDQEGIDLPMVYQEMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIKISEKEKIKIPEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEMLLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~mdtP~~~WP_000610606.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610606.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSSSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~macA~~~WP_015570985.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_015570985.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGESREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~emrB~~~WP_058345883.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_058345883.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGIWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTIAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAIGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~hmrM~~~WP_004851492.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_004851492.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFIEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSLMVMVVLWNAGYIISSMHNIDPAMADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGIGCGVATASVYWVMFFSMLFWVRRARSMRDIHHTERFSKPDFAVIQRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTLEAQTSARTGVGVGVCMAVFTAVFTILMRKQIALLYNDNPEVVTLASQLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDIVVPRMGPAGFWCGFIIGLTSAAVMMMLRMRFLQRQPSSVILQRAAR ->ARGMiner~~~lmrB~~~AMQ72759.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~AMQ72759.1~~~lincosamide~~~unknown MENAQPSKQYKVMPIMISLLTAGFIGMFSETALNIALTDLMKELHITPATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFIVSLAFSIIGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALLFGIAYMQNVSDITKPKIDVLSIILSTIGFGGIVFGFSNAGEGSDGWSSPTVIGSLTVGAIALILFSIRQLTMKQPMMNLRAFRYPMFILGVVIVFICMMVILSTMLLLPMYLQSGLMLTAFTSGLILLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVITAAVLWFFSNITGASTAILIVVLHTCLMIGISMIMMPAQTNGLNQLPPEFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQKNYMSTVKNPNDPSAYSHALIAGVQHAFIFAMIVAVIGLISAFFMKRVKVNHS ->ARGMiner~~~mdtM~~~WP_032279971.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032279971.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MAGLKATHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMPVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~lsaA~~~WP_002391932.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002391932.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQKVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~OprN~~~WP_033867230.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_033867230.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIAALLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~cmlB~~~gi:689837811:dbj:GAL46031.1~~~phenicol unknown +>ARGMiner~~~cmlB~~~gi:689837811:dbj:GAL46031.1~~~phenicol~~~unknown MLLMLVLLVAVGQMAQTIYIPAIADMARELNVREGAVQSVMAAYLLTYGLSQLFYGPLSDRVGRRPVILAGMSIFMLATLVAITTHSLTVLIIASAIQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGMLDTVWNWRACYIFLLILCAGVTFSMARWMPETRPAGAPRPRLIASYKTLFGNSSFTCYVLMLIGGLAGVAVFEACSGVLLGARLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLVAGLMMWIPGLFDVMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWFSAMLPQTGQASLGLLMTLMGLLIFVCWLPLASRVSHQGQAV ->ARGMiner~~~nalC~~~WP_033940844.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_033940844.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAIAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~macA~~~WP_063328637.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063328637.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQELDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mdtN~~~WP_061336388.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_061336388.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTTQRAAEADLNAVLLQAQSAASSVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTLATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~macB~~~WP_044327089.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044327089.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEEQVEVLKGITLQINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDRDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSRSGEEVMAILHQLRDRGHTVIIVTHDPLVAAQAERVIEIHDGEIVRNPPAKHAVQGQGIQELTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAIQKQPWVTSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNREQLNGRAQVVVLDSNTRRQLFPHKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTFTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_053531042.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053531042.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSTHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~macB~~~WP_058609247.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_058609247.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDSDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRASRAAAPKEALPVSTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQNQQALKYDDLAALQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRKQLFPDKASVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVNEGYDSALAEQQIERLLTLRHGKKDFFTWNMDGILKTAEKTTRTLQMFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIVLSMMIAFALQLFLPGWEIGFSPLAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanA~~~WP_039833224.1~~~glycopeptide unknown +>ARGMiner~~~vanA~~~WP_039833224.1~~~glycopeptide~~~unknown MDRLKVAILFGGCSEEHDVSVKSAIEIAANIDNEKYEPLYIGITKSGAWKMCEKPSTEWENGDCCSAVLLPDKKMHGLLVIKSHEYEIRRIDVAFPVLHGKWGEDGAIQGLFELSGIPFVGCDIQSSAICMDKSLAYIVAKNAGIATPEFWVVNENDTPTADRFTYPVFVKPARSGSSFGVKKVNNAGELDAAIESARQYDNKILIEQAVLGCEVGCAVLGNGSGLIVGEVDQIRLRQGIFRIHQETEPEKGSENAVITIPADLSAEERGRIRETAKKIYKALGCRGLSRVDMFLQHDGRIVLNEVNTLPGFTSYSRYPRMMAAAGITLPDLIDRLIVIALKG ->ARGMiner~~~mdtP~~~WP_001550439.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001550439.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMHWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~tolC~~~WP_000735337.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735337.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSNRDSRDSDILSAGVGFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYADNRNSNASTPSDYNDFKIGVNLSVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~mecI~~~WP_000369217.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_000369217.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSVEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKK ->ARGMiner~~~mdtH~~~WP_027661903.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_027661903.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVHTPVREGMTRVMRDKRFVIYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~tolC~~~WP_032692881.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032692881.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDAKDQNSDVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSSVSNNSYSGSKNTTQDRDIGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTVAAKPASARSTSGSNPFRQ ->ARGMiner~~~ykkD~~~WP_003154765.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkD~~~WP_003154765.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MHWISLIAAGLCEMLGVILMNQFQKEKKVKWILFIIVGFAASFSLLSYAMETIAMGTAYAIWTGIGTAGGALAGILFYGEQKDAKRIFFIALILCAAVGLKILS ->ARGMiner~~~macB~~~WP_001558901.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001558901.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLITIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~WP_061740532.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061740532.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFTGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~lsaB~~~WP_061531279.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_061531279.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYPVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLKKKKGFILISHDRIFLDGCVDHILSISRANIEVQSGNYSSWKLNFDRQQEHEQATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTEPLKLEALKFKSNELVILADVSVKYDDQVVNEPISFIVEHGDRIVLDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDFIEEQKIDETLFKSFLRKMDFDRIQFEKDISHYSSGQKKKLLIAKSLCKKAHLYIWDEPLNFIDIYSRMQIEELIQEFNPTMVIVEHDKVFQQTVATKTISM ->ARGMiner~~~hmrM~~~WP_016246019.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_016246019.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLTLAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~norA~~~WP_001041328.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041328.1~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAIGQNFLILMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIALIHDPKKVSTNGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVIIVLIEKQHRAKLKEQDM ->ARGMiner~~~arnA~~~WP_001740535.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001740535.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFTYVGNQKFTVWSSRVHPHASKAQPGNVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFENHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~TEM-207~~~ANG09623.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG09623.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLMKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYATGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~acrE~~~WP_016161893.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_016161893.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSGNPQPHAQAPQVTVHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATRAAVDTARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHALLPGMFVRARIDEGVDPQAILVPQQGVTRTPRGDASVMLVNDKNQVETREVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEAERAAPAAQ ->ARGMiner~~~tolC~~~WP_001501454.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001501454.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNPATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~norA~~~WP_048761349.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_048761349.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFTLYFNIFLIFVGIGLVIPVLPVYLKDLGLKGSDLGILVAIFSLSQMIISPFGGSLADKLGKKLIICLGLVFFTISEFLFAMSHSFILLIVSRILGGFSAGMVMPGVTGMIADISKAKDKAKNFGYMSAIINSGFILGPGIGGFLAEVSHRLPFYFAGALGVMAFVISVILIRQPQNTVESHHIHFETKELSKIQWGVFITPIILTFVLAFGLSSFETLFSLYTSAKANYAPGDISIAIVGGGVAGAVFQIFFFDKFMRYTTELTFITWALLYSVIVIFSLIIAHSYWSIMLISFIVFIGFDLIRPALTNYYSNIAGNRQGFAGGLNSTFTSMGNFVGPLVAGSLFDVNIEFPLYMSIIVMLFGVVIIFIEKNLKLNRSGCD ->ARGMiner~~~mecI~~~CYA89033.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~CYA89033.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MQKDWSPKTIRTLITRLYKKGFIDRNKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKK ->ARGMiner~~~MexA~~~WP_023104683.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_023104683.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKAEAAPAKTDSKG ->ARGMiner~~~SRT-2~~~WP_065506251.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_065506251.1~~~cephalosporin~~~unknown MKRTHKLAVALLTALAIPAGHAADKADIDAIIQPLMQKYGVPGMAIAVSVEGKQQFYHYGVASKQTGKPITNQTLFEIGSLSKTFTATLAAYANDEGKLSFAEPASRYLPELRGSAFDHVSLLNLATHTSGLPLFVPDEVTNDAQLMAYYKQWQPSQPAGSTRVYSNLGIGMLGMITAKSLNQPFAQAMEQRLFPALGLTHSYINVPTGQMANYAQGYNKKDQPVRVTPGPLDAESYGVKSNAQDLIRYLEANMQVAKVGDKWRKALDETHTGYYRAGVFTQDLMWESYPYPVELARLTEGNNAGMIMNGTPATAITPPQREQDAAWFNKTGSTGGFSTYAVFLPKQKIAVVMLANKWFPNDDRVAATYHIVQALEKH ->ARGMiner~~~tolC~~~WP_025758514.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_025758514.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDNFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNADSSAPAAQPAAARTTTSASKGSNPFRN ->ARGMiner~~~macA~~~WP_023289004.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023289004.1~~~macrolide~~~unknown MKLKGKRRTVWWLLAIVVLGLAVWGWRILNAPLPHYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~emrB~~~WP_006812840.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_006812840.1~~~fluoroquinolone~~~unknown MTIALSLATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFLWSTGLFALTSWLCGISGSLEMLILFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSMTIVIAPICGPILGGYISDNYHWGWIFFINVPFSVAIIFAIMKTLKGRETKISIKPIDTIGLVLLVVGIGALQIMLDQGKELDWFNSTEIIVLTVVAVVAIAFLIVWELTDEHPVIDLSLFKERNFTIGCLSLSLAYMLYFGTIVLLPQLLQEVYGYTATWAGLASAPVGLLPLLITPIIGRFGNRIDMRYLVTFSFIMYAVCYYWRAYTFEPGMGFAAAAWPQFVQGLAIACFFMPLTTITLSGLPPEKMASASSLSNFTRTLAGAIGTSITTTMWTQRESMHHENLAEFVNPYNPNAQHMYSELAKIGMNEQQSAAYIAREITEQGLILSANEIFWISAGVFILLMVIVWFAKPPFGAGSKDGGGAH ->ARGMiner~~~msbA~~~WP_054189647.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_054189647.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEVPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVEKGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~tolC~~~EJP41986.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EJP41986.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~BcI~~~WP_000438275.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_000438275.1~~~cephalosporin;penam~~~unknown MEQTCYLESLLCVRIKTGVQIGYSVLNLPLVFSIKSLKGMMILKNKRILKIGICVGILGLSLTSLETITGGTLQVEAKEKTGQVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAYASTYKALAAGVLLQQNSIDKLNEVITYTKEDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNIVFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAHKRNIFTEWMKGNTTGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEAAEVVINALR ->ARGMiner~~~acrE~~~WP_032254154.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_032254154.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILISQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~mdtH~~~WP_000092183.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092183.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAVGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macB~~~WP_015740695.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_015740695.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGETEVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLGDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVEYQPSQLSGGQQQRVCIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDVAGLSAQPHDAPALGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPTVSQSLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSGEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~TEM-1~~~ANG19878.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG19878.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMGERNRQIAEIGASLIEHW ->ARGMiner~~~mdtN~~~WP_063625584.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_063625584.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPFEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRISASAVANLEPQ ->ARGMiner~~~macA~~~WP_004871729.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004871729.1~~~macrolide~~~unknown MKLNGKRRKVWWLLAIVVLALAVWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALKKVDVGAQVSGQLKTLRVEIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERKLSATTLARQQQLAQRQLVSRQDLDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLSEVKNVITLPLSALGDAIGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVKGLEEGDEVIIGEGAAGAAK ->ARGMiner~~~MexC~~~WP_031675562.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexC~~~WP_031675562.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MADLRAIGRIGALAMAIALTGCGPAEERQEAAEMVLPVEVLTVQAEPLALSSELPGRIEPVRVAEVRARVAGIVVRKRFEEGADVKAGDLLFQIDPAPLKAAVSRAEGELARNRAVLFEAQARVRRYEPLVKIQAVSQQDFDTATADLRSAEAATRSAQADLETARLNLGYASVTAPISGRIGRALVTEGALVGQGEATLMARIQQLDPIYADFTQTAAEALRLRDALKKGTLAAGDSQALTLRVEGTPYERQGALQFADVAVDRGTGQIALRGKFANPDGVLLPGMYVRVRTPQGIDNQAILVPQRAVHRSSDGSAQVMVVGADERAESRSVGTGVMQGSRWQITEGLEPGDRVIVGGLAAVQPGVKIVPKPDGAQAQAQSPAPQQ ->ARGMiner~~~arnA~~~WP_023278441.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_023278441.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYDSVARLAAERGIPVYAPDNVNHPLWVKRIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMHETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~Escherichia coli ampC~~~WP_042022358.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_042022358.1~~~cephalosporin;penam~~~unknown MLKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~cphA2~~~WP_065017923.1~~~carbapenem unknown +>ARGMiner~~~cphA2~~~WP_065017923.1~~~carbapenem~~~unknown MKGWIKCGLAGALVLMASFWGGSVRAAGISLTQVSGPVYVVEDNYYIQENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRQPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHEGDFMLQEGKLRAFYAGPAHTPDGIFVYFPDQQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAAPQS ->ARGMiner~~~emrB~~~WP_029991091.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_029991091.1~~~fluoroquinolone~~~unknown MQAPLTGARLAWMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFAISSWLCGISNSLGMLIFFRVIQGLVAGPLIPLSQSLLLNNYPPAKRTMALALWSVTIVIAPICGPILGGYISDNYHWGWIFFINVPFGIVIMMLTAKTLEGRETKTEIRPIDTVGLILLVVGVGCLQIMLDQGKELDWFNSTEIIVLTVIAVVALAFLIVWELTDDHPVVDLSLFKSRNFTIGCLSLSLAYMLYFGTIVLLPQLLQGVFGYTATWAGLASAPVGILPLLITPLIGKYAHKVDYRIIVTFSFVMYTVCFYWRAYTFEPGMDFAAAAWPQFVQGLAIACFFMPLTTITLSGLPPEKMASASSLSNFTRTLAGAIGTSITTTLWTQRESMHHANFAEHINPFSPDTQQMYHTLGEMGMSQQQSSAYIAKVITDQGLIISANEIFWLSAGVFLVLMLLVWFAKPPFTAGGGGGGAH ->ARGMiner~~~mgrA~~~WP_037548407.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_037548407.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLSILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTEKSEKIKPELSDATQKVANASSLSNDEVHELNRLLGKVIDAFTESK ->ARGMiner~~~mepA~~~WP_031921070.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031921070.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVALPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIVYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMSNKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMTSLLLNGIGFLFTGILQATGQGRGATIMAILQGVVIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~arnA~~~EGK22184.1~~~peptide unknown +>ARGMiner~~~arnA~~~EGK22184.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERDIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRYLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQMLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~sdiA~~~AAN80789.1:AE016762_42~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~AAN80789.1:AE016762_42~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRFTMQDTDFFSWLRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPESWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~tolC~~~WP_016507187.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_016507187.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELHQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNAAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNVHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~macA~~~WP_055389108.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_055389108.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLETLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~tolC~~~WP_042021575.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_042021575.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYTPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~tolC~~~WP_007729563.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_007729563.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGVDTTSKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDSFKTAKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTSSAAAASRYTDSKVGQNSVGLSFSMPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTTPESVAPENPQQDAAVNNMANSGGAAPAVQPAAANRSTTGNSSNPFRQ ->ARGMiner~~~acrB~~~WP_000492811.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_000492811.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MFSRFFVRRPVFAWVIAILIMLAGILAIRTLPVAQYPDVAPPTIKISATYTGASAETLENSVTQVIEQQLTGLDNLLYFSSTSSSDGSVSINVTFEQGTDPDTAQVQVQNKIQQAESRLPSEVQQTGVTVEKSQSNFLLIAAVYDTTDKASSSDIADWLVSNVQDPLARVEGVGSLQVFGAEYAMRIWLDPAKLASYSLMPSDVQSAIEAQNVQVTAGKIGALPSPNTQQLTATVRAQSRLQTVDQFKNIIVKSQSDGAVVRIKDVARVEMGSEDYTAIGKLNGHPSAGVAVMLSPGANALNTATLVKDKIAEFQRNMPQGYDIAYPKDSTEFIKISVEDVIQTLFEAIVLVVCVMYLFLQNLRATLIPALAVPVVLLGTFGVLALFGYSINTLTLFAMVLAIGLLVDDAIVVVENVERIMRDEGLPAREATEKSMGEISGALVAIALVLSAVFLPMAFFGGSTGVIYRQFSITIISAMLLSVVVALTLTPALCGSVLQHVPPHKKGFFGAFNRFYRRTEDKYQRGVIYVLRRAARTMGLYLVLGGGMALMMWKLPGSFLPTEDQGEIMVQYTLPAGATAARTAEVNRQIVDWFLINEKANTDVIFTVDGFSFSGSGQNTGMAFVSLKNWSQRKGAENTAQAIALRATKELGTIRDATVFAMTPPAVDGLGQSNGFTFELLANGGTDRETLLQMRNQLIEKANQSPELHSVRANDLPQMPQLQVDIDSNKAVSLGLSLNDVTDTLSSAWGGTYVNDFIDRGRVKKVYIQGDSEFRSAPSDLGKWFVRGSDNAMTPFSAFATTRWLYGPERLVRYNGSAAYEIQGENATGFSSGDAMTKMEELANSLPAGTTWAWSGLSLQEKLASGQALSLYAVSILVVFLCLAALYESWSVPFSVILVIPLGLLGAALAAWMRDLNNDVYFQVALLTTIGLSSKNAILIVEFAEAAVAEGYSLSRAALRAAQTRLRPIIMTSLAFIAGVMPLAIATGAGANSRIAIGTGIIGGTLTATLLAIFFVPLFFVLVKRLFAGKSRRQE ->ARGMiner~~~macB~~~WP_062896537.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_062896537.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRASIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_042972661.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_042972661.1~~~macrolide~~~unknown MKKRKTVKKRYLIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~adeA~~~WP_039553500.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~WP_039553500.1~~~glycylcycline;tetracycline~~~unknown MKIERLRRDGGVHALLVAMLAGCGPSEPQNAPPAAQVAATTVVPTHLELTEDLPGRVAAVRVAEIRPQVSGIVLRRLFEQGTEVRAGQPLFQINPAPFRADADTAAAALRRAEAALARARVQTTRLQPLVEADAVSRQVYDDAVSQRDQAAADVEQARATLARRQLDLKFATVEAPIPGRIDQALVTEGALVNSGDSNPMARIQQIDQVYVDVRRPASSLEALRQTLATQTAGTGNGLPVAVLRGNGEPYEAKGRILFSGINVDAGTGDVLLRVLVNNPRRQLLPGMFVRARVPHVRYTDALTVPQQAVVRVGGQPRVWALDANGHARLKPVELGELVDRRYRIRTGLSAGQKIVVEGMERLSDGAAVTAHDWKSPEPVPAGPAH ->ARGMiner~~~mdtP~~~WP_001706701.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001706701.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEAQLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~macA~~~WP_061104361.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_061104361.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVXEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~lsaB~~~WP_000061775.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061775.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFLNLLLGNYEYSGKILASVEFNYFPYPVADKNKFAHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLKKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEQATNVRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTEPLKLEALKFKSNELVILADVSVKYDDQVVNEPISFIVEQGDRIVLDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDYIEEQKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~TEM-1~~~ANG12423.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG12423.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKVLESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHR ->ARGMiner~~~macB~~~WP_045406003.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045406003.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIRDGELVSNPPPREARTAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~adeG~~~WP_038791929.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_038791929.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAAAFAVVFIAGLGTFGAIRVNAGAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAGAQARNGYAQSDWQRAQRLIGDNAIARRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARMKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIVGGLAASERIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPRAAQGNARA ->ARGMiner~~~mdtP~~~WP_001479705.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001479705.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSLLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~MexF~~~CP000675.2.gene2723.p01~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~CP000675.2.gene2723.p01~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALFIVFIGIIAIAVLPVSQYPAIVPPTIQVTTSYPGADAKTLINTVALPIEQQVNGVEDMLYMQSTSTSGGTYTLIVTFAIGTDLNYAQVLVQNRVQAAMAQLPESVQKQGVVVQQKSTAILQFITLTSKNDEYDGVFLDSYATINMQDELSRLPGVGNVVVFGSGSYAMRVWLDPKKMLAFSLNPSDVLNAISYQNKEVSAGQLGAPPTVGKQAYQFTVNVPGQLSDPKEFENIIIKTIDTSPDENSSASSSAQVVRIRDVGRVELGSESYNQLANLNGKPTAAIGIFQLPGANALDVAQEVRKAVAKMAKQFPPGLEYSIPFDTTVFVKASIEEVYKTLFEAGILVLIVIVVFLQNFRASLVPATTVPVTIIGTFFAMLLLGYSINLLTLFALVLAIGIVVDDAIVIVEGVTQHIEKGMSPKESAILAMKELFGPIIGITLVLMAVFVPAGFMPGLTGSMYAQFALVIAATAFISAINAMTLKPTQCALWLKAPDTSKPKNIFFQAFDRIYNPIESAYVKFIDRLVHRSGKVCLIGIILVACAIYGLTRIPTGFIPMEDQGYLMLSVQLPDGASLGRTDEVVSRLAKKASEVGGVDNVISIDGISLLDNNSLLPNAGVIYVIFKDWSVRGKSENLRALYTKFNAMAKETLDAKVLVVVPPPIQGLGMSGGFQMQVELQDGTFDYRKLQQATDQMINTGHQYPQLQNLMTTFRASVPQVAAPINRTKAESLGVRVADAFDTLQTYLGSSYVNLFTKFGQVFPVYVQADASSRVSSEDLRNYYVRNQSGSMVPLGTLTDVGPAVGPSIISLYNLYPSSNINGVAARGYSSGQGIQVMEELAKEQLPPGISYEWTSTAYQEKVAGNLSYFIFALSLVLVYLILSGQYENWLIPSAIILSVPLTLVGTVLALGSLGMDNNMYTQIGLLLLIALATKNAILIVEVAREQREIHNKSVLEAAVIGAKTRFRPILMTSFAFIMGVMPLVFATGAGANSRRSIGIAVSSGMLASTCLAVVFVPVFYVLLQTWQDKRKAKH ->ARGMiner~~~tetM~~~ADE19326.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~ADE19326.1~~~tetracycline~~~unknown MEENHMKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNVCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPLYHGSAKSNIGIDNLIEVITNKFYSSTHRGPSELCGNVFKIEYTKKRQRLAYIRLYSGVLHLRDSVRVSEKEKIKVTEMYTSINGELCKIDRAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIEITEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~qacA~~~WP_033862510.1~~~fluoroquinolone unknown +>ARGMiner~~~qacA~~~WP_033862510.1~~~fluoroquinolone~~~unknown MISFFTKTTDMMTSKKRWTALVVLSVSLFVVTMDMTILIMALPELVRELEPSGTQQLWIVDIYSLVLAGFIIPLSAFADKWGRKKALLTGFALFGLVSLAIFFAESAEFVIAIRFLLGIAGALIMPTTLSMIRVIFENPKERATALALWSIVSSIGAVFGPIIGGALLEQFSWHSAFLINVPFAIIAVVAGLFLLPESKLSKEKSHSWDIPSTILSIAGMIGLVWSIKEFSKEGLADIIPWVVIVLAITMIVIFVKRNLSSSDPMLDVRLFKKRSFSAGTIAAFMTMFAMTSVLLLASQWLQVVEELSPFKAGLYLLPMAIGDMVFAPIAPGLAARFGPKIVLPSGIGIAAIGMFIMYFFGHPLSYSTMALALILVGAGTASLAVASALIMLETPTSKAGNAAAVEESMYDLGNVFGVAVLGSLSSMLYRVFLDISSFSSKGIVGDLAHVAEESVVGAVEVAKATGIKQLANEAVTSFNDAFVATALVGGIIMIIISIVVYLLIPKSLDITKQK ->ARGMiner~~~mexH~~~WP_023130944.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_023130944.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPCRSPAAEGSPR ->ARGMiner~~~FosB~~~WP_016117648.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_016117648.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGYKFEFHSGTLQDRLNYYRDEKSHMTFY ->ARGMiner~~~MexD~~~WP_031690166.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_031690166.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMLLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~mdtN~~~WP_047091175.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_047091175.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASFAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~macB~~~WP_053299973.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053299973.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIYDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVEALARE ->ARGMiner~~~FosB~~~WP_061804475.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_061804475.1~~~fosfomycin~~~unknown MLGINHICFSVSNLDTSISFYKNVLQAKLLVKGKKLAYFDLNGLWIALNTEEDIPRNEIHHSYTHIAFTVTEGEFEKLKDILIKNNVHILPGRERDQRDKKSIYFTDPDGHKFEFHTGRLQDRLEYYKEDKTHMEFYI ->ARGMiner~~~dfrA15~~~CAR63499~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~CAR63499~~~diaminopyrimidine~~~unknown MVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVVIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNLNYSYQIWQKG ->ARGMiner~~~TEM-1~~~ANG35178.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG35178.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHLRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPVMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macB~~~WP_059227752.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059227752.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGVERKRRLARARELLQRLGLEERVDYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPATENMNVVAGTESIVKMSSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQRQPWVASATPAVSQNLRLRYSNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNSRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSIKVLRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFALQLFLPGWEIGFSPLALLLAFLCSTVTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~acrB~~~WP_005976095.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_005976095.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVLAIMVMLTGLLAIVKLPIAQYPTIAPPAIDVTANYPGADASTLQDSVTQVIEQNMNGIDNLMYMSSSSDSSGTVQITLTFEAGTDPDIAQVQVQNKLQLAMPLLPQEVQQQGVNVQKSSSSFLMVAAFISEDGKMSQEDIADYVAANVKDPISRTSGVGDAQLFGSQYAMRIWLDPNKLNNYQLTAGDVSAAIRVQNNQIAAGQLGGAPPVPGQQLNASIIAQTRLNSAEEFSKILLKVNTDGSQVRLKDVARVELGAEGYDVIARFNGKPAAGIGIKLATGANALDTATAVKDALTKAEEFFPSGLKVVYPYDTTPFVKISINEVVKTLVEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGYSINTLTMFAMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATKRSMEQIQGALVGIALVLSAVFVPMAFTGGSTGAIYRQFSITIVSAMVLSVLVALILTPALCATLLKPIAKGDHSEKTGFFGWFNKVFEKSTHHYTDSVANILRSTGRYLVIYLLIVVGLALLFLRLPTSFLPDEDQGVLLNIVQLPSGATQENTQKIMDRMTQYYLENEKNNVKSVFTVTGFGFSGRGQNAGLAFASLSDWSERSGAENKVMAIAGRANAAFSQYKEAMVFAVNLPAIIELGTATGFDFQLIDQANLGHAKLTEARNQLLGMAAQHPDTLVQVRPNGMEDTPQFRLDIDQEKAQALGVSLSDISSTLATTLGGSYVNDFIDRGRVKKVYVQADAPFRMLPDDIKNWYIRGSNGQMVPFSAFTQSHWEYGSPRLERYNGQPSMQIQGQAAPGKSTGEAMALMESFVAQLPQGIGYQWTGMSYQERLSGNQAPAIYAISLIVVFLCLAALYESWSIPFSVMLVVPLGIIGALIAANMTSLENDVYFKVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFGKKTDDTTAH ->ARGMiner~~~arnA~~~WP_032331774.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032331774.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNTHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtG~~~WP_023329491.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023329491.1~~~fosfomycin~~~unknown MSSADTPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAVASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTATVLFICFLFTLFLIRENFVAVSKKEMLSAKDVFSSLKSPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALLSAPRLGKLGDRIGPEKILIVALVISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAFVVLFNAVYSTLTLGRVRRQRATDNPGSGNHSVN ->ARGMiner~~~mdtA~~~CZX89187.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~CZX89187.1~~~aminocoumarin~~~unknown MGLCRVALRLPGLRFEDLVGRVRRSRHPAKQRATLRPFLTVFHISSIFPLCYLTKLVSFPESVSGRERITMKGSNKSRWAIAVGLIVVVLAAAWYWHSQSANSTAPAGANSPSQRPTGGGRHGIRGAALAPVQAATAVNKAVPRYLSGLGTITAANTVTVRSRVDGQLMAIHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLVKTNLVSRQELDTQQSLVSESQGTIKADEAAVASAQLQLDWSRITAPIDGRVGLKQVDIGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVIQAQKAGKALVVEAWDRTNKQKLSEGSLLSLDNQIDTTTGTIKLKARFNNQDDALFPNQFVNARMLVATEENAVVIPTAALQMGSEGNFVWVLNSENKVSKHLVKTGIQDSQTVVISAGLSAGDRVVTDGIDRLTEGAQVEVVEAQNTGAKA ->ARGMiner~~~MexF~~~WP_048329135.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_048329135.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIKRPIFAAVLSLVILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTDLDNAQVQVQNRVTRTMPTLPTEVQRLGVTVDKASPDLTMVVHLTSPDNRYDMLYLSNYAALNVKDELARLDGVGDVQLFGLGNYSLRVWLDPNKVAQRNLTATDVVNAIREQNRQVAAGALGAPPAPGATDFQLSINTQGRLVDEEEFENIIVRAGEDGEITRLKDIARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIAISDLVRERMAELKQSFPQGVDYEIVYDPTIFVRGSIEAVVHTLLEAIVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHLLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGKSPVEATRQAMKEVTGPIIATALVLCAVFIPTAFISGLTGQFYQQFALTIAISTVISAFNSLTLSPALAATLLKAHDAPKDGFSRLLDRLFGGWLFRPFNRMFDRASHGYVGMVRRILRGSAVALLVYVGLVGLGYMGFATTPTGFVPQQDKQYLVAFAQLPDAATLDRTEDVIKRMSEIAGKHPGVENTVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSLSANAIAADLNGQFAQIQDAFIAIFPPPPVQGLGTIGGFRVQVQDRGNLGYEELYTQVQNVIAKSADYPELAGLFTSYQVNVPQVDADIDREKAKTHGVAIDDIFDTMQVYLGSLYANDFNRFGRTYQVNVQADQKFRLAPEQIGQLKVRNNRGEMVPLSTFVNVKDSAGPDRVMHYNGFLTAEINGAAAPGYSSGQAEAAMERLLQAELPNGMTYEWTELTYQQILAGNTAVFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNVFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRLAATLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYLVIRAFVEKREARKVVFKEARA ->ARGMiner~~~sdiA~~~gi:595588364:pdb:4LFU:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~gi:595588364:pdb:4LFU:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDKDFFSWRRTMLLRFQRMETAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLILEHHHHHH ->ARGMiner~~~mepA~~~EFS03703.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~EFS03703.1~~~glycylcycline;tetracycline~~~unknown MKQTDEFYLTKASIPKAIAHLSIPMMLGMSVGVIYNIINAFFIGMLHDTSMLTAVTLGLPMFTVLMAIGNMFGVGGGTYISRLLGKKASTEAKRVSAFVLYGSLALGIVCALVLGIMINPVTHFLGADAASFLHTKNYTLALLICSPVIIANFALEQVVRAEGASKISMNGMLIGTLVNLIFDPLLILYFDFNVVGAAVSVGLASAFSLVYYAFYLEKKSAYLSIHFKWFQVTKDIISNVFKIGVSELLLSLFLIVTTLILNYYSIGYGEGVVAGFGVALRVVQLPEFICMGLYMGIIPLLAYNYSAGNIARFEKAIRFTAISIGAIVLVISSLVFLFRFQVMQLFTESPSVVLLGVHIMVAMLISSLFSGFTGLFTSTFQAIGKAIPATIMSVSQGIIFIPVIILGQHYFGLVGVIWSLTTTEILTCIIGVILFTIYNVKIASSTKAKDLAV ->ARGMiner~~~sdiA~~~WP_065707753.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_065707753.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDMLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCASFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~macA~~~WP_002811019.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002811019.1~~~macrolide~~~unknown MKNRVVLIVVILALFGVGAYFIFFNDNEKITYLTQKVKKIDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGSHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLEIQKNNYYSLKASVAELNAQVIQLEITLKNAKKDLDYTTITAPIDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEIKMEIAEADVNKIKIGTELEFSLLNDPQKTYRASIASIDPADTEVSDSSSSYSSSSSSSSNAIYYYAKFYVQNKDNFLRIGMSIQNEIVIASVKDVIAVPTYAIKNDAKGYYVEILQNQKVVKKYVKFGIKDSINTQILEGVDENEELIISSSADGLVPEMKLRF ->ARGMiner~~~hmrM~~~WP_001546205.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001546205.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMQNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAMMMMLRMRFLQRLPSAIILQRAAR ->ARGMiner~~~FosB3~~~WP_053027103.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_053027103.1~~~fosfomycin~~~unknown MIKGINHITYSVSNIAKSIEFYRDILGADILVKSETSAYFNFGGIWLALNEEKNIPRSEIKYSYTHIAFTISDNDFEDWYIWLKENEVNILEGRDRDIRDKKSIYFTDLDGHKLELHTGSLEDRLNYYKEAKPHMNFYI ->ARGMiner~~~macB~~~WP_023186409.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023186409.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLVAAQAERIIEIHDGKIVHNPPAQEKKREQDVAAAAVSTASGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQVLKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNASGVSGDYFNVYGISFSEGNTFNAVQQRDRAQVVVLDANTRRQLFPNKANVIGEVVLVGNMPVIVIGVAEEKQSMYGNSNLLQIWLPYSTMSDRIMGQSWLNSITVRVKDGVNSNQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_049857314.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_049857314.1~~~macrolide~~~unknown MNLKGKSKKIYLILAVLIVIAGVWLWRTLNAPLPQYQTLIVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVNIGDKVKKDQLLGVIDPEQAQNQIKEVDATLMELRAQLRQAQAEQKLAQVTLARQQKLAKTNLISQQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLEMTAQVHIQLNGVKNVMTIPLSALGDAVGDNRYNVRLLRNGEVKEREVTIGARNDTDVEITKGLEEGDEVITGEGKPGAAK ->ARGMiner~~~lmrP~~~NP_268322~~~macrolide;lincosamide;streptogramin;tetracycline unknown +>ARGMiner~~~lmrP~~~NP_268322~~~macrolide;lincosamide;streptogramin;tetracycline~~~unknown MKEFWNLDKNLQLRLGIVFLGAFSYGTVFSSMTIYYNQHLGSAITGILLALSAVATFVAGILSGFFADRNGRKPVMVFGTVIQLLGALLAIDSNLPGHVNPWSTFIAFLLISFGYNLVITAGNAMIIDASNAENRKVVFMLDYWAQNLSVILGAALSAWLFRPAFEALLVILLLTVLVSFFLTTFVMTETFRPIVKAKEEAENIFQAYKTVLQDKTYMIFMGANIATTFIIMQFDNFLPVHLSNSFKTITFFGFEIYGQRMLTIYLILACVLVVLLMTTLNRLTKDWSHQKGFIWGSLFMAIGMIFSFLTTTFTPIFIAGIVYTLGEIVYTPSVQTLGVDLMNPEKIGSYNGVAAIKMPIASILAGLLVSISPMIKATGVSLVLALTEVLAIILVLIAVNRHQKTKIN ->ARGMiner~~~sdiA~~~WP_001154260.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154260.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIEFQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRSSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~mdtH~~~WP_032636998.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032636998.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERHFRLEHRLMAGLFLMTLSMMPIGLVSSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYTGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~mefA~~~WP_050313579.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_050313579.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITQISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~tolC~~~WP_049283278.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049283278.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFTTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLGLTASTGVSDTSYNGSKTNTSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPIPTSPDSVAPQNPQQDAAVNDFNSNGNMPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~tolC~~~WP_021546450.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_021546450.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIHQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mdtN~~~WP_064770825.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_064770825.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALAALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAGLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGTSAVANLEPQ ->ARGMiner~~~BLA1~~~WP_041183913.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_041183913.1~~~penam~~~unknown MILKNKRLLKIGICVGILGLSLTSLEAFTGGSLQVEAKEKKGQIKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLVEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTAGNALPNHKRNILTKWMKGNATGDKLIRAGVPTNWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~lsaA~~~WP_002368819.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_002368819.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFAYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYSQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~acrB~~~WP_050951571.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_050951571.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSALSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~FosB~~~WP_002158165.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002158165.1~~~fosfomycin~~~unknown MLRGINHLCFSVSDLEKSIIFYEKVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRNEIHQSYTHIAFSVEQEDFERLLQRLEEKEVHILQGRERDVRDCESIYFLDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~novA~~~WP_030349761.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030349761.1~~~aminocoumarin~~~unknown MKPEHSTWTPSSRPGDPGQPEPPAQLRRIFGLFRPYRGRLAIVGLLVGASSLVTVASPFMLREILDTAIPQGRTGLLSLLALGMILTAVVNSVFGVLQTLISTTVGQRVMHDLRTGVYSRLQRMPLAFFTKTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVASVVAMLALDWRLTAVSMVLLPVFVWISRRVGRERKKITTDRQRQMATMAATVTESLSVSGILLGRTMGRADSLTKSFADESERLVGLEVRSNMAGRWRMSTIGIVMAAMPALIYWAAGIALGAGGTAVSIGTLVAFVSLQQGLFRPAVSLLSTGVDMQTSLALFQRIFEYLDLPIDITEPERPVKLDTIRGEVRFEDVDFSYDDKGRRTLREIGLTVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVLIDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPEATDEEIETAARAAQIHDHIASLPDGYGTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSEGRTTITIAHRLSTVRDADQIVVLDAGAIAERGTHDELIEQDGRYAALVRRDSRPTHQQAEALASVSP ->ARGMiner~~~vanXF~~~WP_037997107.1~~~glycopeptide unknown +>ARGMiner~~~vanXF~~~WP_037997107.1~~~glycopeptide~~~unknown MEKGFIFLDEILYGVRWDAKYATWDNFTGKPVDGYEVNRIVGTYDLALALRKAQKQAEALGYGLLLWDGYRPQRAVECFLRWSELPEDNLTKERYYPNIERSELITKGYVAPLSSHSRGSAIDLTLYRLDTGELVPMGSSFDFMDERSHHTSKKISSNEAKNRRLLRSIMENSGFEAYEYEWWHYVLRNEPYPDTYFDFPIVGNHQKED ->ARGMiner~~~mdtF~~~WP_000024895.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_000024895.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVKMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~adeG~~~WP_004739459.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_004739459.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPPQPQPTDKTSTPAKG ->ARGMiner~~~bcrA~~~WP_000074553.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074553.1~~~peptide~~~unknown MSPIHTIIKTTNLTKVYGTQKSVDNLNINVQQGEVYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEMFGEDLFQNQKNILRRIGSIVEVPGFYENLTAKENLLINAKIIGIHKKNAIEEALEIVGLQHETTKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITILISSHILAEVEQLVDRMGIIHEGKLLEEVSLDTLRKTNRKYIEFQVNNDNRATMLLESYLQIFDYEVHDEGKIRIYSHFGQQGHINRTFVLNDVDVLKMAMSEDRLEDYFTNLVGGGTIG ->ARGMiner~~~FosB3~~~WP_053876022.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_053876022.1~~~fosfomycin~~~unknown MLESINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~acrB~~~WP_016241120.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_016241120.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~adeB~~~WP_047428207.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_047428207.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIILFGVLSIPKLPIARFPSVAPPQVNITAVYPGATPKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAQISATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNGQYSEVDVSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAAKGQLITIPLSAEGQLGDVEQFKNISLKSKTSGSVIKLSDVANVEMGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVREKIEELKLNLPEGMQFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFAVMLMAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLPPKEATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGIIYQQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELILLKVIKHSVPMMAIFVVITGLTFAGMKYWPTAFMPEEDQGWFLTSFQLPSDATAERTRGVVNEFENSLKDNPNVKSNTTIMGWGFSGAGQNVGIAFTTLKDFKERTSSASEMTNVVNETMAHSKEGATMAVLPPAIDELGTFAGFSLRLQDRANLGMPALLAAQDQLMEMAAKNKKFYMVWNEGLPQGDNISLKIDRAKLNVLGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVDAKSRMQLKDILNLKVMGSNGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGDAMREMENLIAKLPKGIGYEWTGISLQEKQSESQMAFLLALSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIVAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLVEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKQKNPS ->ARGMiner~~~cphA2~~~WP_017409078.1~~~carbapenem unknown +>ARGMiner~~~cphA2~~~WP_017409078.1~~~carbapenem~~~unknown MKGWIKCGLAGAVVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYVKENSMVYFGTKGVTVVGATWTPDTARELHKLIKRVSRQPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHEGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAAPQS ->ARGMiner~~~mdtH~~~WP_015834639.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_015834639.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIHFVEQLGWAALVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVTGMLLRALGFALIALATEPWILWLACILSALGGTLFDPPRTALVIKLTRPHERGRFFSLLLMQDSAGAVIGALIGSWLLQYDFQLVCWTGAGVFVLAAIWNAWFLPAYRISTTRTPIWEGMRRVVKDRRFFTYVLTLTGYFMLSVQVMLMFPIIVNEIAGTPAAVKWMYAIEATLSLTLLYPIARWSEKRFRLEQRLMAGLFLMSLSMFPVGLIGEINTLFGLICLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLALGGALGYTGGGWLYDTGNTLNIPQLPWFLLGTIGLITLYALHRQFNQRKIESAMLSGS ->ARGMiner~~~macA~~~WP_050736572.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050736572.1~~~macrolide~~~unknown MNLKGKRRTWFLLLAVIVIGGGFWLWQILNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGALKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLAGVKNVLTIPLSALGESTGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAK ->ARGMiner~~~y56 beta-lactamase~~~WP_050125352.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050125352.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGLTLPLVTLTSPVWAAALSASLDNQLAELERTANGRLGVALINTANGSKVQYRGGQRFPFCSTFKLMLTAAVLGQSQSLLGLLDKHIRYHESDLLSYAPITRKNLAQGMTVSQLCAATIQYSDNTAANLLIKELGGLEAINQFARSIGDQTFRLDRWEPELNTALPNDPRDTTTPAAMAASIHKLVLGEALKTPQREQLVVWLKGNTTGDATIRAGVPTDWIVGDKTGSGDYGTTNDVAVIWPSQGAPLVLVLYFTQRQKDAESRRDVLASATKIVLSHLS ->ARGMiner~~~emrB~~~WP_051459645.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_051459645.1~~~fluoroquinolone~~~unknown MTIALSLATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPVTGWLARRIGEVRLFLWSTGLFALTSWLCGISNSLEMLILFRVLQGLVAGPLIPLSQSLLLNNYPPAKRSMALALWSMTIVVAPICGPILGGYISDNYHWGWIFFINVPFSIAIIFAIMRTLKGRETKISIKPIDTVGLVLLVVGIGALQIMLDQGKELDWFNSTEIIVLTVIAVVAIAFLIVWELTDEHPVIDLSLFKERNFTIGCLALSLAYMLYFGTIVLLPQLLQEVYGYTATWAGLASAPVGLLPLLITPIIGRFGNRIDMRYLVTFSFIMYAVCYYWRAYTFEPGMGFAAAAWPQFVQGLAIACFFMPLTTITLSGLPPERMASASSLSNFTRTLAGAIGTSITTTLWTQREAMHHENLTEFVNPYNPNAQHMYSELAQIGMNEQQSAAYIARSITEQGLIISANEIFWMSAGVFILLMIIVWFAKPPFGAGSKEGGGGAH ->ARGMiner~~~tolC~~~WP_000735298.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735298.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVDNFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPAVQQASARTTTSNGHNPFRN ->ARGMiner~~~Klebsiella pneumoniae OmpK36~~~CAC48383.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK36~~~CAC48383.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MMKRNILAVVVPALLVAGAANAAEIYNKDGNKLDLYGKAVGLHYFSDNDGNDGDKTYARLGFKGETKINDQLTGYGQWEYNFQGNNSEGADAQSGNKTRLAFAGLKFGDAGSFDYGRNYGLVYDAIGITDMLPEFGGDTGVSDNFFSGRTGGLATYRNSGFFGLVDGLNFGVQYLGKNERTDALRSNGDGWATSLSYDFDGFGIVGAYGAADRTNAQQNLQWGKGDKAEQWATGLKYDANNIYLAALYGEMRNAARLDNGFANKTQDFSVVAQYQFDFGLRPSIAYYKSKAKDVEGIGDEDYINYIDIGATYYFNKNMSTYVDYQINQLKDDNKLGINNDDTVAVGIVYQF ->ARGMiner~~~tolC~~~CAD13188.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CAD13188.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDRNSNVTSGSLQLTQTLFDMSKWRALTLQEKTAGIQDVTYQTDQQKLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTSKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASTSVSNNRYSGSKNISPDADIGQNTVGLNFTLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPTSPDSVAPENPQQDAAADGYANTASAQPAAARTTKTSGSNPFSH ->ARGMiner~~~MexB~~~WP_050849073.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_050849073.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MAKFFIDRPVFAWVIAIVLMMAGALSILSLPVAQYPNIAPPAISINVTYPGASAQTVQDTVVQVIEQQMNGIDNLQYISSESNSDGSMSITLTFSQGTNPDTAQVQVQNKLAVAQPLLPLEVQQQGIRVTKATKNFLIVAGFVSTDGTMDKSDLADYVASYIQDPISRTPGVGNFQLFGAPYAMRIWISPEKLVNFGLTASDVTTAIREQNVQVSSGQLGGQPAVRGQQLNATVIGPSRLQTPEAFGRILLKVNRDGSQVRLKDVASIELGAQTYAIDSYYNGKPASGLAIKLASGANALDTAQAVRETINGLKPYFPPGMDVVYPYDTTPFVSLSIEGVVKTLFEAVLLVFLVMYLFLQNVRATLIPTLAVPVVLLGTFGVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPRQATRKSMGQITGALIGIAMVLAAVFVPMAFFGGSTGVIYRQFSITIVSSMVLSVVVAIVFTPALCATMLKPIPKGHHGSKKGFFGWFNRTFERSSQAYANNVARSMGRTKRLLLIYVVILLGMAWMFMRIPTAFLPDEDQGILFAQVQAPAGATSERTQATIDAAVKYLLEDEKESVASVFAVNGFSFGGRGQNAAILFIKLRDWNERKGAEPRAAAVAARANAKFRAELRDAQAAVFAPPAVMELGNATGFDFQLQDRAGVGHEKLLAARNQLLQEAGASPMLVAVRPNGIEDAPQYQLDIDREKARALGVSITEINNTLATAWGSSYVNDFIDRGRVKKVFVQGEASSRMLPQDLDKWYVRNNAGDMVPFSAFSSAQWTFGPQKLNRYNGVPSYNIQGQAAPGYSSGDAMAEMERLADKLPLGIGYDWTGLSFEERLSGAQAPALYAISLIVVFLCLAALYESWSIPTAVMLVVPLGVVGALLATMTRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKEHYEEGASLTEAAVHAARQRLRPILMTSLAFILGVVPLAISTGAGSGSQNAIGTGVIGGMLTGTFLAIFFVPLFFVLTLRLFKVKRASENREDDDPHGGAQPATHGGQPQ ->ARGMiner~~~mdtG~~~WP_004137235.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_004137235.1~~~fosfomycin~~~unknown MPSADTPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSVTFLFSAVASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTASVLFICFLFTLFLIRENFVAVSKKEMLSAKDVFSSLKNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSDIAFISGMIASVPGIAALLSAPRLGKLGDRIGPEKILIVALVISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAVYSTLTLGRSRRPQATDNTGSGTHSVN ->ARGMiner~~~macB~~~WP_001634240.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001634240.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAGISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~msbA~~~WP_031863594.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031863594.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPVEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLIRALKHTRWNAYSFASINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHHELIAKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_023249730.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023249730.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNSPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLAGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~AQU-1~~~WP_041208093.1~~~cephalosporin unknown +>ARGMiner~~~AQU-1~~~WP_041208093.1~~~cephalosporin~~~unknown MKQPKTLSRLALGSLLISSFASAAQDVPLATIVDGTIQPVLKEYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTYTSTLGAYAVVKGGFKLDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVESVEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGYLAASSLQQPFARLMEQTLLPGLGMHHTYLEVPKQAMGDYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANISGVDDKALQQAISLTHQGRYSVGEMTQGLGWESYAYPVSEQTLLAGNSSAVIYNANPVKPVAASQETGGARLYNKTGSTNGFGAYVAFVPAKGIGIVMLANRNYPNDARVKAAYAILSKLAD ->ARGMiner~~~msbA~~~WP_064132116.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_064132116.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCIKIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~vanYB~~~SAM71699.1~~~glycopeptide unknown +>ARGMiner~~~vanYB~~~SAM71699.1~~~glycopeptide~~~unknown MEKSNYHSNADHHKRHMKQSVEKRAFLCAFIISFTVCTLFLGWRLASVLEATQIPPIPATHTGGSTDVVENLEENALATAKEQGDEQEWSLILVNRQNPIPAQYDVELEQLSNGERIDIRISPYLQDLFDAARTDGVYPIVASGYRTTEKQQEIMDEKIAEYKAKGYTSAQAKAEAETWVAVPGTSEHQLGLAVDINADGIHSTGNEVYRWLDENSYRFGFIRRYPPDKTEITGVSNEPWHYRYVGIEAATEMYNQGVCLEEYLKPEK ->ARGMiner~~~tolC~~~WP_032443945.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032443945.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGISNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPVSARTNQSSGSNPFRQ ->ARGMiner~~~macB~~~WP_053888514.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_053888514.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGVLGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_032436203.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032436203.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILHLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrA~~~WP_024198049.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_024198049.1~~~fluoroquinolone~~~unknown MSANAETQIPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~acrB~~~WP_023230871.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_023230871.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGIFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~macB~~~WP_032232318.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032232318.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLMSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWFPARNAARLDPVDALARE ->ARGMiner~~~rosB~~~CBY26192.1~~~peptide unknown +>ARGMiner~~~rosB~~~CBY26192.1~~~peptide~~~unknown MAHRLRISPLVGYLAAGVLAGPFTPGFVADTSLAPELAEIGVILLMFGVGLHFSLKDLLAVKAIAIPGAVAQIAVATLLGMGLSHLLGWDLMTGFVFGLCLSTASTVVLLRALEERQLIDSQRGQIAIGWLIVEDLAMVLTLVLLPAFAGVMGNETTSLSQLFTELAITIGKVIAFITLMIVVGRRLVPWILAKTASTGSRELFTLAVLVLALGIAYGAVGLFDVSFALGAFFAGMVLNESELSHRAAQDTLPLRDAFAVLFFVSVGMLFDPMILLREPLAVLASLAIIIFGKSAAAFILVRMFGHSKRTALTISVSLAQIGEFAFILAGLGISLGLMSEHGRNLVLAGAILSIMLNPLLFTLLDRYLAKNETMEDLILEEAVEEEKQIPVDLCNHALLVGYGRVGSLLGAKLHAEGIPLVVIENSRPRVEALREQGINAVLGNAASADIMSLARLDCARWLLLTIPNGYEAGEIVASARIKRPDLEIIARAHYDDEVVYISDRGANQVVMGEREIANSMLNMLKIETLTEEDKRPLCPI ->ARGMiner~~~mdtH~~~WP_029740354.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_029740354.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEAALSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKAFNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~tolC~~~WP_004205242.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_004205242.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTSKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASSGVSNNRYSGSKSISQDADIGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAMKPASARTTTHSSGSNPFRQ ->ARGMiner~~~sdiA~~~WP_014832454.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_014832454.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRECFLRFQALSCVSEVYQELQRQTQALEFDYYALCVRHPVPFTRPKISVHATYPQRWMAHYQSENYFAIDPVLKPENFIQGHLPWTDELFADAQALWNGARDHGLRKGITQCLMLPNHALGFLSVSRTSILEGGIGSEEIELRLQMLLQMALTTLLRFEDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mdtP~~~WP_032299413.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032299413.1~~~nucleoside;acridinedye~~~unknown MINLQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~MexA~~~WP_033986417.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_033986417.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTLPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~Escherichia coli acrA~~~ZP_02656523~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~ZP_02656523~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQSTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGDKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~sdiA~~~WP_047625769.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_047625769.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCFLRFQELTCADEVYQELQRQTQALEFDYYALCVRHPVPFTRPKVSLQTTYPKQWMAQYQSANYFAIDPVLKPENFIQGHLPWTDALFVDAQELWHNAQDHGLRTGITQCLMLPNHALGFLSVSRTSVQDVPYRQEEIELRLQMLVQMALTTLLRFEDGMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~FosB3~~~WP_064135904.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_064135904.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGIWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~adeG~~~YP_440294~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~YP_440294~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAATFAVVVIAGLGAFGAIRVNASAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAQAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLRYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGSLVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIAGGLAAGDRIVVNGTQRVRPGEQVKPHLVPMTGGDDAAATPVAGGVQRPQGAPGNARA ->ARGMiner~~~nalC~~~WP_058177542.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_058177542.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLATVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~FosX~~~WP_049885295.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_049885295.1~~~fosfomycin~~~unknown MTLIVKDLNKTTTFLREIFNAEEIYSSGDQTFSLSKEKFFLIAGLWICIMEGDSLQERTYNHIAFQIQSEEVDEYIERIKALGVEIKPERPRVEGEGRSIYFYDFDNHLFELHAGTLEERLKRYHE ->ARGMiner~~~tolC~~~WP_020316753.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_020316753.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGISNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPVSARTNQSSGSNPFRQ ->ARGMiner~~~tet(E)~~~Y19116.gene.p01~~~tetracycline unknown +>ARGMiner~~~tet(E)~~~Y19116.gene.p01~~~tetracycline~~~unknown MMMALVIIFLDAMGIGIIMPVLPALLREFVGKANVAENYGVLLALYAMMQVIFAPLLGRWSDRIGRRPVLLLSLLGATLDYALMATASVVWVLYLGRLIAGITGATGAVAASTIADVTPEESRTHWFGMMGACFGGGMIAGPVIGGFAGQLSVQAPFMFAAAINGLAFLVSLFILHETHNANQVSDELKNETINETTSSIREMISPLSGLLVVFFIIQLIGQIPATLWVLFGEERFAWDGVMVGVSLAVFGLTHALFQGLAAGFIAKHLGERKAIAVGILADGCGLFLLAVFTQSWMVCPVLLLLACGGITLPALQGIISVRVGQVAQGQLQGVLTSLTHLTAVIGPLVFAFLYSATRETWNGWVWIIGCGLYVVALIILRFFHPGRVIHPINKSDVQ ->ARGMiner~~~MexT~~~CAA07694.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~CAA07694.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNRNDLRRVDLNLLIVFETLMHERSLTRAAEKLFLGQPAISAALSRLRTLFDDPLFVRTGRSMEPTARAQEIFAHLSPALDSISTAMSRASEFDPATSTAVFRIGLSDDVEFGLLPPLLRRLRAEAPGIVLVVRRANYLLMPNLLASGEISVGVSYTDELPANAKRKTVRRSKPKILRADSAPGQLTLDDYCARPHALVSFAGDLSGFVDEELEKFGRKRKVVLAVPQFNGLGTLLAGTDIIATVPDYAAQALIAAGGLRAEDPPFETRAFELSMAWRGAQDNDPAERWLRSRISMFIGDPDSL ->ARGMiner~~~macB~~~WP_046477871.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_046477871.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEEQVEVLKGITLQINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDRDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSRSGEEVMAILHQLRDRGHTVIIVTHDPLVAAQAERVIEIHDGEIVRNPPAKRAAQGQGIQEPTVKTASGWSQFISGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAIQKQPWVTSATPAVSQNLRLRYGNTDVAASANGVSGDYFNVYGMTFSEGTTFNREQLNGRAQVVVLDSNTRRQLFPHKANVVGEVILVGNMPATVIGVAQEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_023311119.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023311119.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLAVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSALASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADNYGLRPVFFITASVLFLCFIVTLLCIRENFTPVAKKEMLHAREVLTSLKNPRLVLSLFVTTMIIQVATGSIAPILTLYVRDLAGNVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILISALVISVLLLIPMSMVQAPWQLGLLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGAGISASFGFRAVFIVTAGVVLFNAIYSWFSLSRALRPVTE ->ARGMiner~~~msbA~~~WP_031882172.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031882172.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRAFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macA~~~WP_063922789.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063922789.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYKGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~msbA~~~WP_031865209.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031865209.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAVKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSIITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~SHV-60~~~AMQ81151.1~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-60~~~AMQ81151.1~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIISLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMSATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~norB~~~WP_047933506.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_047933506.1~~~fluoroquinolone~~~unknown MTSTAYKGTNKLIVGIVFGVITFWLFAQSMVNIVPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGSTLLIIGRIIQGLSAACIMPATLALMKTYFDGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGATFGWTSPITITMLVVFLVSAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSGITAVGIALMALTFIPGTLYTVLVFVGFALFGIGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLISVAITTPSAKKALELKAAKE ->ARGMiner~~~macB~~~WP_057072003.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_057072003.1~~~macrolide~~~unknown MTALLELTHIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLARAQTLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRASRAAAPKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQIFLPGWEIGFSPVAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_001124216.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124216.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQQGQSADKASSTPSAERKHQGNGARLERLNLTPDQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~macA~~~WP_046093569.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_046093569.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMLNAPQPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPEQAENQIKEVEATLMELNAERQQAAAELKLARVTLTRQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGDNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRSGATP ->ARGMiner~~~macB~~~WP_000125903.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000125903.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLVAAQAERIIEIHDGKIVHNPPAQEKKREQGVAAAAVNTASGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQVLKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFSEGNTFNAVQQRDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKQSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVNSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mexH~~~WP_058201997.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058201997.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~lrfA~~~ETT90379.1~~~fluoroquinolone unknown +>ARGMiner~~~lrfA~~~ETT90379.1~~~fluoroquinolone~~~unknown MIDRKKVILYTCCMSLFVVTMDVTVVNVALPSIQSDFHTNLSTLQWVTDGYTLMVASLLLLSGSTADRIGRKRVLQLGLACFGLASFLCGISQTPGQLIAFRMLQGIGGSMLNPVAMSIITQVFTEKLERAKAIGLWGSVTGISLGMGPIIGGLIVSYFSWRYVFFVNVPIIAAAIILTQKFVPESKVEKTAKNDFVGQALMILFLFSSIYSIIGLPRKGLFGPDILSTGIIGCLAIVIFFIYEYNIDNPLINPRFFLSIPFTSASFLAIFGFIIYNGYLFLNTLYLQEMRGFSPLEAGLSTIPLAFVSFLVAPRAGEMVGRIGTKRPIMLCGISMLAVSFLQLFVTKTTPMIILFVIYIFLGIGFGMLNSPITITAIEGMPLSQSGTAAAIAVTCKQIGNSLGVALPSLLITKPITSSLTRTPFTNVWLLFGCCAIAIIFLSYLSNSPLAKKSLRRVRFYF ->ARGMiner~~~mdtN~~~WP_053901614.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_053901614.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWIRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mdtH~~~WP_000092161.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092161.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMCWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRTARRLLERDA ->ARGMiner~~~mdtH~~~WP_064396802.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064396802.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFAAMAIAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAGYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLIMTLAMMPIGLSSNLQQLFTLICVFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGAFGYAGGGWLFDAGKATGQPELPWLMLGAIGLATFIALWWQFSPKRSTSGMLEPRT ->ARGMiner~~~mdtH~~~WP_032681265.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032681265.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLHDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEAALSLTLLYPIARWSERHFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~norA~~~WP_017464502.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_017464502.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPHKATTDGFHQYQPELLTKINWKLFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~emrB~~~WP_042288532.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_042288532.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFMWSTVAFAIASWACGVSSSLNMLIFFRVVQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLQTLRGRETRTEQRRIDAIGLALLVVGIGSLQIMLDRGKELDWFASQEIIILTVVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNRESMHHAQLTESVNPYNPNAQAMYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLILLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtA~~~CZW86707.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~CZW86707.1~~~aminocoumarin~~~unknown MELCRVALRLPGLRFEDLVGRVRRSRHPAKQRTTLRPFLTVFHISSIFPLCYLTKLVSFPESVSGRERITMKGSNKSRWAIAVGLIVVVLAAAWYWHSQSANSTAPAGANSPSQRPTGGGRHGIRGAALAPVQAATAVNKAVPRYLSGLGTITAANTVTVRSRVDGQLMAIHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLVKTNLVSRQELDTQQSLVSESQGTIKADEAAVASAQLQLDWSRITAPIDGRVGLKQVDIGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVIQAQKAGKALVVEAWDRTNKQKLSEGSLLSLDNQIDTTTGTIKLKARFNNQDDALFPNQFVNARMLVATEENAVVIPTAALQMGSEGNFVWVLNSENKVSKHLVKTGIQDSQTVVISAGLSAGDRVVTDGIDRLTEGAQVEVVEAQNTGAKA ->ARGMiner~~~macB~~~WP_001542171.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001542171.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKMDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061390684.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061390684.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYTSYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~mgrA~~~WP_057518971.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_057518971.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASTSSLSQDEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~blaF~~~WP_064900475.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_064900475.1~~~penam~~~unknown MDGLSRRNVLIGSLVAAAAVGVGVGNAAPVFAAPIDDQIAELERRHHALIGLYAANLDTGRTLTHRPDETFAMCSTFKGYAVARVLQMAERGEISLDNRMFVDADALVPNSPVTETRVGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAILAGDALSPPQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPGGQRLLLVMMTRSQGLDPKAENLRPLIGELTALVLPSLL ->ARGMiner~~~adeB~~~WP_000987591.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987591.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVDIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~vanSA~~~ELB79878.1~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~ELB79878.1~~~glycopeptide~~~unknown MKNKKNDYSKLERKLYMYIVAIVVVAIVFVLYIRSMIRGKLGDWILSILENKYDLNHLDAMKLYQYSIRNNIDIFIYVAIVISILILCRVMLSKFAKYFDEINTGIDVLIQNEDKQIELSAEMDVMEQKLNTLKRTLEKREQDAKLAEQRKNDVVMYLAHDIKTPLTSIIGYLSLLDEAPDMPVDQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKTHIDLYYMLVQMTDEFYPQLSAHGKQAVIHAPEDLTVSGDPDKLARVFNNILKNAAAYSEDNSIIDITAGLSGDVVSIEFKNTGSIPKDKLAAIFEKFYRLDNARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNYTTFRVELPGFCCKVLNKE ->ARGMiner~~~mdtP~~~WP_023568938.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_023568938.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDERETQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~emrB~~~WP_060556945.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_060556945.1~~~fluoroquinolone~~~unknown MIKRGVKVIKEPLQGGKLAIMTIALALATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFALTSWLCGISQSLEMLIFFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSVTIVVAPILGPILGGYISDNYHWGWIFFINVPFGVLIIMCISNTLAGRETKTEIKPIDTIGLVLLVVGVGALQIMLDQGKELDWFNSTEIIVLTIIAVVALSFLIVWELTDEHPVIDLSLFKSRNFTIGCLTLSLAYMIYFGTIVLLPLLLQEVFGYTATWAGLAAASVGLLPLIITPIIGKFGGKVDLRYIISFSFIMFAVCFYWRAYTFEPGMDFATVAWPQFWQGLGVACFFMPLTTMTLSGLPPEKMASASSLSNFLRTLAGAIGASLTTTIWTQRESLHHETFVEKINPLDPDSQLAFQQMRELGLSDEQTSAYLAKTITEQGLIISANEIFWLAAGIFILMLVVVWFAKPPFSPGK ->ARGMiner~~~FosB~~~WP_000943771.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000943771.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEREDFECLIQRLEENDVHILQGRERDIRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~mdtB~~~WP_023210704.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_023210704.1~~~aminocoumarin~~~unknown MQVLPPGSTGGPSRLFILRPVATTLLMAAILLAGIIGYRFLPVAALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVVTLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPIYSKVNPADPPIMTLAVTSNAMPMTQVEDMVETRVAQKISQVSGVGLVTLAGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPERAVTLSANDQMQSADEYRRLIIAYQNGAPVRLGDVATVEQGAENSWLGAWANQAPAIVMNVQRQPGANIIATADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVRDTQFELMLAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMIFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAVTLAVAILISAVVSLTLTPMMCARMLSQQSLRKQNRFSRACERMFDRVIASYGRGLAKVLNHPWLTLSVAFATLLLSVMLWIVIPKGFFPVQDNGIIQGTLQAPQSSSYASMAQRQRQVAERILQDPAVQSLTTFVGVDGANPTLNSARLQINLKPLDARDDRVQQVISRLQTAVATIPGVALYLQPTQDLTIDTQVSRTQYQFTLQATTLDALSHWVPKLQNALQSLPQLSEVSSDWQDRGLAAWVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTASTPGLAALETIRLTSRDGGTVPLSAIARIEQRFAPLSINHLDQFPVTTFSFNVPEGYSLGDAVQAILDTEKTLALPADITTQFQGSTLAFQAALGSTVWLIVAAVVAMYIVLGVLYESFIHPITILSTLPTAGVGALLALIIAGSELDIIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIFQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIAMVGGLLVSQVLTLFTTPVIYLLFDRLSLYVKSRFPRHKEEA ->ARGMiner~~~mdtM~~~WP_032172286.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032172286.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLSLLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNKLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELVEER ->ARGMiner~~~norA~~~WP_061389367.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061389367.1~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGVLAFIMSIVLIHDPKKVSTNGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRTKYVA ->ARGMiner~~~blaF~~~WP_039379124.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_039379124.1~~~penam~~~unknown MTGLSRRNVLIGSLVAVAAVGAGVGNAAPAFAAPIDDQIAELERRDNALIGLYATNLDSGRTITHRPDEMFAMCSTFKGYAAARVLQMVGRRQISLDNRVFVDPDAIVEYSPVTEPRAGGEMTLGELCRAALQQSDNTAGNLLLKTIDGPPGITAFARSIGDQRTRLDRWETELNSAIPGDPRDTSTPAALAGGYREILAGDALSPPQRRQLQDWMRANETSSVRAGLPEGWTTADKTGSGDFGSTNDVGIAYGPDGQQLLLAVMTRSQADDPKADNLRPLIGELAALMLPSLL ->ARGMiner~~~acrE~~~CP000647.1.gene3709.p01~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~CP000647.1.gene3709.p01~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNQQPHAQAPQVTVHVVNSAPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGVDPQAILVPQQGVTRTPRGDASVMLVNDKNQVETREVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEAERTAPAVQ ->ARGMiner~~~bcr-1~~~WP_021545804.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_021545804.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASNKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~mdtF~~~WP_032221381.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032221381.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGDHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKGYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~BLA1~~~WP_038357150.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_038357150.1~~~penam~~~unknown MIVLKNKKMLKIGMCVGILGLSITSIEAFTGGPLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIAKNLKDFTVGNALPHQKRNILTEWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRSPIIIAILSSKDEKEATYDNQLIAEAAEVVVNAFK ->ARGMiner~~~mecR1~~~WP_050961838.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_050961838.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDPTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~APH(6)-Id~~~WP_054445898.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_054445898.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIKDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRTLDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~aadK~~~WP_015251611.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_015251611.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVDSFKENDQWLEIFGKRIMMQKSEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYKYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~mexH~~~WP_034081431.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_034081431.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALLCAAVVGIAVYATGSAKKDTGGFAGYPPVKVALATVERRVVPRLFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVERGQLLVQLNDAVEQADLIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIEQKAIRAPFSGRLGIRRVHLGQYLGIAEPVASLVDARTLKSNFSLDESTSPELKVGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQAVLENPEGLLAAGMFASVRVSRKADAPSLSVPETAVTYTAYGDTVFVARQEGDQPLSARRVSVRVGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPIKEDTLSSAALPVPVAGR ->ARGMiner~~~tolC~~~WP_021548458.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_021548458.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVELLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macA~~~WP_023310975.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023310975.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPAPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~tolC~~~WP_032642076.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032642076.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNLGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQLLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPQQVAAVDNFNANGSAPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~vanWB~~~WP_002593939.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~WP_002593939.1~~~glycopeptide~~~unknown MDRKRLTQRFPFLLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIRPGETFSFWWLVRHADKDTPYKDGLTVTNGKLTTMSGGGMCQMSNLLFWMFLHTPLTIIQRRGHEVKEFPEPNSDEIKGVDATISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVSADKEPQALYKITNGSIQYVRESGGIYEYAQAKRMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEENQ ->ARGMiner~~~tolC~~~WP_000735336.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735336.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSDQDQRESDLLSAGINFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDNAKNTSGEEYNDFKIGVNLKVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~tolC~~~WP_020324790.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_020324790.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDRQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~mdtE~~~WP_001668994.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001668994.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARIPFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~macB~~~WP_049294291.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049294291.1~~~macrolide~~~unknown MSALLELNGIRRSYPSAEEQVEVLKGISLSIEAGEMVAIVGASGSGKSTLMNILGCLDNPTSGSYRVAGVDVSTLSGDELARLRREHFGFIFQRYHLLSHLTATQNVEVPAIYAGIGRKQRQQRARELLARLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMATLKQLRDRGHTVIIVTHDPTVAAQAERVIEIRDGEIISNPPSRQTGTRHKALNEAAQASGAWQQFVSSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLQDIRSIGTNTIDVYPGKDFGDDDPQYQQALKYEDLTAIEKQPWVRSATPALSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLKGRAQVVVLDQNARRQLFPNKVNVVGEIILVGNMPATVIGVAQEKQSMFGSSKILRAWLPYNTMAGRMMGQSWLNSITVRVNEGYDSTQAEQQLTRLLALRHGKKDFFTMNMDGLLKTAEKTTRTLQLFLTLVAVISLLVGGIGVMNIMLVSVTERTREIGIRMAVGARAADVLQQFLIEAVLVCLVGGALGIALSLLIAFLLQLVLPGWEIGFSPLALATAFLCSTATGVIFGWLPARNAARLNPVDALARE ->ARGMiner~~~CTX-M-3~~~AIC64406.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64406.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAVNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAVAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~sdiA~~~WP_032934487.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032934487.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDHDFFTWRRSMLLRFQEMAAAEDVYNELQQQTQHLEFDFYALCVRHPVPFTRPKTSLHTTYPKAWVAHYQSENYFAIDPVLKPENFSQGHLPWNDTLFRDAQPLWDAARNHGLRKGMTQCLMLPNRALGFLSVSRASIRNSRFANDEVELRMQLLVRESLSVLTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mexH~~~WP_045108280.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_045108280.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAARQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGKRWDGRVEILQGLAEGDRVVTSGQINPSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtG~~~CZW14339.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~CZW14339.1~~~fosfomycin~~~unknown MPYGALHLIMRIVMSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADSYGLRPVFFITASVLFLCFIVTLICIRENFTPVAKKEMLHARDVLASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSMVHSPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLVGAAVSASFGFRAVFIVTACVVLFNAVYSWFSLSRTLRPVAE ->ARGMiner~~~macB~~~WP_024554080.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024554080.1~~~macrolide~~~unknown MSALLELNGIRRSYPSAEEQVEVLKGISLSIEAGEMVAIVGASGSGKSTLMNILGCLDNPTSGSYRVAGVDVSTLSGDELARLRREHFGFIFQRYHLLSHLTATQNVEVPAIYAGIGRKQRQQRARDLLARLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMATLKQLRDRGHTVIIVTHDPTVAAQAERVIEIRDGEIISNPPPRQTGTRHKALNEAAQASGAWQQFVSSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLQDIRSIGTNTIDVYPGKDFGDDDPQYQQALKYEDLTAIEKQPWVRSATPALSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLKGRAQVVVLDQNARRQLFPNKVNVVGEIILVGNMPATVIGVAQEKQSMFGSSKILRAWLPYNTMAGRMMGQSWLNSITVRVNEGYDSTQAEQQLTRLLALRHGKKDFFTMNMDGLLKTAEKTTRTLQLFLTLVAVISLLVGGIGVMNIMLVSVTERTREIGIRMAVGARAADVLQQFLIEAVLVCLVGGALGIALSLLIAFLLQLVLPGWEIGFSPLALATAFLCSTATGVIFGWLPARNAARLNPVDALARE ->ARGMiner~~~macB~~~WP_039271209.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_039271209.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLEERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNNTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~adeG~~~WP_039247107.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_039247107.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAILVAILATGGSFMFLHENADAKAAPTNAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSIVRKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQALTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPPQPQPTDKTSTPAKG ->ARGMiner~~~mtrE~~~WP_002226129.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~WP_002226129.1~~~macrolide;penam~~~unknown MDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGSVGTRSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYESAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYAAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~mexH~~~WP_031690361.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_031690361.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRAFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtC~~~CRL60249.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~CRL60249.1~~~aminocoumarin~~~unknown MKFFALFIQRPVATTLLSLAISLCGALGFMLLPVAPLPQVDYPVINIYASLPGASPETMASSVATPLERSLGRIAGIDEMTSSSSLGSTSITLVFDLNKDINTAARDVQAALNASQSLLPSGMPSRPRYYKSNPSDAPIMILTLTSDTQNTGELYDLASTRLAQKISQIEGVSEVSVGGGSLPAIRVALNPDALFNQNVSLDDVRKAINQANVRRPQGFVNNDENRWQIQTNDELSKAAEYRPIIVHYNQEAVVRLGDVAQVTDSVQNSRAAGMSGGEPAILLVIRREAGANIIETVNRIRDELPELRELIPANVDLKVAQDRTPTIRASLAEVERALAIAVALVILVVFLFLRSGRATLIPAVAVPVSLIGTFSAMYLCGFSLNNLSLMALTVATGFVVDDAIVVLENISRHIENGLKPKEAALKGVGEVGFTVLSMSISLVAVFIPLLLMDGLVGRLFKEFAITLTTAIAISLFVSLTLTPMMCAHLLKGVKPKAQSHLRGFGKLLFRAQQGYSVTLQGALHHRRWVMAIFLATLGLNAYLYISAPKTFFPDQDTGRLMGFVRADQSISFQSMKEKMTRFMQEINADKDVDSVTGFTGGGRINSGFMFISLNPLSERTDSANQVINRLRAKLADEPGANLFLMPVQDVRAGGRQANASYQFTLLADDLSELRKWEPIVRKALGELPQLVDVNSDKEDKGAEMALTYDRDTMSQLGINVSDANNLLNNAFGQRQISTIYAPLNQYKVVMEVSEQYTQDVSALDKMYVVNNQGERIPLSAFASWYPANAPLSVNHQGLSAASTIAFNIPEGYTLADAINSIERTMTELGVPNTVRGTFAGTAQIFQETIKSQLILILAAIVTVYLVLGVLYESYIHPLTILSTLPSAGVGALLALQLFDTPFSLIALIGIMLLIGIVKKNAIIMVDFAITAQREGKLSAKEAIIQASLLRFRPIIMTTLAALFGALPLMLGSGDGAELRQPLGITIVGGLLMSQLLTLYTTPVIYLFFDGLRERWQQRRFSKKEAKA ->ARGMiner~~~emrA~~~CP000034.1.gene2880.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~CP000034.1.gene2880.p01~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFDKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVLLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNSWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~macB~~~WP_042284850.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_042284850.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEEQVEVLKGITLQINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRLDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSRSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIHDGEIVRNPPSQSPRQGKGIQEPTVKTASGWSQFVSGFREALTMSWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQSLKYDDLSAIQKQPWVTSATPAVSQNLRLRYGNTDVAASANGVSGDYFNVYGMTFSEGNTFNREQLNGRAQVVVLDSNTRRQLFPHKASVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSMLIAFTLQLFLPGWEIGFSPVALLTAFMCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~WP_052999888.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_052999888.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGATGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~tolC~~~WP_000735266.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735266.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSALSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNIDNFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGANSAQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYNYLINQLNIKSALGTLNEQDLIALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSVRASNGNNPFRN ->ARGMiner~~~pmrA~~~ZP_04525131~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~ZP_04525131~~~fluoroquinolone~~~unknown MTEINWKDNLRIAWFGNFLTGASISLVVPFMPIFVENLGVGSQQVAFYAGLAISVSAISAALFSPIWGILADKYGRKPMMIRAGLAMTITMGGLAFVPNIYWLIFLRLLNGVFAGFVPNATALIASQVPKEKSGSALGTLSTGVVAGTLTGPFIGGFIAELFGIRTVFLLVGSFLFLAAILTICFIKEDFQPVAKEKAIPTKELFTSVKYPYLLLNLFLTSFVIQFSAQSIGPILALYVRDLGQTENLLFVSGLIVSSMGFSSMMSAGVMGKLGDKVGNHRLLVVAQFYSVIIYLLCANASSPLQLGLYRFLFGLGTGALIPGVNAILSKMTPKAGISRVFAFNQVFFYLGGVVGPMAGSAVAGQFGYHAVFYATSLCVAFSCLFNLIQFRTLLKVKEI ->ARGMiner~~~sdiA~~~CP001138.1.gene1162.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~CP001138.1.gene1162.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mdtF~~~WP_019841307.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_019841307.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGDHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mtrR~~~AMP19677.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~AMP19677.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLILAALETFYRKGIARTSLNEIAQAAGVTHGALYWHFKNKEDLFDALFQRICDDIENCMSKDTENNAEGQSWAVFRRTLLHFFERLQSNDIHYKFHSILFLKCEHTEQNAAVIAIARKHQAIWREKITAFLTEAVENQDLSGDLDKEMAVIFIKSMLDGLIWRWLSSGENFDLGKTAPRIIEIMMDNLENHPQLRRK ->ARGMiner~~~tolC~~~EXI27991.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EXI27991.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMSAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGEEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~arnA~~~WP_000648768.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000648768.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYSRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRIACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~novA~~~WP_065479071.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_065479071.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPDARTAADRPPAEVRRILRLFRPYRGRLAVVGLLVGASSLVAVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQSFAEESERLVDLEVRSNMAGRWRMSVIGIVMAAMPAVIYWAAGLTFASGAATVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPENPVRLEKIRGEIAFENVDFSYDEKNGPTLTGIDVTVPAGDSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGTDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPEATDEEIEAAARAAQIHDHIASLPDGYDTMVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRVAERGTHEELLDRDGRYAALIRRDSHPVPVPAL ->ARGMiner~~~VIM-2~~~ALC79323.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-2~~~ALC79323.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFKLLSKLLVYLTASIMAIASPLAFSVDSSGEYPTVSEIPVGEVRLYQIADGVWSHISTQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEVEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSASVLYGGCAIYELSRTSAGNVADADLAEWPTSIERIQQHYPEAQFVIPGHGLPGGLDLLKHTTNVVKAHTNRSVVE ->ARGMiner~~~OXA-119~~~AGY30825.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-119~~~AGY30825.1~~~cephalosporin;penam~~~unknown MVIRFFTILLSTFFLTSFVYAQEHVVVRSDWKKFFSDLRAEGAIVIADERQPKHTLSVFGQERAAKRYSPASTFKIPHTLFALDADAVRDEFQVFRWDGVKRSFAGHNQDQDLRSAMRNSAVWVYELFAKEVGEDKARRYLKQIDYGNADPSTIKGDYWIDGNLEISAHEQISFLRKLYRNQLPFKVEHQRLVKDLMITEAGRNWILRAKTGWEGRFGWWVGWVEWPTGPVFFALNIDTPNRTDDLFKREAIARAILRSIDALPPN ->ARGMiner~~~lmrB~~~NC_002951.3238155.p01~~~lincosamide unknown +>ARGMiner~~~lmrB~~~NC_002951.3238155.p01~~~lincosamide~~~unknown MGGFFGLLNETLLVTALPSIMKDFEISYTQVQWLTTAFLLTNGIVIPLSALVIQRYTTRQVFLVGISIFFLGTLLGGLSPHFATLLVARIIQALGAGIMMPLMMTTILDVFQPHERGKYMGIFGLVIGLAPAIGPTLSGYLVEYFNWRSLFHVVAPIAAVTFLIGFKTIKNVGTTIKVPIDFISVIFSVLGFGGLLYGTSSISEKGFDNPIVLVSMIGGVVLVALFVLRQYRLSTPLLNFAVFKNKQFTVGIIIMGVTMVSMIGSETILPIFVQNLLHRSALDSGLTLLPGAIVMAFMSMTSGALYEKFGPRNLALVGMAIVVITTAYFVVMDEQTSTIMLATVYAIRMVGIALGLIPVMTHTMNQLKPEMNAHGSSMTNTVQQIAGSIGTAALITILSHASKNFSPTMSDYNGMNKIDMMNQIKVDTMLHGYHAGFLFALLITVVSFFCSFMLQGKKKEVDSRQ ->ARGMiner~~~MexF~~~WP_022580472.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_022580472.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSATSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYAEIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~BcI~~~WP_016120225.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_016120225.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKDDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNIVFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNKLIADAAEVIVKALK ->ARGMiner~~~mdtG~~~WP_048233434.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_048233434.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAVVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFILTLFFIRERFQPVSKKEMLHIREVVASLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVGNIAFISGMIASVPGVAALISAPKLGKLGDRIGPEKILIVALIVSVLLLIPMSFVQTPWQLGILRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNALYSWNSLRRRRSTEVAG ->ARGMiner~~~MexA~~~WP_049324879.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_049324879.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLKRAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKAEAAPAKTDSKG ->ARGMiner~~~AAC(3)-Ia~~~CAM88357~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Ia~~~CAM88357~~~aminoglycoside~~~unknown MLRSSNDVTQQGSRPKTKLGGSSMGIIRTCRLGPDQVKSMRAALDLFGREFGDVATYSQHQPDSDYLGNLLRSKTFIALAAFDQEAVVGALAAYVLPKFEQARSEIYIYDLAVSGEHRRQGIATALINLLKHEANALGAYVIYVQADYGDDPAVALYTKLGIREEVMHFDIDPSTAT ->ARGMiner~~~Serratia marcescens Omp1~~~WP_021549194.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_021549194.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEESSLGKGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNTSGFANKTQDVLLVAQYQCDFGLRPSIAYTKSKAKDVEGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~MexE~~~WP_019727292.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_019727292.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNATSAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEQPKVAASKDNVTRNEPRG ->ARGMiner~~~CRP~~~WP_004090925.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_004090925.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSAQMASRLQVTSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~mdtM~~~WP_032294834.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032294834.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MAGLKETHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVSFSAKSVLRDFRNVFCNRQFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~pmrA~~~NC_012469.1.7687230.p01~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~NC_012469.1.7687230.p01~~~fluoroquinolone~~~unknown MTEINWKDNLRIAWFGNFLTGASISLVVPFMPIFVENLGVGSEQVAFYAGLAISVSAISAALFSPIWGILADKYGRKPMMIRAGLAMTITMGGLAFVPNIYWLIFLRLLNGVFAGFVPNATALIASQVPKEKSGSALGTLSTGVVAGTLTGPFIGGFIAELFGIRTVFLLVGSFLFLAAILTICFIKEVFQPVAKEKAIPTKELFTSVKYPYLLLNLFLTSFVIQFSAQSIGPILALYVRDLGQTENLLFVSGLIVSSMGFSSMMSAGVMGKLGDKVGNHRLLVVAQFYSVIIYLLCANASSPLQLGLYRFLFGLGTGALIPGVNALLSKMTPKAGISRVFAFNQVFFYLGGVVGPMAGSAVAGQFGYHAVFYATSLCVAFSCLFNLIQFRTLLKVKEI ->ARGMiner~~~mdtF~~~WP_042313243.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_042313243.1~~~macrolide;fluoroquinolone;penam~~~unknown MANFFIERPVFAWVLAIIMMFTGGIAIMNLPIAQYPQIAPPTITISAAYPGADAKTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASIILTFKTGTSPDIAQVQVQNKLQLAMPSLPQEVQQQGISVDKSSSNILMVAGFISDNNSLSQYDIADYVASNIKDPLSRTPGVGSVQLFGSQYAMRIWLDPQKLDKYNLTPQDVITQLKVQNNQISGGQLGGMPQSADQQLNASIIVQTRLQTTDEFGKIFLKVQQDGSQVLLRDVARIELGAENYATVARYNGKPAAGIAIKLAAGANALETSQAVKQELNRLSAWFPASMKTVYPYDTTPFIEISIQGVFHTLIEAIILVFLVMYLFLQSFRATLIPTIAVPVVILGTFAILDVAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERIIAEEHLSPKAATHKAMGQLQRALVGIAVVLSAVFMPMAFMSGATGEIFRQFSITLISSMLLSVFVAMSLTPALCAMLLKSHEGEKENTHFLFTRFNHFMEKCTHHYTDSTRRLLRCTGRYMVVYLVIGAGMMVLFLRTPTSFLPEEDQGVFMTTAQLPSGSTMVNTSKVLGEITDYYLTKEQKNVASVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIRRAMMALSTINNAVVYPFNLPAVAELGTASGFDMELLDNGNLGHEKMMQARNQLLALASQSPDEVNGVRPNGLEDTPMFRIHVNATKAEAMGVALSDINQTISTAFGSRYVNDFLNQGRVKKVYVQADTPFRMLPDNINHWYVRNASGAMTPLSACSSTEWTYGSPRLERYNGQPAMEILGQPVTGKSSGDAMKFMASLINKLPAGVGYAWTGLSYQEALSTHQAPMLYGISLIVVFLALAALYESWSIPFSVMLVVPIGVVGALLATDLRGLSNDVYFQVGLLTTMGLSAKNAILIVEFAVEIMQKEGKTPLEAAVEAAQMRLRPILMTSLAFILGVIPLAISEGAGSGAQNAVGTGVIGGMLAATVLAIYFVPVFFVLVENMLARFKTRH ->ARGMiner~~~macB~~~WP_048976842.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048976842.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKAIVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_032711285.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032711285.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGEVDVLKGITLSIAAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRIRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSSEKGGVLRAEARAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~sul1~~~DQ143913.1.gene6.p01~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~DQ143913.1.gene6.p01~~~sulfonamide;sulfone~~~unknown MFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAETDCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~mdtN~~~WP_042068768.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_042068768.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVSDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLXLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mecR1~~~WP_048520971.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_048520971.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKELVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~ANT(6)-Ia~~~EOE03251.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(6)-Ia~~~EOE03251.1~~~aminoglycoside~~~unknown MNRINRVTSILIQLQSKKIIPAKEIAQRFNISLRTVYRDIRTLEEAGIPIGSEAGKGYFLVEGFLLPPVKVLIDKDCRIKRDIVPTDIDYHVRKPSAREYDDCCNEFWNVTPYVIKGLCRKEILFAIDHLNQILRFELLRMMSWKVGIKTEFSLSVGKNYKYINKYIDEDLWNRLLSTYRMDSYENIWKSLFICHQLFREVSKEVAELLGFDYPEYGKNITRYTEDMYKKYVENDYF ->ARGMiner~~~macB~~~WP_023320056.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023320056.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLARLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSDTAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~WP_048211771.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_048211771.1~~~fluoroquinolone;acridinedye~~~unknown MQKYVSEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQIRQGFWLAGIVSVLIMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPAMVMGFLGLLVNIPVNYVFIYGHLGMPELGGVGCGVATAAVYWVMFAAMLSYVKHARSMRDIRNERGFQKPDTAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGVCMAMMTAIFTVTLREHIALLYNNNPEVVTLAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRFLQRQPSAVILQRAAR ->ARGMiner~~~adeG~~~WP_034187788.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_034187788.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVNANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAETDRAAAQLAAAQARNGYAQTDWQRAQRLIGDNAIAKRDYDEKQNAAREATANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARNGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGALVPGLYARVKVGGSAPHEALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLSAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDAPSAPLANNAKPAAPAKADS ->ARGMiner~~~Escherichia coli ampC~~~WP_054623545.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_054623545.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWIRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINSSGNKIALAARPVKAITPPTPAVRASWVHKAGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~mexH~~~WP_034033073.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_034033073.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGPAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtH~~~WP_032408791.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032408791.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPHT ->ARGMiner~~~tolC~~~WP_023330044.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023330044.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYSYTNGFRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKQAGIQDVTYQTDQQSLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTNKPSAVNALLKEAESRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASSGVSNTSYDGSKTRDNAQYRDNDAGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKSIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~vanRA~~~WP_001281104.1~~~glycopeptide unknown +>ARGMiner~~~vanRA~~~WP_001281104.1~~~glycopeptide~~~unknown MSDKVLIVEDEREIADLVELYLKNENYTVFKYYTAKEALECIDKNAIDLAILDIMLPDVSGLTICQKIREKHTYPIIMLTAKDTEVDKITGLTIGADDYITKPFRPLELIARVKAQLRRYKKYNGVTAQNENVIVHSGLVINISTHECSLNEKPLSLTPTEFSILRILCENKGNVVSSEQLFHEIWGDEYFSKSNNTITVHIRHLREKMNDTVDNPKYIKTVWGIGYKIEK ->ARGMiner~~~CTX-M-3~~~AIC64462.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64462.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVALLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~lsaB~~~WP_000061782.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061782.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEDVNFQIDTDWKLGFIGRSGRGKTTFLNLLLGNYEYSGKILASVEFNYFPYPVADKNKFTHEILEEICPQAEGWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEQATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKVIEEKSKLLKNVEKTESLKLEALKFKSNELVILADVSVKYDDQVVNEPISFIVEQDDRIVLDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDYIEEQKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~emrB~~~WP_042999623.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_042999623.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFMWSTVAFAIASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLQTLRGRETRTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIIILTVVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPYNPNAQAMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~tolC~~~WP_000735342.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735342.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSNRDSRDSDTLSAGVGFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLTARISQDVARDNISLASSGHLPSLTLNGDYNYADNRNSHASNPSPSDYNDFKIGVNLKVPLYTGGKTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~FosB~~~WP_013351799.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_013351799.1~~~fosfomycin~~~unknown MNIKGINHLLFSVSHLEKSIEFYENVFHAQLLVKGQKTAYLDLNGLWLALNLEVDIPRNEIHKSYTHMAFTIEAKDFDAIHQKLKSLNVNILNGRARDKQDQKSIYFTDPDGHKFEFHTGTLQDRLAYYKKDKPHMKFYI ->ARGMiner~~~adeG~~~WP_033855468.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_033855468.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMFLHENADAKAAPTSAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVRKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGTQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASTTPTQPQPTDKTSTPAKG ->ARGMiner~~~tolC~~~WP_061538091.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_061538091.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNAAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLEXAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~mdtG~~~WP_016149919.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_016149919.1~~~fosfomycin~~~unknown MSPSDDPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAVVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFILTLFFIRERFQPVSKKEMLHIREVVASLRNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPKLGKLGDRIGPEKILIVALIVSVLLLIPMSFVQTPWQLGILRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNALYSWNSLRRRRSTEVAG ->ARGMiner~~~macA~~~WP_002223996.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002223996.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESSERALGGPPRR ->ARGMiner~~~BcI~~~WP_048566582.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_048566582.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSVTSLEAFTGGSLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRHNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVEYSPVTEKHVDTGMTLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRITMADRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAHKRNILTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKSLK ->ARGMiner~~~norA~~~WP_031896405.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031896405.1~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGVLAFIMSIVLIHDPKKVSTNGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTADKVNYSPKDISITITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~sul1~~~WP_031983614.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_031983614.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRMVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~lsaB~~~WP_048392759.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_048392759.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFENVNFQIDTDWKLGFIGRNGRGKTTFFQLLLGNYEYSGKIISSVDFTYFPYPVSDPNKYTHEIFEEICPQAEDWECLREIAYLHVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKTVSDYLRRKKGFILISHDRSFLDGCVDHILSINRANIDVQSGNYSSWKLNFDRQQEHEEVTNQRLQKDIDRLKQSSKRSSGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQRAIEEKSKLLKNVEKTESLKVEPLAYPSKEMVVVTDVSIRYDDHIVNKPISFKVAQGDRVVLDGKNGSGKSSMLKLILGNPIQHTGSIKLASGLVISYVQQDTSHLKGLLSEFIEEHGIDETLFKSILRKMDFERIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIKSSHLTMVIVEHDQAFQQTVATKTIAMS ->ARGMiner~~~mecA~~~ADB03008.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~ADB03008.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTHMRLGIVPKNVSKKDYKAIAKELSISEDYINNKWIKIGYKMIPSFHFKTVKKMDEYLSDFAKKFHLTTNETESRNYPLEKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKREPLLNKFQITISPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEIMINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLNDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGESGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~FosB3~~~WP_061740589.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_061740589.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKWLLTGKKTAYFKLAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~mgrA~~~WP_029377736.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_029377736.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEPLNLKEQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWGESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEAIRPELDTACQDVAVASSLDQDESKELNRLLAKVISAFTEEKAK ->ARGMiner~~~emrB~~~WP_017145513.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_017145513.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPIFGGYISDNYHWGWIFFINVPIGIAVVVMTLQTLRNRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPYNPNAQQMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWFSAAVFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~novA~~~WP_062776015.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_062776015.1~~~aminocoumarin~~~unknown MRHDEPTWTPPPKAPGQEPPRQMRRILRLFRPYRGRLALVGLLVCAASLVSVATPFLLKEILDTAIPQGRTGLLSLLALGMILSAVVTSVFGVLQTLISTSVGQRVMHDLRTAVYGRLQSMSLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVIATIVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKIATQRQKQMAAMAATVTESLSVSGIVLGRTMGRADSLTESFAGESERLVDLEIRSNMAGRWRMAVISIVMAAMPAVIYWSAGIAFQMGGPTVSIGTLVAFVSLQQGLFRPTVSLLSTGVQIQTSLALFQRIFEYLDLDVDITEPENPVRLDRIRGEVRFEDVEFRYDEKSAPTLQGIDVTIPAGGSLAVVGPTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEELAAAARAAQIHDHIASLPDGYDTVVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTERAVQEAIDALSADRTTVTIAHRLSTVRGADQIVVLDGGRTAERGTHEELMERDGRYAALVRRDAQLEPAT ->ARGMiner~~~smeE~~~WP_051598725.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_051598725.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARYFIDRPIFAWVIAIIIMLAGALAVVKLPVSMYPEVAPPAVEISATYPGASAKVVEDSVTQIIEQNMKGIDGLIYFSSNSSANGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLQVIGFVSNDGSMDANDISDYVGSNVVDPLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYKLSVDEVTAAITAQNAQVAIGQLGGAPSVKGQQLNATINAQDRLQTPEQFRNILVRGGTDGSELRLGDVARVELGAESYDFVTRYNGKPSTGIAITLATGANALDTANGVRAALEDMKSNFPAGLESVVPYDTTPFVQVSIKGVIKTLIEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGILAALGFSINMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGATGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHKGWSGRFFGGFNRGFDRSSETYQRGVKGILARPWRFMSIFAVLALVMGLLFMRLPSSFLPNEDQGILMALVQTPVGATQERTLEAMYQLEEHFLKNETDAVESVFSVQGFSFAGMGQNAGMSFIKLKDWKERDADQGVGPITGRAMGALGQIKDAFIFAFPPPAMPELGIGSGYTFFLKDTSGQGHEALLNARNQLLGGAGGSKLLANVRPNGQEDTPQLRIDVDVEKANALGLSVASINNTLATAWGSSYIDDFIDRGRVKRVYVQSDADFRMNPDDFNVWSVKNSQGEMVPFSAFASKRWDYGSPRLERYNGVSAMEIQGEPAPGVASGDAMVEIERIAKDLPPGFEIEWTALSYQERQAGSQTPLLYTLSLLIVFLCLAALYESWSVPTSVLLVAPLGILGAVLANTMRGMERDIYFQVAMLTTVGLTSKNAILIVEFAKENLEKGAGLIEATMHAVRDRLRPIIMTSLAFGLGVLPLAIASGAGSGAQRAIGTGVLGGMVVGTVLGVFFIPLFFVVVQRLFNRKLRAAEASPQAGTQHNGDTPEGGNHE ->ARGMiner~~~mdtP~~~WP_000610599.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610599.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTHAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~tolC~~~WP_032945032.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032945032.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQSIFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLDLTASTGVSDTSYSGSKTNTSQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTIAPENAQQDAAADGYTSNSATPAAQPTAVRSTSSTGNNPFRN ->ARGMiner~~~acrB~~~WP_000492802.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_000492802.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MFSRFFVRRPVFAWVIAILIMLAGILAIRTLPVAQYPDVAPPTIKISATYTGASAETLENSVTQVIEQQLTGLDNLLYFSSTRSSDGSVSINVTFEQGTDPDTAQVQVQNKIQQAESRLPSEVQQTGVTVEKSQSNFLLIAAVYDTTDKASSSDIADWLVSNVQDLLARVEGVGSLQVFGAEYAMRIWLDPAKLASYSLMPSDVQSAIEAQNVQVTAGKIGALPSPNTQQLTATVRAQSRLQTVDQFKNIIVKSQSDGAVVRIKDVARVEMGSEDYTAIGKLNGHPSAGVAVMLSPGANALNTATLVKDKIAEFQRNMPQGYDIAYPKDSTEFIKISVEDVIQTLFEAIVLVVCVMYLFLQNLRATLIPALAVPVVLLGTFGVLALFGYSINTLTLFAMVLAIGLLVDDAIVVVENVERIMRDEGLPAREATEKSMGEISGALVAIALVLSAVFLPMAFFGGSTGVIYRQFSITIISAMLLSVVVALTLTPALCGSVLQHVPPHKKGFFGAFNRFYRRTEDKYQRGVIYVLRRAARTMGLYLVLGGGMALMMWKLPGSFLPTEDQGEIMVQYTLPAGATAARTAEVNRQIVDWFLINEKANTDVIFTVDGFSFSGSGQNTGMAFVSLKNWSQRKGAENTAQAIALRATKELGTIRDATVFAMTPPAVDGLGQSNGFTFELLANGGTDRETLLQMRNQLIEKANQSPELHSVRANDLPQMPQLQVDIDSNKAVSLGLSLNDVTDTLSSAWGGTYVNDFIDRGRVKKVYIQGDSEFRSAPSDLGKWFVRGSDNAMTPFSAFATTRWLYGPERLVRYNGSAAYEIQGENATGFSSGDAMTKMEELANSLPAGTTWAWSGLSLQEKLASGQALSLYAVSILVVFLCLAALYESWSVPFSVILVIPLGLLGAALAAWMRDLNNDVYFQVALLTTIGLSSKNAILIVEFAEAAVAEGYSLSRAALRAAQTRLRPIIMTSLAFIAGVMPLAIATGAGANSRIAIGTGIIGGTLTATLLAIFFVPLFFVLVKRLFAGKPRR ->ARGMiner~~~mdtN~~~WP_061344230.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_061344230.1~~~nucleoside;acridinedye~~~unknown MKSTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANQAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mdtN~~~WP_039063697.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_039063697.1~~~nucleoside;acridinedye~~~unknown MAASPAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~tolC~~~WP_000735323.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735323.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKDNDPQLLSVAAQRDAAFEAVTSSRSTLLPQINLTAGYNVNRSDQDPRESDIFSAGVNFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVSKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENSLTNSYEVLREITGQEYSKLSVLDTKRFAASRTSESTDALIEQAQQKNLSLLTARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDNAKGSSSEEYNDLNIGVNLRVPLYTGGNISSQTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAVGTLSEQDIMDVNAGLKVAKK ->ARGMiner~~~L1 beta-lactamase~~~WP_033831205.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_033831205.1~~~cephalosporin~~~unknown MRLQTLAITLAALLPAAASAAEPTLPQLRAYTVDASWLQPVAPLQIADHTWQIGTEDLTALLVQTPQGAVLLDGGMPQMADHLLRNMKARGVAPQDLRLILLSHAHADHAGPVAVLKRSTAAQIVANAESAVLLARGGSNDLHFGDDITYPPATADRIIMDGEVVSLGGVDFTAHFVPGHTPGSTAWTWTDTRGGKPVRMVYADSLSAPGYQLQANPRYPHLIEDYRRSFATVRALPCDVLLTPHPGASGWNYAAGTSAGAKAMTCQDYADSAERNFDAQLKKQRENQR ->ARGMiner~~~emeA~~~WP_014024732.1~~~acridinedye unknown +>ARGMiner~~~emeA~~~WP_014024732.1~~~acridinedye~~~unknown MKNKQWMINLAISNLLLVFLGVGLVIPVLPQLKEQMHFSGTTMGMMISIFAIAQLIASPIAGHLSDKVGRKKLIALGMIIFAFSELLFGLAQVKALFYVSRALGGIAAALLMPSVTAYVADLTTLGERAKAMGKVSAAISGGFIIGPGVGGFLATFGIRVPFFVAALLAFIGFILSMTVLKEPEKTMDINPDTPKASFLDILKNPMFTSLFVVILISSFGLQAFESIYSIMATINFGFTTSEIALVITVSGIIALFFQLFLFDWIVGKIGEMHLIHLTFFASALFIAIIAFTGNRITVAISTFVVFLAFDLFRPAVTTYLSKHAGDQQGAINGLNSTFTSFGNILGPLAAGVMFDINHFFPYYISAVILFGTGVLSMLMNRKSKKMKI ->ARGMiner~~~mdtP~~~WP_021578216.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_021578216.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLELLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKAVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mdtH~~~WP_052938894.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_052938894.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTARTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~aadK~~~WP_017696044.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_017696044.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVDSFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEYGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDHQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYQYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~mefA~~~WP_050238583.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_050238583.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHILLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~sdiA~~~WP_029882578.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_029882578.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCFLRFQALTSASEVYQELQRQTQALEFDYYALCVRHPVPFTRPRISVHTTYPQRWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFADAEALWNGARDHGLRKGITQCLMLPNHALGFLSVSCTSVQAGAITSEELELRLQMLLQMALTSLLRFEDEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~macA~~~WP_001124220.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124220.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKYQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~mdtO~~~WP_001275211.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_001275211.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCMATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAITEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIHQGRDLLHAWDATWNSAQALDNALQPDKAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~macB~~~WP_047410073.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047410073.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPSHKSSGGREISVPTVKTVSGWSQFISGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~amrA~~~WP_027784401.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_027784401.1~~~aminoglycoside~~~unknown MNNKRTLWRRMRLAPFALAALLAVAGCGKSDKGAAPETAKQATVVTVRPTAVPMTVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKQGAVLFRIDPAPLKAARDAAQGALAKAQAAALAAVDKRRRYDDLVRDRAVSERDHTEAVAADAQAKAEVASAKAELARAQLQLDYATVTAPIAGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVDALRRAVKSGRATGIAQHDVAVTLLRADGTAYSLKGKLLFSDLAVDPTTDTVAMRALFPNPERELLPGAYVRIALDTAVDQRAILVPRDALLRTTDRTSVRVVDANGKVKDVEVTADRMSGHDWRITRGLAGGERVIVDDAAQFAPDTVVKPVEKAPPSKAAPAAAASQAAARQT ->ARGMiner~~~mdtA~~~WP_000678961.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~WP_000678961.1~~~aminocoumarin~~~unknown MKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLIDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~macB~~~Q83LR7~~~macrolide unknown +>ARGMiner~~~macB~~~Q83LR7~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLNIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDIATLDADALAQLRREHFGFIFQRYHLLPHLTVEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexF~~~WP_057432742.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_057432742.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGGAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARAQRLQNLPAEMH ->ARGMiner~~~tolC~~~WP_032697552.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032697552.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLMQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLSAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNDLDNAVEALRQVTGNYYPELASLNVDGFKTNKPQTVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLTASTGVSNTSYSGSKTHNSTQYNDNDAGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESSHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNTLGKPVSTSPDSVAPETPQQDANADGYSSNAAPAATPASTRTTKTSGANPFRQ ->ARGMiner~~~Escherichia coli ampC~~~WP_027662423.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_027662423.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPVWAPGTQRLYANSSIGFFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~mdtH~~~WP_053876925.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053876925.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRMMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~macA~~~WP_009390736.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_009390736.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIVIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSNTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSTDKAASVPSTERKHQGNGARLERLNLTAEQKQLIEQGKLTLSVVRVLQADGTTKPKQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~mdtA~~~CRL60244.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~CRL60244.1~~~aminocoumarin~~~unknown MNKNAKKRVSLIIALIVVVAGAYAYWQFNAAKTATPENKGTQATSSQSRSTTGSRRPPMPPVQVATSIQEDVPQFLSALGTVKATNSVTVTSRVEGQLMALHFTEGQQVQKGDLLAEIDSRPFEVQLAQAKGQLAKDQATLANARLDLARYQKLAKTNLVSQQELDNQQALVKQSEASIRIDEATISNAQLQLTYSKITAPISGRVGLKQVDVGNYISGGSSTPIVVINQMDPVDVLFTLPEQDLAKVIQARKNSADLPVTALDRNNQFELAQGKLFSVDNQIDATTGTIKLKARFPQQETTLFPNQFVNVRLYITTLEKAVVIPNAALQMGNEGHFVWVVDNENKVSKLRVEVALQNAEKVVIASGLSADQRVVTDGVDRLTQDAKVEIVTPTVPKNKENNRVVAEKA ->ARGMiner~~~mdtP~~~WP_000610549.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610549.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQFNDPQLDALIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQVLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQTAPVVEKK ->ARGMiner~~~hmrM~~~WP_001175084.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001175084.1~~~fluoroquinolone;acridinedye~~~unknown MQKYTSEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLVMIVLWNAGYIIRSMHNIDPTLADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNEKGFGKPDSIVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGICMAVVTAIFTVTLRKHIALLYNDNPEVVALAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAIILQRAAR ->ARGMiner~~~sdiA~~~WP_001154275.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154275.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPEYFNQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~Klebsiella pneumoniae OmpK36~~~WP_047051707.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK36~~~WP_047051707.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MMKRNILAVVVPALLVAGAANAAEIYNKDGNKLDLYGKAVGLHYFSDNDSNDGDNTYARLGFKGETQINDQLTGYGQWEYNFQGNNSEGADAQNGNKTRLAFAGLKFGDAGSFDYGRNYGLVYDAIGITDMLPEFGGDTGVSDNFFSGRTGGLATYRNSNFFGLVDGLNFGVQYLGKNERTDAVRSNGDGWATSLSYDFEGFGIVGAYGAADRTNNQQTLEWGKGDKAEQWATGLKYDANNIYLAAIYGEMRNAARLGSRGFANKSQDFSVVAQYQFDFGLRPSIAYYKSKAKDVEGIGDEDYINYIDVGATYYFNKNMSTYVDYQINQLKDDNKLGINNDDIVALGLVYQF ->ARGMiner~~~mtrR~~~WP_002239265.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~WP_002239265.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQAAGVTRGALYWHFKNKEDLFDALFQRICDDIENCIAQDAKDAEGESWTVFRHTLLHFFERLQSNDIYYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITTVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWFSSCERFDLGKTAPRIIGIMMDNLENHPDLRRK ->ARGMiner~~~mdtM~~~WP_001420685.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001420685.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MAGLKATHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIDRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVSFSAKSVLRDFRNVFCNRQFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~FosB~~~WP_038457588.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_038457588.1~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFSIDPKDFDAIHQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~tolC~~~WP_058110236.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_058110236.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGVSDTSYNGSNTHGPGSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVISAQSSLDAMEAGYSVGTRTIVDVLDATTTLYEAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTVARANSNNGNPFRH ->ARGMiner~~~macA~~~WP_050532922.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050532922.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAVWGWQILNAPLPHYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~FosX~~~WP_015454857.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_015454857.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLRGIFNAEEIYSSGDQTFSLSKEKFFLIAGLWICIMEGDSLQERTYNHIAFQIQSEEVDEYIERIKSLGVEIKPGRPRVQGEGSSIYFYDFDNHLFELHTGTLEERLKRYHE ->ARGMiner~~~norA~~~WP_001627390.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001627390.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISLFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~macA~~~EEC30576.1~~~macrolide unknown +>ARGMiner~~~macA~~~EEC30576.1~~~macrolide~~~unknown MAYGVFRIFMKKRKTVKKRYVITLVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSLQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macA~~~WP_023197082.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023197082.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~arnA~~~WP_039514283.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_039514283.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQRFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLMAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~FosA2~~~WP_063157530.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063157530.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFEPFSQRLEQADVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDKA ->ARGMiner~~~mecA~~~WP_001801873.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_001801873.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~mefA~~~WP_049478272.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_049478272.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLLGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGSVLTIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~emrB~~~WP_001631700.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001631700.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITPTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macA~~~WP_002892307.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002892307.1~~~macrolide~~~unknown MKKKVILIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVPNKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLAPKMKLRF ->ARGMiner~~~tolC~~~WP_025238316.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_025238316.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQALILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLTLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTRGANSAQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYTPDSPVPVVQPASVRTNTSTGKNPFRN ->ARGMiner~~~macA~~~WP_050955036.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050955036.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLGKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mepA~~~WP_031795999.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031795999.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYVILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~FosA2~~~WP_063957405.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063957405.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFESFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~mdtA~~~CZU22199.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~CZU22199.1~~~aminocoumarin~~~unknown MGLCRVALRLPGLRFEDLVGRVRRSRHPAKQRTTLRPFLTVFHISSIFPLCYLTKLVSFPESVSGRERITMKGSNKSRWAIAVGLIVVVLAAAWYWHSQSANSTAPAGANSPSQRPTGGGRHGMRGTALAPVQAATAVNKAVPRYLSGLGTITAANTVTVRSRVDGQLMAIHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLVKTNLVSRQELDTQQSLVSESQGTIKADEAAVASAQLQLDWSRITAPIDGRVGLKQVDIGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVIQAQKAGKALVVEAWDRTNKQKLSEGSLLSLDNQIDTTTGTIKLKARFNNQDDALFPNQFVNARMLVATEENAVVIPTAALQMGSEGNFVWVLNSENKVSKHLVKTGIQDSQTVVISAGLSAGDRVVTDGIDRLTEGAQVEVVEAQNTGAKA ->ARGMiner~~~TEM-122~~~ANG33290.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-122~~~ANG33290.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESLRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDEQNRQIAEIGASLIKHW ->ARGMiner~~~macA~~~WP_055382515.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_055382515.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLVDMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~FosB~~~KDN92842.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~KDN92842.1~~~fosfomycin~~~unknown MLNEVGKMNIKGINHLLFSVSDLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWPALNLEADIPRNEIHKSYTHTAFTIDPKDFDAILQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFRTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~mdtG~~~WP_016248582.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_016248582.1~~~fosfomycin~~~unknown MSPSDNDTSINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSELNMWSGIVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLLLCFFVTLLCIKEKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQIATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRFPQVSN ->ARGMiner~~~sdiA~~~WP_014884378.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_014884378.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCFLRFQELTCADEVYQELQRQTQALEFDYYALCVRHPVPFTRPKVSLQTTYPKQWMAQYQSANYFAIDPVLKPENFIQGHLPWTDALFADAQELWHNAQDHGLRTGITQCLMLPNHALGFLSVSRTSVQDVPYRQEEIELRLQMLVQMALTTLLRFEDGMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~macB~~~WP_058113001.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_058113001.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQENKREQGVDAAVANTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALVRE ->ARGMiner~~~vanRO~~~WP_055470667.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_055470667.1~~~glycopeptide~~~unknown MRVLIVEDEPFMAEAIRDGLRLEAIAADIAGDGDTALELLGINTYDIAVLDRDIPGPTGDEIARRIVASGSGMPILMLTAADRLDDKATGFELGADDYLTKPFDLQELVLRLRALDRRRAHSRPPVREIAGLRLDPFRREVYRDGRFVALTRKQFAVLEVLVAAEGGVVSAEELLERAWDENADPFTNAVRITVSALRKRLGEPWLIATVPGVGYRIDTQPEAGHRGRDHG ->ARGMiner~~~sul1~~~WP_022652325.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_022652325.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLEPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVTPTHAPGDLRSAITFSETLAKFRSRDARDRGLDHGLAFTFRPPAKYLLLGC ->ARGMiner~~~macA~~~WP_058345547.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_058345547.1~~~macrolide~~~unknown MRAKEKKFKKRYLVIILILLVGGMAIWRMLNAPLPNYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPEQAENQIKEVEATLMELNAERQQAAAELKLARVTLTRRQQLAKTQSVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQKAWFTIPGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVDNNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGEGRPGATP ->ARGMiner~~~TEM-1~~~ANG22525.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG22525.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVVYTTGSQATMDERNRQIAEVGASLIKHW ->ARGMiner~~~mdtP~~~WP_023155347.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_023155347.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQLKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~macB~~~WP_000188145.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188145.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTFLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~cmeA~~~WP_002934927.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002934927.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MKLFQKNTILALGVVLLLTACNKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIENKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLVKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIQVGSEVKEIGAQ ->ARGMiner~~~arnA~~~WP_033869730.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_033869730.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMHETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtP~~~WP_033802462.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_033802462.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDTLIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~nalC~~~WP_003092577.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_003092577.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDQETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~emrA~~~WP_045857723.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_045857723.1~~~fluoroquinolone~~~unknown MSANAESQIPQQPGSKKGKRKGALLLLTLLFIIVAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDPLVTLDRTDAQQAFEKAQTQLAASVRQTRQSMINSKQLQANIDVKKTALSQAQTDLNRRIPLGAANLIGREELQHARDTVASAQAELDVAVQQFNANQAIVLGTRLEQQPAVLQAATDVRNAWLALQRTQIVSPISGYVSRRAVQPGAQISPTTPLMAVVPATNLWVDANFKETQLAHMRIGQPATVVSDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVDTTNRDGEVLANQTRKDPAYESNAREIALEPVNKLIDGIIQANAG ->ARGMiner~~~macB~~~WP_038888226.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_038888226.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDTEVEVLKGVSLTIHAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLSGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPNVAAQAERVIEIRDGEIISNPPPVAARAGARLNAQPHDAPALGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPAVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKASVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSVTVRVKEGYDSGEAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARSAARLNPVDALARE ->ARGMiner~~~FosB~~~Q9KBZ6~~~fosfomycin unknown +>ARGMiner~~~FosB~~~Q9KBZ6~~~fosfomycin~~~unknown MRIQGINHLLFSVKCLERSIEFYKKALGAKLLVKGRTTAYFDLQGIWLALNEEPDIPRNEIHQSYTHIAFTVGEEEMEEAYERLAGLGVNILKGRPRDPRDRQSIYFTDPDGHKFEFHCGTLNDRLDYYREAKPHMTFFDD ->ARGMiner~~~tolC~~~WP_016154520.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_016154520.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFTTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLGLTASTGVSDTSYSGSKTNTSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPIPTSPDNVAPQNPQQDAAVNDFNSNGNMPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~macB~~~WP_034174061.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_034174061.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexC~~~WP_034031532.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexC~~~WP_034031532.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MADLRAIGRIGALAMAIALAGCGPAEERQEAAEMVLPVEVLTVQAEPLALSSELPGRIEPVRVAEVRARVAGIVVRKRFEEGADVKAGDLLFQIDPAPLKAAVSRAEGELARNRAVLFEAQARVRRYEPLVKIQAVSQQDFDTATADLRSAEAATRSAQADLETARLNLGYASVTAPISGRIGRALVTEGALVGQGEATLMARIQQLDPIYADFTQTAAEALRLRDALKKGTLAAGDSQALTLRVEGAPYERQGALQFADVAVDRGTGQIALRGKFANPDGVLLPGMYVRVRTPQGIDNQAILVPQRAVHRSSDGSAQVMVVGADERAESRSVGTGVMQGSRWQITEGLEPGDRVIVGGLAAVQPGVKIVPKPDGAQAQAQSPAPQQ ->ARGMiner~~~TEM-1~~~ANG24706.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG24706.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANVLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtH~~~WP_001558958.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_001558958.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIVGLFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~msbA~~~WP_064137553.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_064137553.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYHIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~lsaA~~~WP_033592736.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_033592736.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNVKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~msbA~~~WP_058008139.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_058008139.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTNEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNANFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~bcr-1~~~WP_001620939.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_001620939.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAATMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIALLMLSLFILKETRPAAPTTSDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~TEM-1~~~ANG23579.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG23579.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEMGASLIKHW ->ARGMiner~~~sul1~~~WP_061671795.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_061671795.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVATDRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~arnA~~~WP_001461267.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001461267.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~hmrM~~~CRL64671.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~CRL64671.1~~~fluoroquinolone;acridinedye~~~unknown MQKYIKEARSLLALGIPVIIAQFSQTAMGVVDTVMAGAVNATEMSAVAVGTSIWLPTILLGQGILMALTPIVAQLNGSGQRKHIADRTQQGFWLATFLSIMVIAVLYNSRFIIEAQHDIDPELAEKAIGFIHAIMWGAPGCLYYQVLRSQCEGLSKTKPGMIIGFVGLLINIPVNYAFIYGKFGAPQLGGIGCGVATASVFWAMFLMMRYYVRRAPTQRDVMPKKRFVSPELNTIKRITFLGLPVGLALFFEVTLFAVVALLVSPLGVTAVASHQIALNFSSLMFMFPLSLGIAATIRVGYNLGQRSTEQARISAITALAVGLMLASCTAIFSIIFREKIALMYNDNLEVVTLASHLMLFAALYQLSDSVQVIGSGVLRGYKDTRSIFFITFIAYWIIGLPSGYILGRTDYFVEAMGPAGFWIGFILGLTASAIMMGSRIWWIQRQSDEVVLLRSER ->ARGMiner~~~OprJ~~~WP_003456783.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprJ~~~WP_003456783.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MRKPAFGVSALLIALTLGACSMAPTYERPAAPVADSWSGAAAQRQGAAIDTLDWKSFIVDAELRRLVGVALDNNRSLRQTLLDIEAARAQYRIQRADRVPGLNAAATGNRQRQPADLSAGNRSEVASSYQVGLALPEYELDLFGRVKSLTDAALQQYLASEEAARAARIALVAEVSQAYLSYDGALRRLALTRQTLVSREYSFALIDQRRAAGAATALDYQEALGLVEQARAEQERNLRQKQQAFNALVLLLGSDDAAQAIPRSPGRRPKLLQDIAPGTPSELIERRPDILAAEHRLRARNADIGAARAAFFPRISLTGSFGTSSAEMSGLFDGGSRSWSFLPTLTLPIFDGGRNRANLSLAEARKDSAVAAYEGAIQTAFREVADALAASDTLRREEKALRALANSSNEALKLAKARYESGVDNHLRYLDAQRSSFLNEIAFIDGSTQRQIALVDLFRALGGGWDEGRSLVVHRGGRS ->ARGMiner~~~mdtH~~~WP_023150007.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023150007.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRSRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~mepA~~~WP_031913080.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031913080.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVALPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARVIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIVYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMSNKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGVVIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~mdtH~~~WP_052939335.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_052939335.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFVQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~mecR1~~~WP_031862944.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_031862944.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYVALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~Escherichia coli ampC~~~WP_038348201.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_038348201.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKASGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~acrB~~~WP_016152073.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_016152073.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFQSGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDIIAKFNGKPASGLGIKLATGANALDTANAIRDELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLAEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAIFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRMFDKSTHHYTDSVGGILRSTGRYLALYLIIVVGMAYLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTDYYLTKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGEENKVEAITQRAMGAFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQAGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYIMSEAKYRMLPEDIGNWYVRGSDGQMVPFSAFSTSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDLEHSHSVDHH ->ARGMiner~~~macA~~~WP_005804245.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_005804245.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSSTNSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEAAKKSQGNGARLQRLNLTTEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~ErmC~~~WP_021286044.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_021286044.1~~~macrolide;lincosamide;streptogramin~~~unknown MNKKNIKDSQNFITSKRNIDKIMTNISLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTENKLVNHDNFQVLNKDILQFKFPKNQSYKIFGSIPYNISTDIIRKVVFESIADESYLIVEYGFAKRLLNTKRSLALLLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISYKDKQKYNYFVMKWVNKEYKKIFTKNQFNKSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~vanHA~~~WP_040203616.1~~~glycopeptide unknown +>ARGMiner~~~vanHA~~~WP_040203616.1~~~glycopeptide~~~unknown MMKNIGITVYGCDQDEADAFRVLSPRFGVRPSIINADVWESNAISYPYNQCISVGHKSEVSASILLALKRAGVKYISTRSIGFNHIDTTAAKRMGITVGNVAYSPDSVADYTMMLMLMTVRNAKSILHSVERHDFRLDSVRGKVLGDMTVGVVGTGHIGKAVMERLRGFGCQVLAYNRSQSIEANYVSFDELLQNSDIVTLHVPLGVDTRHLIGHEQIRRMKQGAFIINTGRGALIDTDALIKALENGKLGGAALDVLEGEEGIFYFDCTQKPIDNQFLLKLQRLQNVIITPHTAYYTKQALRDTVEKTIKNCLEFERSLAHG ->ARGMiner~~~arnA~~~WP_032224194.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032224194.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_000125872.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000125872.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWIPARNAARLDPVDALARE ->ARGMiner~~~FOX-2~~~WP_042867423.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-2~~~WP_042867423.1~~~cephalosporin;cephamycin~~~unknown MLTSHLDRACHHAGALIHHETHPMKQNTAVSLLALSTLLLPPLSHAKEAEPLTAVVDGAIQPLLKEHRVPGMAVAVLKEGKAYYFNYGVADRESGARVSEQTLFEIGSVSKTLTATLGAYAAVKGGFQLEDKASQHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSTDKMHAYYRQWTPAYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPVRVSPGVLAAEAYGIKTGSADLLKFVEANMGYQGDAAVTSAIALTHTGFHSVGGMTQGLGWESYAYPVTEQTLLAGNSPAVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPNEARIKAAHAILSQLAE ->ARGMiner~~~hmrM~~~WP_001475364.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001475364.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAGYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~L1 beta-lactamase~~~WP_057502804.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_057502804.1~~~cephalosporin~~~unknown MRCSLLAFALTAAVPVAHASAADAPLPQLRAYTVDTSWLQPMAPLQIADQTWQIGTENLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKVRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVVANAESAVLLARGGSNDLHFGEGITYPPTSADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASANALTCKAYADGAEKKFDAQLANESAAHR ->ARGMiner~~~bacA~~~Q5WCX5~~~peptide unknown +>ARGMiner~~~bacA~~~Q5WCX5~~~peptide~~~unknown MDVWEWVVAAILGLVEGLTEYAPVSSTGHMIIVDDLWLKSSELVGSQNAYVFKIVIQLGSILAVALLFKDRLLQLAGFKKQAATQSEGRGLTLGKVAVGLLPAAVLGLLFEDKMESIFHVRTVAFALIAGAFLMIAADFINKRNNKKKQQVDDISYKQALAIGLFQCLALWPGFSRSGSTISGGVMLGLTHRAAANFTFIMAIPIMVGASALSLIKNWDALDISLLPFYATGFISAFLVSLVVVRFFLKLINKIKLVPFALYRIALGLLLLFLFS ->ARGMiner~~~mdtF~~~WP_032343975.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_032343975.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIKISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNTLFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVIGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPVEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~hmrM~~~YP_002114436~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~YP_002114436~~~fluoroquinolone;acridinedye~~~unknown MQKYTSEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLVMIVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFLGLLVNIPVNYIFIYGHFGMPELGGIGCGVATAAVYWVMFIAMLSYIKHARSMRDIRNETGFGKPDSVVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGICMAVVTAIFTVTLRKHIALLYNDNPEVVALAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAVLMMLRMRYLQRQPSAIILQRAAR ->ARGMiner~~~msrA~~~WP_031882938.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_031882938.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETVGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLVIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSLHDIT ->ARGMiner~~~FosA2~~~WP_045404563.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_045404563.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFASDEA ->ARGMiner~~~norA~~~WP_038812344.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_038812344.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRILGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPQKATTDGFHQYQPELLTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKHMSELNFIAWSLLYSAIVLVMLVLANGYWTIMMISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKELKSRRKEAN ->ARGMiner~~~acrE~~~WP_001504765.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_001504765.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNNFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~macB~~~WP_000125898.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000125898.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTVPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_045269402.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045269402.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERHFRLEHRLMAGLFLMTLSMMPIGLVSSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYTGGGWLFDAGKALKQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~MexA~~~WP_057447389.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_057447389.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVHPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~lsaB~~~WP_000061785.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061785.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYPVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLKKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEQATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTEPLKLEALKFKSNELVILADVSVKYDDQVVNEPISFIVEHGDRIVLDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDFIEEQKIDETLFKSFLRKMDFDRIQFEKDISHYSSGQKKKLLIAKSLCKKAHLYIWDEPLNFIDIYSRMQIEELIQEFNPTMVIVEHDKVFQQTVATKTISM ->ARGMiner~~~mdtE~~~WP_001081976.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001081976.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGESSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~tet(C)~~~WP_048731286.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_048731286.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLIGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRGWMAFPIMILLASGGIGMPVLQAMLSRQVDDDHQGQLQGSLAALTSLTSIIGPLIVTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~mdtC~~~WP_023181958.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_023181958.1~~~aminocoumarin~~~unknown MRFFALFIYRPVATILIAAAITLCGILGFRLLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVNEMTSSSSLGSTRIILEFNFDRDINGAARDVQAAINAAQSLLPGGMPSRPTYRKANPSDAPIMILTLTSESWSQGKLYDFASTQLAQTIAQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDEIREAIDSANVRRPQGAIEDSVHRWQIQTNDELKTAAEYQPLIIHYNNGAAVRLGDVASVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDGIRAKLPELRAMIPAAIDLQIAQDRSPTIRASLQEVEETLAISVALVILVVFLFLRSGRATLIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVISMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLVVSLTLTPMMCGWMLKSSKPRTQPRKRGVGRLLVALQQGYGTSLKWVLNHTRLVGVVFLGTIALNIWLYIAIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVNNVTGFTGGSRVNSGMMFITLKPRGERKETAQQIIDRLRVKLAKEPGARLFLMAVQDIRVGGRQANASYQYTLLSDSLAALREWEPKIRKALSALPQLADVNSDQQDNGAEMNLIYDRDTMSRLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYSQDISALEKMFVINSDGKAIPLSYFAQWRPANAPLSVNHQGLSAASTIAFNLPTGTSLSQATEAINRTMTQLGVPPTVRGSFSGTAQVFQQTMNSQLILIVAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRSGGLTPEQAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKNSKPVVEI ->ARGMiner~~~sul1~~~WP_032007114.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_032007114.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDERRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~Escherichia coli ampC~~~WP_032173153.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_032173153.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQINLKPLDINEKTLQQGIQVAQSHYWQTGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~mtrR~~~WP_003697360.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~WP_003697360.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQAAGVTRDALYWHFKNKEDLFDALFQRICDDIENCIAQDAADAEGGSWTVFRHTLLHFFERLQSNDIHYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITAVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWFSSGESFDLGKTAPRIIGIMMDNLENHPCLRRK ->ARGMiner~~~smeA~~~WP_033833767.1~~~aminoglycoside;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~smeA~~~WP_033833767.1~~~aminoglycoside;cephalosporin;cephamycin;penam~~~unknown MSLLRTLSRSPRPLLLPLLLALAACSAGRTDAPAMPEVGVIIARAQPLALQQTLPGRAVPFEISEVRPQVGGLIRQRLFTEGQQVKAGQLLYQVDPAPYQAAFDTARGQLAQAEATVLSAQPKAERTRALVSMDAASKQDADDATSALKQAQANVIAARAALQAARINLDYTRVTAPIDGRIGTSSVTAGALVAAGQDTALATIQRLDPVYLDVTQSSTQILALRKQLDAGLVKAIDGKAQVKVLLEDGSTYAHEGTLEFVGSAVDPGTGNVKLRAVIPNPDGLLLPGMYLKAVLPMATDARALLVPQKAVVRNERGEPLLRLLDAKDHVVERRVSTGQVVGNQWQITSGLKAGERVIVSNGSAVSLGQQVKAVAATTAQLAAMPAVDPNGNTDEKSH ->ARGMiner~~~tet(30)~~~NC_010410.6003291.p01~~~tetracycline unknown +>ARGMiner~~~tet(30)~~~NC_010410.6003291.p01~~~tetracycline~~~unknown MPDFSIGRFMNRSLFIIFATIALDAIGIGLIFPILPLLLQDMTHSTHISIYMGILASLYAAMQFIFSPLLGALSDRWGRRPVLLISLAGSAVNYLFLTFSHSLILLLVGRIIAGITSANMAVASAYIVDVLHENNRAKYFGLINAMFGAGFIIGPVLGGFLSEYGLRLPFFAAAILTGLNLLSAYFVLPESRKVTLENKQLSTLNPFKIFAGISSIRGVLPLITTFFIFSAIGEVYGVCWALWGHDTFQWSGFWVGLSLGAFGLCQMLVQALIPSHASRLLGNRNAVLAGIACSCFALAVMAFAQSGWMIFAIMPIFALGSMGTPSLQALASQKVSADQQGQFQGVIASTVSMASMIAPMFFSTLYFQFQEKWPGAIWLSVILIYLLTLPIILYSTRPVVQQR ->ARGMiner~~~CTX-M-30~~~AIC64373.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64373.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAATVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGW ->ARGMiner~~~tet(V)~~~CDO24964.1~~~tetracycline unknown +>ARGMiner~~~tet(V)~~~CDO24964.1~~~tetracycline~~~unknown MLAPFRVREYRLLIAAVTLSIFAEGMWSVVMALQVIAIDNDPTSLSLVATCLGAGLVAFVLVGGIAADRINQRTIIIAVETVNLVTVTTVAILGMTGALRIWHMAVAAGVLGIAAAFFFPAYSALLPRILPPEQLLAANGVEGVVRPVFQRSVGPAVAGMVVGATLPSVGATVVAVLFGLGLALLVATRPSADSSAPQAVTERPHVLRDLKDGFRFMVRTPWLLWTLMFASIFVLVVLGPIEVLLPFIAQDRFEDGPRMYGFILAFFGFGSALGALTVSSRRMPRRYLTTMMIMWGAGSIPLVIVGVTSSFPLMALATFVIGVTDGAGMVIWGTLLQRRVPTEMLGRVSSLDFFVSLAFMPLSFAIVGPLSKVVSMQAIFLVAGVLPVVIAAIALVAAKMPRDELAHPLR ->ARGMiner~~~macB~~~WP_032638992.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032638992.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDISTLDGDALARLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEILDGELVSNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKASVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_062936060.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_062936060.1~~~macrolide~~~unknown MNLKGKRRTLFLLLALVIVAGGFWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~novA~~~WP_055534530.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_055534530.1~~~aminocoumarin~~~unknown MRHDEPIWTPPPQAPGQEPPRQMRRILRLFRPYRGRLAIVGLLVCAASLVSVATPFLLKEILDTAIPQGRTGLLSLLALGMILSAVVTSVFGVLQTLISTSVGQRVMHDLRTAVYGRLQAMSLAFFTRTRTGEIQSRIANDIGGMQATVTSTATSLVSNLTSVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKIATQRQKQMAAMAATVTESLSVSGIVLGRTMGRADSLTQSFAEESERLVGLEIRSNMAGRWRMAVISIVMAAMPAVIYWTAGIAFQAGGPTVSIGTLVAFVSLQQGLFRPTVSLLSTGVQIQTSLALFQRIFEYLDLPVDISEPAEPVRLDTIRGEVRFENVEFRYDEKSGPTLQGIDIAIPAGGSLAVVGPTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEELVAAARAAQIHDHIASLPDGYDTVVGERGHRFSGGEKQRLAIARTVLRDPPVLILDEATSALDTRTERAVQEAIDALSADRTTLTIAHRLSTVRGADQIVVLDGGRAAERGTHEELLARDGRYAALVRRDAQLEPTP ->ARGMiner~~~macA~~~WP_029739483.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_029739483.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQMLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~sul2~~~WP_011176578.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_011176578.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLASYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~nalD~~~WP_014603968.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_014603968.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~mdtG~~~WP_000075055.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_000075055.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVRKVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYDFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~Escherichia coli ampC~~~WP_001383756.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001383756.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINSSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~TEM-1~~~ANG30415.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG30415.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAVTMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLVKHW ->ARGMiner~~~arnA~~~ZP_03075977~~~peptide unknown +>ARGMiner~~~arnA~~~ZP_03075977~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRIACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGVEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLDWEPSIAMRDTVEETLDFFLRSVDIAERAS ->ARGMiner~~~emrA~~~WP_064562096.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_064562096.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKHLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQQMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~Escherichia coli ampC~~~WP_001360076.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001360076.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQTMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVDAAWQILNALQ ->ARGMiner~~~tet(C)~~~AMP47495.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~AMP47495.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHFGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIDGMAADALGYVLLAFATRGWMAFPIMILLASGGIGMPALQAMLSRQVDDDHQGQLQGSLAALTSLTSIIGPLIVTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~norA~~~WP_031811756.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031811756.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQSYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~macA~~~WP_032688640.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032688640.1~~~macrolide~~~unknown MKLNGKRRKVWWLLVVIVLALAFWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAQNQIKEVDATLMELRAQLNQARAERKLAAVTLARQQQLAQRQLVSRQELDTAATDLAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGSLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQMAEVKNVITIPLSALGDAIGDNRYHVRLLRTGEVKEREVTIGARNDTDVAVVKGLEEGDEVIVGESRSGTAK ->ARGMiner~~~lsaB~~~WP_009371362.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_009371362.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFENVNFQIDTDWKLGFIGRNGRGKTTFFQLLLGNYEYSGKIISSVDFTYFPYPVSDSNKYTHEIFEEICPQAEDWECLREIAYLHVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKTVSDYLRRKKGFILISHDRSFLDGCVDHILSINRANIDVQSGNYSSWKLNFDRQQEHEEVTNQRLQKDIDRLKQSSKRSSGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQRAIEEKSKLLKNVEKTESLKVEPLAYPSKEMVVVTDVSIRYDDHIVNKPISFKVAQGERVVLDGKNGSGKSSMLKLILGNPIQHTGSIKLASGLVISYVQQDTSHLKGLLSEFIEEHGIDETLFKSILRKMDFERIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIKSSHLTMVIVEHDQAFQQTIATKTVAMS ->ARGMiner~~~acrB~~~WP_050190873.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_050190873.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIALPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~TEM-1~~~ANG27416.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG27416.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSSLPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKRW ->ARGMiner~~~FosB~~~WP_060630547.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_060630547.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYERVLEGELLVRGRKLAYFNICGVWIALNEEIHISRNEIHQSYTHIAFSVEQKDFECLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLTYYKEDKPHMTFY ->ARGMiner~~~patB~~~WP_010989975.1~~~fluoroquinolone unknown +>ARGMiner~~~patB~~~WP_010989975.1~~~fluoroquinolone~~~unknown MSQFDEVIPRIGTNSEKWDGAEELFGRKDIIPMWVADMDFRAPKPVLDAFQRQIDHGIFGYSTKSKALVEAVIDWNKEQHQFEIDPSTLFFNGAVVPTISLAIRSLTNEGDAVLMVSPIYPPFFNVTKATERKVVMSPLIYENRQYRMDFNDLEKRMKEENVKLFLLCNPQNPGGRCFTKEELVELAKLCEKYQIPIVSDEIHADLVMKNHKHVPMMVAAPFYQDQIITLMAATKTFNLAAIKASYYIITNKDYQTRFAAEQKYATTNGLNVFGIVGTEAAYRHGAPWLKELKEYIYSNYEYVKAELEKEVPEVGVTDLEATYLMWLDCRALPKDEKTIYTDLIEAGVGVQMGSGFGHSGKGFVRFNIACPKETLEKSVKLLIQGLKK ->ARGMiner~~~arnA~~~WP_024226462.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_024226462.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAITPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~FosB~~~ANB85886.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ANB85886.1~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHQRLKNLNVSILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~sdiA~~~WP_012967663.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_012967663.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSVAAGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWEDGLFRDAAALWEGARDHGLKKGVTQCLTLPNHAQGFLSVSANNRLPGSYPDDELEMRLRMLTELSLLALLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~OprM~~~WP_023082630.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~OprM~~~WP_023082630.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MKRSFLSLAVAAVVLSGCSLIPDYQRPEAPVAAAYPQGQAYGQNTGAAAVPAADIGWREFFRDPQLQQLIGVALENNRDLRVAALNVEAFRAQYRIQRADLFPRIGVDGSGTRQRLPGDLSTTGSPAISSQYGVTLGTTAWELDLFGRLRSLRDQALEQYLATEQAQRSAQTTLVASVATAYLTLKADQAQLQLTKDTLGSYQKSFDLTQRSYDVGVASALDLRQAQTAVEGARATLAQYTRLVAQDQNALVLLLGSGIPANLPQGLGLDQTLLTEVPAGLPSDLLQRRPDILEAEHQLMAANASIGAARAAFFPSISLTANAGTMSRQLSGLFDAGSGSWLFQPSINLPIFTAGSLRASLDYAKIQKDINVAQYEKAIQTAFQEVADGLAARGTFTEQLQAQRDLVKASDEYYQLADKRYRTGVDNYLTLLDAQRSLFTAQQQLITDRLNQLTSEVNLYKALGGGWNQQTVTQQQTAKKEDPQA ->ARGMiner~~~macB~~~WP_038419019.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_038419019.1~~~macrolide~~~unknown MTALLELTDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRHARAQALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRASRAAAPKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQIFLPGWEIGFSPVAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_045334114.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045334114.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELHQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISESLPGAAK ->ARGMiner~~~mdtG~~~WP_032179710.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032179710.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYIQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~tolC~~~WP_024358679.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_024358679.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDAKDQNSDVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSNVSNSSYSGSKSTTPDRDIGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~MexE~~~WP_034044148.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_034044148.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPSG ->ARGMiner~~~amrA~~~WP_038788281.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_038788281.1~~~aminoglycoside~~~unknown MKYEWARTRRLSAALAVAAFVAAGCGKHESEHDAAAPREASVVTVKKTSVPLSVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKAQAAHLAALDKRRRYDELVRDRAVSERDHTEALADERQAKAAVASARAELARAQLQLDYATVTAPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVESLRRAVKSGRAAGIAQQDVEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAVARDAILVPRDALLRTADSATVKVVGQNGKIRDVTVEAAQMKGRDWIVTRGLAGGERVVVVVDAQFEAGTTVKALERGAAAQPASGAAAASAPGRRST ->ARGMiner~~~macB~~~WP_023237471.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023237471.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQIWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli mdfA~~~CP001138.1.gene894.p01~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~CP001138.1.gene894.p01~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MQNRLQSGGRLGRQALLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGLDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLATLLAKNIEQFTFLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWVHVLPWEGMFILFAALAAIAFFGLQRAMPETATRRGETLSFKALGRDYRLVIKNRRFVAGALALGFVSLPLLAWIAQSPIIIISGEQLSSYEYGLLQVPVFGALIAGNLVLARLTSRRTVRSLIVMGGWPIVAGLIIAAAATVVSSHAYLWMTAGLSVYAFGIGLANAGLVRLTLFSSDMSKGTVSAAMGMLQMLIFTVGIEVSKHAWLSGGNGLFSLFNLANGILWLLLMLVFLKDKRTGNLQTV ->ARGMiner~~~mdtP~~~WP_032241303.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032241303.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVEHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~nalD~~~WP_058171579.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_058171579.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSRLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWSQASSAP ->ARGMiner~~~macB~~~WP_052915800.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_052915800.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPTIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTCRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexD~~~WP_003119403.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_003119403.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMLMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~tolC~~~WP_029740696.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_029740696.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSNTPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~VIM-7~~~gi:659835203:pdb:4D1U:A~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-7~~~gi:659835203:pdb:4D1U:A~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MFQIRSFLVGISAFVMAVLGSAAYSAQPGGEYPTVDDIPVGEVRLYKIGDGVWSHIATQKLGDTVYSSNGLIVRDADELLLIDTAWGAKNTVALLAEIEKQIGLPVTRSISTHFHDARVGGVDVLRAAGVATYTSPLTRQLAEAAGNEVPAHSLKALSSSGDVVRFGPVEVFYPGAAHSGDNLVVYVPAVRVLFGGCAVHEASRESAGNVADANLAEWPATIKRIQQRYPEAEVVIPGHGLPGGLELLQHTTNVVKTHKVRPVAE ->ARGMiner~~~mdtM~~~WP_021567759.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_021567759.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFTRHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLSPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLSLLIVGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNKLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELVEEQ ->ARGMiner~~~MexE~~~WP_003109551.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_003109551.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~CTX-M-3~~~AIC64395.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64395.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTAGNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~tolC~~~WP_001472296.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001472296.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~FosB~~~WP_059352973.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_059352973.1~~~fosfomycin~~~unknown MEIKGINHLLFSVSDLLTSIEFYQNVFDAKLLVKGRSTAYFDLNGIWLALNEERDIPRNDINASYTHIAFTIEESEFEQMSAKLKNLHVTMLPERERDERDRKSIYFTDPDGHKFEFHTGTLQDRLQYYKQAKTHMDFL ->ARGMiner~~~EXO beta-lactamase~~~WP_037844458.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_037844458.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAATLVPGTAYASSGGRGHGSGSVSDAERRLAGLERASGARLGVYAYDTGSGRTVAYRADELFPMCSVFKTLSSAAVLRDLDRNGEFLSRRIFYTQDDVEQADGAPETGKPENLANGMTVEELCEVSITASDNCAANLMLRELGGPAAVTRFVRSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLVLGDALNPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRAPIVLTVLTAKTEQDAARDDGLVADAARVLAETLG ->ARGMiner~~~tolC~~~WP_047650101.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047650101.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGNTPAAQPAAARTTTSASKGNNPFRN ->ARGMiner~~~novA~~~WP_051836635.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_051836635.1~~~aminocoumarin~~~unknown MPHDEPKWIPSKDPLDPARPAPAEQPRELRRIVALFRPYRGRLAVVGLLVGASSLVGVASPFLLREILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIASVVAMLALDWRLTLVSLLLLPVFVWISRRVGRERKRITTKRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTSAFAEESEKLVGLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGLALQTGAPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERAEPVRLDRAKGEVTLEDVHFAYDAKSGPTLTGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATDEEITEAARAAQIHDHISSLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDNLSAGRTTITIAHRLSTVRDADQIVVLDAGRIAERGTHEELLKADGRYAALVRRDRDAGLAPEPPEGLQLAPVNV ->ARGMiner~~~vanRA~~~WP_064019550.1~~~glycopeptide unknown +>ARGMiner~~~vanRA~~~WP_064019550.1~~~glycopeptide~~~unknown MSDKILVVDDEHEIADLVELYLKNENYTVFKYYTAKEALECIDKTDLDLAILDIMLPGASGLAICQKIRDKHTYPIIMLTAKDTEVDKITGLTIGADDYITKPFRPLELIARVKAQLRRYKKYNGVTEQNENVIVHSGLVININTHECFLNEKQLSLTPTEFSILRILCENKGNVVSSEQLFHEIWGDEYFSKSNNTITVHIRHLREKMNDTIDNPKYIKTVWGVGYKIEK ->ARGMiner~~~macB~~~WP_000188166.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188166.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLHREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIDKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNIRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMLGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTQEIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDVLARE ->ARGMiner~~~emrB~~~WP_036912019.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_036912019.1~~~fluoroquinolone~~~unknown MIKEPLQGGKLALMTIALALATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFALTSWLCGISQSLEMLIFFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSVTIVVAPILGPILGGYISDNYHWGWIFFINVPFGVLIIMCISNTLAGRETKTEIKPIDTIGLVLLVVGIGALQIMLDQGKELDWFNSTEIIVLTIVAVVALSFLIVWELTDDHPVIDLSLFKSRNFTIGCVTLSLAYMIYFGTIVLLPLLLQEVFGYTATWAGLASASVGLLPLIITPIIGKFGGKVDLRYIISFSFIMFSVCFYWRAYTFEPGMDFATVAWPQFWQGLAVACFFMPLTTMTLSGLPPEKMASASSLSNFLRTLAGAIGASLTTTIWTQRESLHHETFVEKINPLDPDSQMAYQQMNDLGLSNEQASAYLAKMITEQGLIISANEIFWLAAGIFLIMMIVVWFAKPPFSPGK ->ARGMiner~~~FosA2~~~WP_048247864.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_048247864.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPFSQRLKQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~emrB~~~WP_001461404.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001461404.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTISGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~MexF~~~WP_023128834.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_023128834.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKAPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~tolC~~~WP_012907623.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_012907623.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTASAYFRVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDSFKTDKPQPVNALLKEAENRNLTLLQARLTQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTRGAAGSQYDDSNMGQNKIGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYNYLLNQLNIKSALGTLNEQDLLALNNTLGKPIPTNPDSVAPETPQQDSAVDNFNGNAAAVQPASARTTTSSGNSGNPFRN ->ARGMiner~~~emrK~~~WP_024249154.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_024249154.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLAVVLFIAFSGAYAYWSMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQAYKANKALVMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVIPARQMWVNANFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTKNEDIAEMPELASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~msbA~~~WP_000597244.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597244.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEDPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~msbA~~~WP_016528740.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_016528740.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIVKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLAGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEYLYSIQNL ->ARGMiner~~~vanG~~~WP_041330231.1~~~glycopeptide unknown +>ARGMiner~~~vanG~~~WP_041330231.1~~~glycopeptide~~~unknown MQKKKIAIIFGGNSTEYEVSLQSAFSVFENINKEKFDIVPIGITRNGDWYHYTGKKEKIANNTWFEDNENLYSVAVSQNRSVKGFIEFKEEKFYIIKVDLIFPVLHGKNGEDGTLQGLFELAGIPVVGCDTLSSALCMDKDKAHKLVSLAGISVPKSVTFKRFNKEAAMKEIEANLTYPLFIKPVRAGSSFGITKVIEEQELDAAIELAFEHDTEVIVEETINGFEVGCAVLGIDELIVGRVDEIELSSGFFDYTEKYTLKSSKIYMPARIDAEAEKRIQETAVTIYKALGCSGFSRVDMFYTPSGEIVFNEVNTIPGFTSHSRYPNMMKGIGLSFAQMLDKLIGLYVE ->ARGMiner~~~L1 beta-lactamase~~~WP_032974614.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_032974614.1~~~cephalosporin~~~unknown MRLQTLAITLAALLPAAASAAEPTLPQLRAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTPQGAVLLDGGMPQMADHLLRNMKARGVAPQDLRLILLSHAHADHAGPVAALKRSTAAQIVANAESAVLLARGGSNDLHFGDDITYPPATADRIIMDGEVVSLGGVDFTAHFVPGHTPGSTAWTWTDTRGGKPVRMVYADSLSAPGYQLQANPRYPHLIEDYRRSFATVRALPCDVLLTPHPGASGWNYAAGTSAGAKAMACQDYADSAERNFDAQLKKQREKQR ->ARGMiner~~~mfpA~~~WP_031713510.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_031713510.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAAHGLCLAAG ->ARGMiner~~~norA~~~WP_061822374.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061822374.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFSYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~mdtP~~~WP_001519080.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001519080.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQTAPVVEKK ->ARGMiner~~~FosB~~~WP_000938988.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000938988.1~~~fosfomycin~~~unknown MLQGINHICFSVSNLEKSIEFYQKILQAKLLVKGRKLAYFDLNGLWIALNVEEDIPRNEIKQSYTHMAFTVTNKALDHLKEALIQNDVNILPGRERDERDQRSLYFTDPDGHKFEFHTGTLQNRLEYYKEDKKHMTFYI ->ARGMiner~~~macA~~~WP_032649222.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032649222.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~macB~~~WP_001735062.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001735062.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIDVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~nalC~~~WP_039027538.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_039027538.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFKPTMALLETRLKLSVDIIACYLEHLSAD ->ARGMiner~~~mdtH~~~WP_057696005.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_057696005.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVIGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~blaF~~~WP_064867865.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_064867865.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGGAAPTFAAPIDDQLAELERRDNVLIGLYAANLQSGRRITRRPDEMFAMCSTFKGYAAARVLQMAEHGEISLENRVFVDADALVPNSPVTETRAGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAILAGEALSPPQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPDGQRLLLVMMTRSQAHDPKAENLRPLIGELTALVLPSLL ->ARGMiner~~~lsaB~~~WP_058006334.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_058006334.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKIISSVEFNYFPYPVSDKNKYTYEIIEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKMVSNYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNQRLQKDIGRLKQASKRSAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEPMEFQTKELIVLDEVSIKYDDQIVNQPISFKVEQGDRIVLDGKNGSGKSSILKLILGNPIQHTGSMKLSSGLLISYVQQDTSHLKGQLSDFIEDHAIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQSFNPTMVIVEHDLAFQQTVATKTISM ->ARGMiner~~~macA~~~CNT99904.1~~~macrolide unknown +>ARGMiner~~~macA~~~CNT99904.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTXXXXXXXLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mecR1~~~ALB00633.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~ALB00633.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MSIISSILTLLLIVIVRAICIKYFKTRLNHKIWLLVVFSLFLPLLPINNILQVEMSRIFSTDIISHSSKSSNHNLSNESTNLTKDLALNIQHHEMTFILIILLVVWFIGLLFFSFKFIAAIKQIAFIKDLSIKSSILDNQMKKCINNLNIKSKSIVISHVDEIENPMVFWLGKYYIVIPTNIMHMMDEVQIDYIISHELIHIKNKDLWSNYIFTFFIIMLWFNPALYVSKKLFNIDCEISCDNQVLKRLSQSNHQRYGEAILKCWAIQKRSVNDFAAKYLLGTQSNLKSRFVNISKFKSSPNRKLKMIPYVVLSILILLQGVMVSAHSDKGEYTDDIKYTNLKELDSHFLGFNGSFVLYDNQKKEYFLYNEKESRKRYTPDSTYKLYLALIGFDRNVMSLNNTEQKWDGNENAFKEWNQNQNLNSAMRYSVNWYFENINNSIKNKELKNYISELQYGNENISGSKNYWNESSLKISAIEQVDLLIKLDNQQLKFDEKYINAVKDSITLNKSDQYIYSGKTGTGIINGKETNGWFIGTIEKNGKSYYFATHLDGKENASGKKARNISEKILEELGLMQ ->ARGMiner~~~MexD~~~WP_047925830.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_047925830.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVPLYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~nalD~~~WP_058132686.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_058132686.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYRHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~Sed1 beta-lactamase~~~WP_058588160.1~~~cephalosporin;penam unknown +>ARGMiner~~~Sed1 beta-lactamase~~~WP_058588160.1~~~cephalosporin;penam~~~unknown MFKKRGHQTVLIAAVLAFFTASSPLLARTQGEPTQVQQKLAALEKQSGGRLGVALINTADRSQILYRGDERFAMCSTSKTMVAAAVLKQSETQHDILQQKMVIKKADLTNWNPVTEKYVDKEMTLAELSAATLQYSDNTAMNKLLEHLGGTSNVTAFARSIGDTTFRLDRKEPELNTAIPGDERDTTSPLAMAKSLHKLTLGDALAGAQRAQLVEWLKGNTTGGQSIRAGLPEGWVVGDKTGGGDYGTTNDIAVIWPEDRAPLILVTYFTQPQQDAKGRKDILAAAAKIVTEGL ->ARGMiner~~~hmrM~~~WP_032429554.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032429554.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGASGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARTMRDIRCAERFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMRKQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~sul1~~~WP_024434987.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_024434987.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITXSETLAKFRSRDARDRGLDHA ->ARGMiner~~~msbA~~~WP_024937220.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_024937220.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITDADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~MexA~~~WP_034031352.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_034031352.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALMTNGQANAMATVQQLDPIYVDVTQPSTVLLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~arnA~~~WP_061378420.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_061378420.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAGLGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGNISSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~emrA~~~WP_021580954.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_021580954.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNTWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~msbA~~~WP_031824335.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031824335.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLTQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~tolC~~~WP_058841726.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_058841726.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSNTPAAQPAAARTATSASKGNNPFRN ->ARGMiner~~~macA~~~WP_003675866.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_003675866.1~~~macrolide~~~unknown MAKMMKWAALAVVAAAVWGGWSYLKPEPQVSYITETVRRGDIGQTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQHVRKGDLIAVINSTSQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAARANAAELKALIRQTKISINTAESELGYTRITATMDGTVVAIPVEEGQTVNAVQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDITFTILSEPDTPIKAKLDSVDPGLTAMSLGGYNSSTDTTSSAVYYYARALVPNPDGKLAIGMTTQNTVEINRVANVLIIPSLTVKKRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEMTAAEQQESSERAMQGPPR ->ARGMiner~~~macA~~~WP_002871376.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002871376.1~~~macrolide~~~unknown MKKKIVLIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLAPKMKLRF ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071134.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071134.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFLTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~mexH~~~WP_047938360.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_047938360.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFXPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~macB~~~WP_023249477.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023249477.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGQDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIECKKRQARARELLQRLGLSDRVDYHPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPLVAAQAERVIEIHDGKIVHNPLAQEKKREQGVTTAAVNMASGWRQFASSFREALAMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQVLKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFSEGNTFNTVQQRDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAKEKQSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVNSDLAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISVSMLIAFMLQFFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_042998171.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_042998171.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTFQTDQQTLILNTASAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTRGSAVGSQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYNYLINQLNIKSALGTLNEQDLVALNNTLGKPISTSPEHVAPETPQMDANADGYAANATAPATQPASVRSSSSNGKNPFRN ->ARGMiner~~~aadA3~~~ADM62671.1~~~aminoglycoside unknown +>ARGMiner~~~aadA3~~~ADM62671.1~~~aminoglycoside~~~unknown MRSRNWSRTLTERSGGNGAVAVFMACYDCFFVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTKLDIMRVAVTIEISNQLSEVLSVIERHLESTLLAVHLYGSAVDGGLKPYSDIDLLVTVAVKLDETTRRALLNDLMEASAFPGESETLRAIEVTLVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPAMIDIDLAILLTKAREHSVALVGPAAEEFFDPVPEQDLFEALRETLKLWNSQPDWAGDERNVVLTLSRIWYSAITGKIAPKDVAADWAIKRLPAQYQPVLLEAKQAYLAYKAHRVLQARMHLTRGGKG ->ARGMiner~~~sul1~~~NC_011586.7045179.p01~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~NC_011586.7045179.p01~~~sulfonamide;sulfone~~~unknown MEVANVPRRHCCLFARPIPIVEVAAEADAMVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~macA~~~WP_046596464.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_046596464.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKGALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~adeB~~~WP_000987603.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987603.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTAILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEYAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~lsaA~~~WP_010707193.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010707193.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETSRKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~tet(K)~~~EZW21681.1~~~tetracycline unknown +>ARGMiner~~~tet(K)~~~EZW21681.1~~~tetracycline~~~unknown MSCLGSLIAFIGHNHFFILIFGRLVQGVGSAAFPSLIMVVVARNITRKKQGKAFGFIGSIVALGEGVGPSIGGIIAHYIHWSYLLILPMITIVTIPFLIKVMVPGKSTKNTLDIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFMLGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVATIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVASGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGAYSNILVAMAILIILCCLLTIIVFKRSEKQFE ->ARGMiner~~~blaF~~~WP_054602039.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_054602039.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGGAAPAFAAPIDDQLAELERRDNVLIGLYAANLQSGRRITHRPDEMFAMCSTFKGYVAARVLQMAEHGEISLDNRVFVDADALVPNSPVTETRAGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAVPGDPRDTSTPAALAVGYRAILAGDALSPPQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPGGQRLLLVMMTRSQGLDPKAENLRPLIGELTALVLPSLL ->ARGMiner~~~mgrA~~~WP_053874794.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_053874794.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLLGKIIHAFDETKEK ->ARGMiner~~~mdtH~~~WP_047359337.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047359337.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMRHVMHDKHFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSLKRPTRGMLEPDA ->ARGMiner~~~MexC~~~WP_034028540.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexC~~~WP_034028540.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MADLRAIGRIGALAMAIALAGCGPAEERQEAAEMVLPVEVLTVQAEPLALSSELPGRIEPVRVAEVRARVAGIVVRKRFEEGADVKAGDLLFQIDPAPLKAAVSRAEGELARNRAVLFEAQARVRRYEPLVKIQAVSQQDFDTATADLRSAEAATRSAQADLEAARLNLGYASVTAPISGRIGRALVTEGALVGQGEATLMARIQQLDPIYADFTQTAAEALRLRDALKKGTLAAGDSQALTLRVEGTPYERQGALQFADVAVDRGTGQIALRGKFANPDGVLLPGMYVRVRTPQGIDNQAILVPQRAVHRSSDGSAQVMVVGADERAESRSVGTGVMQGSRWQITEGLEPGDRVIVGGLAAVQPGVKIVPKPDGAQAQAQSPAPQQ ->ARGMiner~~~emrA~~~WP_023150966.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_023150966.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLVPVNKLIDDIVKANAG ->ARGMiner~~~novA~~~WP_010062372.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_010062372.1~~~aminocoumarin~~~unknown MKPDEPTWTPPPDARTDADRRPAEVRRILRLFRPYRGRLAVVGLLVGASSLVGVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGNERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQGFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEDVDFSYDEKNGPTLTGIDVTVPAGDSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGIDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPEATDEEIVAAARAAQIHDHIASLPDGYDTMVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRVAERGTHEELLDRDGRYAALIRRDSHPVPAPAP ->ARGMiner~~~macB~~~WP_038863845.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_038863845.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDTDVEVLKGVSLTIHAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLDSDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPNVAAQAERVIEIRDGEIISNPPPVATRDGAGLNAQPHDAPALGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPAVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKASVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSVTVRVKEGYDSGEAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGIGLSLLIAFSLQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARSAARLNPVDALARE ->ARGMiner~~~mdtO~~~WP_032239078.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_032239078.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYTAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~adeG~~~WP_031995752.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_031995752.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYPRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~macB~~~WP_040241690.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_040241690.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYWVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILHVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtP~~~WP_032205244.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032205244.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDTGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKVFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~norA~~~WP_064126793.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_064126793.1~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAIGQNFLILMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILACIMSIALIHDPKKVSTNGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVIIVLIEKQHRAKLKEQDM ->ARGMiner~~~arnA~~~WP_000860237.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860237.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERDIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEIFQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQMLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDFVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~L1 beta-lactamase~~~WP_049481046.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049481046.1~~~cephalosporin~~~unknown MRCSLLAFALAAAVPVAHASAAEAPLPQLRAYTVDTSWLQPMAPLQIADHTWQIGTENLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKVRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVVANAESAVLLARGGSNDLHFGDGITYPPTSADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPSLIEDYKRSFTTVRGLPCDLLLTPHPGASNWNYAAGSKAGAKTLTCSAYADAAEKTFDAQLAKESAAHR ->ARGMiner~~~vanWB~~~WP_002317269.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~WP_002317269.1~~~glycopeptide~~~unknown MDRKRLTQRFPFLLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIRPGETFSFWWLVRHADKDTPYKDGLTVTNGKLTTMSGGGMCQMSNLLFWMFLHTPLTIIQRRGHEVKEFPEPNSDEIKGVDAPISEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVSADKEPQALYKITNGSIQYVRESGGIYEYAQVKRMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEENQ ->ARGMiner~~~cmlB~~~CP001138.1.gene3995.p01~~~phenicol unknown +>ARGMiner~~~cmlB~~~CP001138.1.gene3995.p01~~~phenicol~~~unknown MKRQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMAQALNVREGAVQSVMAAYLLTYGVSQLFYGPLSDRVGRRPVILVGMSIFMVATLIAMTTHSLTVLIAASAMQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGLLDTLWNWRACYAFLLVLCAGVTFSMARWMPETRPAGAPRTRLIASYKTLFGNGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLMMWIPGWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMLPQTGQGSLGLLMTLMGLLILACWLPLASRISHQGQTV ->ARGMiner~~~BLA1~~~WP_029438368.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_029438368.1~~~penam~~~unknown MILKNKRLLKIGICVGILGLSLTSLEAFTGGSLQVEAKEKNGQIKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAVATNLKDLTVGNALPHQKRNILTDWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDVAIVWPPNRSPIIIAILSSKDEKEAAYDNQLIAEAAEVVVNAFK ->ARGMiner~~~tet(K)~~~COZ66942.1~~~tetracycline unknown +>ARGMiner~~~tet(K)~~~COZ66942.1~~~tetracycline~~~unknown MIAFIGHNHFFILIFGRLVQGVGSAAFPSLIMVVVARNITRKKQGKAFGFIGSIVALGEGLGPSIGGIIAHYIHWSYLLILPMITIVTIPFLIKVMVPGKSTKNTLDIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFMLGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVATIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVASGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGVYSNILVAMAILIILCCLLTIIVFKRSKKQFE ->ARGMiner~~~Erm(41)~~~WP_042789321.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~WP_042789321.1~~~macrolide;lincosamide;streptogramin~~~unknown MDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRVAEADLLAFRWPRRPFRVVASPPYHVTSALIRSLLTPESRLLAADLVLQRGAVHKHAKRAPVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~bcr-1~~~WP_032177673.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_032177673.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTISFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~novA~~~WP_037756452.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_037756452.1~~~aminocoumarin~~~unknown MLIELYVPDPRREPMRHDDGPSWTPPERPLDPARPAEPAQVRRILRLFRPYRTRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMIAAAVTTSVFGVLQTLISTTVGQRVMHDLRTGVYARLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNATAVVATIVAMLALDWRLTLVSLVLLPVFVWISRRVGRERKAITTQRQKQMAAMAATVTESLSVSGILLGRTMGRADSLTRSFADESERLVGLEVRSSMAGRWRMAVIGIVMAAMPAFLYWAAGLTLASGGSAISIGTLVAFVSLQQGLFRPAVSLLSTGVQIQTSLALFQRIFEYLDLRVDITEPDDPVRLAEVAGEVRFEKVGFSYEAGGGTTLDDIDITVPAGGSLAVVGPTGSGKSTLGYLVPRLYDVTEGRVTLDGVDVRDLDFDTLSRSVGVVSQETYLFHASVADNLRFAKPDATDDEIESAARTAQIHDHIAALPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQRAVDALSAGRTTITIAHRLSTVRDADQIVVLEGGRIAEHGTHEELIDRNGRYAALVRRDGRPAPVVS ->ARGMiner~~~macA~~~WP_042776606.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_042776606.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNLVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~lsaB~~~WP_057773891.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_057773891.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQDLTFSYPGSFDNIFEGVNFQLDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKIISSVEFNYFPYPVSDKNKYTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKIVSDYLRTKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNQRLQKDIGRLKQSSKRSAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLESLEFQSNELIVLADVSVKYDDQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGNPIQHTGSMNLGSGLIISYVQQDTSHLKGLLSDFIEEHEINETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRIQIEELIQRFNPTMVIVEHDQAFQQTVATKTISI ->ARGMiner~~~arnA~~~WP_021539942.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021539942.1~~~peptide~~~unknown MKTVVFAYHDMGYLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~L1 beta-lactamase~~~WP_024956543.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_024956543.1~~~cephalosporin~~~unknown MRFTLLAFALAVALPAAHASAAEAPLPQLRAYTVDASWLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAVGSKASAEALTCNAYADAAEKKFDAQLARETAGTR ->ARGMiner~~~L1 beta-lactamase~~~WP_049427757.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049427757.1~~~cephalosporin~~~unknown MRCSLLTFALAAALPFAQAHAAEAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTQELTALLVQTAEGAVLLDGGMPQMAGHLLDNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRTGAKVVANAESAVLLARGGSDDLHFGDGITYPPASADRIIMDGEVVSIGGIDFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKDNPRYPRLIEDYKRTFATVRGLPCDLLLTPHPGASNWSYAAGSKASANALTCKAYADAAEKKFEAQLAKESAAHR ->ARGMiner~~~MexF~~~WP_058480237.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_058480237.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISQFFIERPILANVIALLIVFLGLVAVVVLPVSQYPAIVPPTIQVTTNYPGANAKTLIKTVALPIEQQVNGVQDMLYMQSTSTNSGSYTLIVTFAIGTDLNYAQVLVQNRVQAAMAQLPDSVQKQGVLVQQKSTAILQFVNLTSKNNEYDGVFLDSYATINMQNELARLPGVGNVLIFGSGSYAMRVWLDPKKMYAFSINPSEVLNAISAQNKEVTAGQLGAPPTTGNPAYQFTVNVPGQLADPEQFGNIVIKTVATSSDQSANASSAAQVVRLKDVARIELGSESYTQLARLNGKPTAAIGIFQTPGANALDVANSVRKTVEKMSKKFPPGMEYSIPFDTTIFVTESIKEVYKTLYEAGILVLIVIVVFLQNFRATLVPATTVPVTIIGTFFAMLLLGYSINLLTLLALVLAIGIVVDDAIVIVEGVTQHIEKGTSPKESAIIAMKELFGPIMGITLVLMAVFVPAGFMPGLTGAMYAQFALVIAATALISAINAITLKPTQCALWLKPADPSKPKNVFFKAFDRIYNPIEESYVRFMDGLVHHSRKVSLFGIFLICLAVLGLTRVPTGFIPMEDQGYVIMSVQLPDGANLGRTEKVVNKLSEKIRKVDGIANVVAITGVSLLDNSASLANAGILYIMFKDWSERGKSEDLMSLYMKLNDIAKETLEAKSMVVVPPPIQGLGQSGGFQMQLELQDGSFDYRKLQQATDLLVNTGNQTTQLQRLMTPFRASVPQVLAPVNRIKAESLGVSVQDAFDTLQTYLGSSYVNLFTKFGQVFPVYVQADANARMTDSDMRNFYVRNKSGSMVPLGTLTDVSPDVGPAIISLYNLYPSSAVTGSSAKGYSSGQAIQVMEGLAKEKLPSGIDYEWTSTAYQEKVAGNLSYIIFAMSLVLVYLILSGQYENWLIPTSIILGVPLTLIGTVFALISLQIDNNMYTQIGLLLLIALAAKNAILIVEVAHEQHHIHKKSIMEAAVLGAKTRFRPILMTSFAFILGVMPLVFASGAGANARRSIGIAVSSGMIASTCLAVVFVPVFYVLLTTWQENRKQGTKPTH ->ARGMiner~~~adeB~~~WP_000987590.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987590.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGAKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEVVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFTGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSAFGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~emrA~~~WP_044067173.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_044067173.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDAAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~TEM-1~~~ANG36213.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG36213.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVRVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHR ->ARGMiner~~~TEM-1~~~ANG23411.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG23411.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQPSRVAPIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~acrB~~~WP_052992823.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_052992823.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTSLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMPYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~vanYB~~~WP_002330522.1~~~glycopeptide unknown +>ARGMiner~~~vanYB~~~WP_002330522.1~~~glycopeptide~~~unknown MEKSNYHSNADHHKRHMKQSVEKRAFLCAFIISFTVCTLFLGRRLASVLEATQIPPIPATHTGSSTDVVENLEENALATAKEQGDEQEWSLILVNRQNPIPAQYDVELEQLSNGERIDIRISPYLQDLFDAARTDGVYPIVASGYRTTEKQQEIMDEKIAEYKAKGYTSAQAKAEAETWVAVPGTSEHQLGLAVDINADGIHSTGNEVYRWLDENSYRFGFIRRYPPDKTEITGVSNEPWHYRYVGIEAATEMYNQGVCLEEYLKPEK ->ARGMiner~~~macB~~~WP_000188134.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188134.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTELVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~WP_001174976.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174976.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILSQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~tolC~~~WP_033084925.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_033084925.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSDQAPRESDLLSAGINFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDHLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDNAKNTSGEEYNDFKIGVNLKVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~macB~~~WP_065554100.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_065554100.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDSQVAAQAERVIEIRDGEIVRNPPSHKPSTGRDIAEPTVKTASGWGQFASGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtN~~~YP_002295654~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~YP_002295654~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mdtN~~~WP_024246281.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_024246281.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASSVSGVDALVAQRAAVEADIVLTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTLATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mepA~~~WP_031881350.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031881350.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMSAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFSMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~mefA~~~WP_031577801.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_031577801.1~~~macrolide~~~unknown MEKYINWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYTELSVWMVMVVLFIRSIGTAFHSPALNAVTPLLVPEEQLTKCAGYSQSVQSISYIISPAAAALLYSVWKLNAIIAIDVLGAVIASITVAIVSIPKLGDQVQSLELNFLREMKEGIVALRQNKGLFALLLLGTLYTFVYMPINALFPLISMECFNGTPVHISITEIAFASGMLVGGLLLGRLGNFEKRVLLITGSFFIMGASLAVSGLLPPSGFVIFVACCAVMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSFAMPIGLILSGFFADRIGVNHWFLLSGILIIGIAIVCPMITEVRKLDLK ->ARGMiner~~~norA~~~WP_031271960.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031271960.1~~~fluoroquinolone;acridinedye~~~unknown MLYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRILGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPHKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAVVLVMLVLANGYWTIMMISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~Klebsiella pneumoniae acrA~~~ESM59081.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Klebsiella pneumoniae acrA~~~ESM59081.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPEVGIVTLKSAPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFVEGSDIQAGVSLYQIDPATYQASYDSAKGDLAKAQAAANMDQLTVKRYQKLLGTKYISQQDYDTAVATAQQSNAAVVAAKAAVETARINLAYTKVTSPISGRIGKSAVTEGALVQNGQTTALATVQQLDPIYVDVTQSSNDFLRLKQELADGRLKQENGKAKVELVTNDGLKYPQAGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGVNPDALLVPQQGVTRTPRGDASVMVVGEGDKVEVRQVTASQAIGDKWLVTDGLKSGDRVIVTGLQKIKPGVQVKAQEVASDDKQQAAGNAPSEQTKS ->ARGMiner~~~bcr-1~~~WP_042093261.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_042093261.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKMADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~macB~~~WP_001602089.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001602089.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKLRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~novA~~~WP_032755430.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_032755430.1~~~aminocoumarin~~~unknown MKPDEPVWTPPPDAASDRPPGEVRRILRLFHPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMNSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTKGFTEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWVAGLTFQPGGTAVSIGTLVAFVTLQQGLFRPTVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEKPVRLEKIRGEIAFENVDFAYDDKSGPTLSSIDVTVPAGNTLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPEATDEEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLDSGRIAERGTHDELLDRDGRYAALIRRDSHRAPVPAS ->ARGMiner~~~macA~~~WP_045714835.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045714835.1~~~macrolide~~~unknown MVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mdtH~~~WP_004721200.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_004721200.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIRFVDQLGWAAVIVGAALGLRQFIQQGLGIFGGAIADRFGAKPMIVIGMLLRAAGFALMAMADQPWILWLACALSALGGTLFDPPRTALVIKLVRPHERGRFYSILMMQDSAGAVVGALLGSWLLQYDFHLVCWVGAFIFVLAAIWNAWLLPAYRISTVRTPMKEGMMRVIRDKRFLTYVLTLTGYYMLAVQVMLMLPIVVNEVAGSPAAVKWMYAIEAILSLTLLYPIARWSEKHFRLEQRLMAGLFIMSLSMFPIGMTDSLHTLFMLICFFYMGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWMYDTGKSLALPELPWFLLGVIGLITLAGLYWQFNQRRIEPAMLSGG ->ARGMiner~~~nalC~~~WP_003162522.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_003162522.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDDETLYAVACQFLEMLKADLFLKALSVADFQPTMTLLETRLKLSVDIIACYLEHLSQSPAQG ->ARGMiner~~~mdtH~~~WP_047256484.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047256484.1~~~fluoroquinolone~~~unknown MAQVSQARSLGKYFLLFDNMLVVLGFFVVFPLISIHFVEQLGWPALVVGFALGLRQLTQQGLGIFGGAIADRFGAKPMIIIGMLMRAAGFAVMAMANEPWILWFSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLQYDFHYVCWVGAAVFVLAALFNAWLLPAYRISTAKTPIREGLSRVLHDKRFVNYVITLAGYFILAVQVMLMFPIIINELAGTPTAVKWMYAIEATISLSLLYPIARWSEKYFRLEQRVMAGLFLMSISMIPIGLTHSLPLLFGLISLFYLGMIIAEPARETLSASLADPRARGSYMGFSRLGLALGGAVGYTGGGWLYDIGHQYHMPHLPWFLLGVIGFITIWALHRQFNQKKIETAMLNGN ->ARGMiner~~~Escherichia coli ampC~~~WP_001577568.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001577568.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWTPGTQRLYANTSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLTQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKAITPPAPAVRASWIHKTGATGGFGSYVAFIPEKELGIVMLANKNFPNPARVAAAWQILNALQ ->ARGMiner~~~Escherichia coli ampC~~~WP_052930349.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_052930349.1~~~cephalosporin;penam~~~unknown MLKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARVEIKLSDPTTKYWPELTSKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNTLQ ->ARGMiner~~~mdtH~~~WP_023335256.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023335256.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEAALSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~arnA~~~WP_020437465.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_020437465.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWIDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNEGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~Escherichia coli ampC~~~WP_024247391.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_024247391.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQRIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVHASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~Escherichia coli ampC~~~WP_021557459.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_021557459.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~FosA2~~~WP_063158671.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063158671.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEADFAPFSHRLEQAGVTVWKQNKSAGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~mdtN~~~WP_001564384.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_001564384.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGMDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~otr(B)~~~YP_001419960~~~tetracycline unknown +>ARGMiner~~~otr(B)~~~YP_001419960~~~tetracycline~~~unknown MEQTAAKDASTKFVVIGLLLGILMSAMDNTIVATAMGNIVADLGSFDKFAWVTASYMVAVMAGMPIYGKLSDMYGRKRFFLFGLILFLIGSALCGIAQTMDQLIIYRVIQGIGGGALMPIAFTIIFDLFPPEKRGKMSGMFGAVFGLSSVLGPLLGALITDSISWHWVFYINVPIGILSLFFILRYYKESLEHKKQKIDWAGAITLVVSIVGLMFALELGGKTYDWNSVQIIGLFAVFAVFFIAFFIVERKAEEPIISFWMFKNRLFATAQILAFLYGATFVILAVFIPIFVQAVYGSTATSAGFILTPMMIGSVIGSMIGGIFQTKVRFRTLMLISVVAFFIGMLLLSNMTPDTARTMLTVFMLISGFGVGFNFSLLPAASMNDLEPRYRGSANSTNSFLRSFGMTLGVTIFGTIQTNVFTNKLTDSFSGMKGAGGAMQNIGNPQEIFQAGTRAHIPPHILDRIIDAMSQSITYVFTLALAPIVIAAVTILFMGKARVKTSQEMVKKAN ->ARGMiner~~~msrA~~~EVQ77821.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~EVQ77821.1~~~macrolide;streptogramin~~~unknown MPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSLHDIT ->ARGMiner~~~lsaB~~~WP_000061777.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061777.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFLNLLLGNYEYSGKILASVEFNYFPYPVADKNKFTHEILEEICPQAEGWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGDYSSWKLNFDRQQEHEQATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKVIEEKSKLLKNVEKTESLKLEALKFKSNELVILADVSVKYDDQVVNEPISFIVEQDDRIVLDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDYIEEQKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~mdtG~~~WP_033646458.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_033646458.1~~~fosfomycin~~~unknown MASAAEPVNWKRNLFVAWVGCFLTGAAFSLVMPFLPLYVETLGVTGHQALNMWSGLLFSITFLFSAIAAPFWGALADRRGRKLMLLRSALGMAIVMVLMGMAQTIWQFLALRAVLGLLGGFIPNANALIATQVPRNRSGWALGTLSTGGVGGALIGPLIGGLLADLYGLRPVFYITAAVLFVCFVLTLLYVKEQFTPVQKRDMLHARQVFASLKNPKLVLSLFVTTMIIQIATGSIAPILTLYVRDLAGATHNLAFISGLIASVPGVAALMSAPRLGKLGDRIGPERILVFMLIVSVLLLIPMAFVQTPWQLGVLRFLLGAADGALLPAVQTLLIYNCTNQVAGRIFSYNQSFRDVGNVSGPLLGAAVSAGYGFRAVFAVTALVVLFNAGYSWWCLRRRPGYMREDTLQEEQ ->ARGMiner~~~macA~~~WP_033911415.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_033911415.1~~~macrolide~~~unknown MVIFIVGSLPPWMGRGLAVESASDLWDWRIQRIENMAKMMKWAAVAAVAAAAVWGGWSYLKPEPQASYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEMTAAEQQESSERAMGGPPR ->ARGMiner~~~emrA~~~WP_044694641.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_044694641.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTARASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~mdtF~~~WP_001567066.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001567066.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLGDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~vanRO~~~WP_059193686.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_059193686.1~~~glycopeptide~~~unknown MRVLIVEDEPYLAEAVRDGLRLEAIAADIAGDGDTALELLSVNSYDIAVLDRDIPGPSGDEVARRIVASGSGMPILMLTAADRLDDKASGFELGADDYLTKPFELRELALRLRALDRRRAHSRPPVREIAGLRLDPFRREVYRDGRFVALTRKQFAVLEVLVAAEGGVVSAEELLERAWDENADPFTNAVRITVSALRKRLGEPWIIATVPGVGYRIDSGAGGDRG ->ARGMiner~~~L1 beta-lactamase~~~WP_049408740.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049408740.1~~~cephalosporin~~~unknown MRLCLTTLALTATLAFDVTAADAPLPQLQAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMAGHLLDNMKVRGVAAQDLRLILLSHAHADHAGPVAELKRRTGASVAANAESAVLLARGGSNDLHFGDSITFPPASTDRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGQPVRIAYADSLSAPGYQLQGNARYPRLVEDYRRSFATVRALPCDLLLTPHPGASNWNYAAGAEAGANALTCKAYADAAEKKFDAQLAKETATAR ->ARGMiner~~~MexB~~~WP_003121760.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_003121760.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLAGGLSILSLPVNQYPAIAPPAIAVQVSYPGASAETVQDTVVQVIEQQMNGIDNLRYISSESNSDGSMTITVTFEQGTDPDIAQVQVQNKLQLATPLLPQEVQRQGIRVTKAVKNFLMVVGVVSTDGSMTKEDLSNYIVSNIQDPLSRTKGVGDFQVFGSQYSMRIWLDPAKLNSYQLTPGDVSSAIQAQNVQISSGQLGGLPAVKGQQLNATIIGKTRLQTAEQFENILLKVNPDGSQVRLKDVADVGLGGQDYSINAQFNGSPASGIAIKLATGANALDTAKAIRQTIANLEPFMPQGMKVVYPYDTTPVVSASIHEVVKTLGEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPREAARKSMGQIQGALVGIAMVLSAVFLPMAFFGGSTGVIYRQFSITIVSAMALSVIVALILTPALCATMLKPIEKGDHGEHKGGFFGWFNRMFLSTTHGYERGVASILKHRAPYLLIYVVIVAGMIWMFTRIPTAFLPDEDQGVLFAQVQTPPGSSAERTQVVVDSMREYLLEKESSSVSSVFTVTGFNFAGRGQSSGMAFIMLKPWEERPGGENSVFELAKRAQMHFFSFKDAMVFAFAPPSVLELGNATGFDLFLQDQAGVGHEVLLQARNKFLMLAAQNPALQRVRPNGMSDEPQYKLEIDDEKASALGVSRADINSTVSIAWGSSYVNDFIDRGRVKRVYLQGRPDARMNPDDLSKWYVRNDKGEMVPFNAFATGKWEYGSPKLERYNGVPAMEILGEPAPGLSSGDAMAAVEEIVKQLPKGVGYSWTGLSYEERLSGSQAPALYALSLLVVFLCLAALYESWSIPFSVMLVVPLGVIGALLATSMRGLSNDVFFQVGLLTTIGLSAKNAILIVEFAKELHEQGKGIVEAAIEACRMRLRPIVMTSLAFILGVVPLAISTGAGSGSQHAIGTGVIGGMVTATVLAIFWVPLFYVAVSTLFKDEASKQQASVEKGQ ->ARGMiner~~~emrA~~~WP_023206556.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_023206556.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFERAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQARLDVAIQQYNANQAMILNSKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~macA~~~WP_001124210.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124210.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSAPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~tetS~~~Q48712~~~tetracycline unknown +>ARGMiner~~~tetS~~~Q48712~~~tetracycline~~~unknown MEEIKLKIINIGILAHVDAGKTTLTESLLYSSGRIKELGSVDSGTTKTDTMFLERQRGITIQTAITSFQRENVKVNIVDTPGHMDFLADVYRSLSVLDGAILLISAKDGVQSQTRILFHALRKMNIPIIFFINKIDQNGINLPDVYQDIKDKLSDDIIIKQTVNLNLKPYVIDYTEPEQWETVIVGNDYLLEKYTIGKTLNIAELEKEENERIQSCSLYPVYHGSAKNNIGIKQLIEVITSKLFSPTQLNSDKLCGNVFKVEYSDDGQRLVYVRLYSGTLHLRDSVNISEKEKIKVTEMYTSINGELRQIDKAEPGEIIILKNELLKLNNVLGDKKRLPHREILENPLPMLQTTIEPCKSVQREKLLDALFEISDSDPLLQYYVDTVTHEIVLSFLGEVQMEVTCTLIQEKYHIEIETRKPTVIYMERPLKKSEFTIDIEVPPNPFWASIGLSVTPLPLGSGIQYESLVSLGYLNQSFQNAVMEGIRYGCEQGLYGWKLTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQAFRKSGTELLEPYLSFEIYVPQEYLSRAYNDASKYCANILNTKLKGNEVILIGEIPARCIQEYRNSLTFFTNGRSVCLTELKGYQVTNIKSAFQPRRPNNRIDKVRHMFNKINLH ->ARGMiner~~~FosB~~~WP_064474184.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_064474184.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEKSIIFYEKVLEGELLVKGRKLAYFNICGVWVSLNEETHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCKSIYFVDPDGHKFEFHSGTLQDRLNYYREDKSHMTFY ->ARGMiner~~~mdtN~~~EFP98907.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~EFP98907.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRLGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~sdiA~~~WP_016240021.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_016240021.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYINYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFNEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRSSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~sdiA~~~WP_014229875.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_014229875.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRREMLQQFQSVSAGEGVYHLLQQEAKGLEYDYFALCVRHPVPFTRPRVTLQSTYPQAWMAHYQAENYLAIDPVLRKENFLRGHLPWNDKLFHDTPELWDGARDHGLRKGVTQCLTLPNHAQGFLSVSGTSHSQGPFAEDELEMRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~tolC~~~WP_063147892.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063147892.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPEQDAAVDNFNANGSVPVAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~mdtE~~~WP_001604024.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001604024.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDESAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGTLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQAKINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~OprN~~~WP_033966812.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_033966812.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDEVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~mdtB~~~WP_001197874.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_001197874.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALLIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~AAC(6')-31~~~WP_057272322.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-31~~~WP_057272322.1~~~aminoglycoside~~~unknown MHTNHGSVTLRLMTEQDLPMLHDWLNRPHIVEWWGGEEARPTLAEVQQEYLPSALAKESVTPYIAMLDNEPMGYAQSYVALGSGSGWWEDETDPGVRGIDQSLADPSQLGKGIGTKLVRALVEMLFKDPEVTKIQTDPSPDNLRAIRCYEKAGFMPQQTIDTPDGPAVYMVQTRRAFELARGAV ->ARGMiner~~~mdtM~~~WP_001422865.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001422865.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATIALSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANTIVARFIKDPTEPRFIWRAVPIQLAGLALLIVGNLLSPHVWQWSVLGTSLYAFGIGLIFPILFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mdtH~~~WP_053883673.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053883673.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSSLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~mecA~~~WP_031873770.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031873770.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKENIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~OprN~~~WP_034052379.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_034052379.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTATAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~sul1~~~WP_042079629.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_042079629.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLRPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~mdtF~~~WP_001600655.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001600655.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLSSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~blaF~~~WP_064913515.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_064913515.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGGAAPAFAAPIDDQLAELERRDNVLIGLYAANLQSGRRITHRPDEMFAMCSTFKGYAAARVLQMAEHGEISLDNRVFVDADALVPNSPVTETRAGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAILAGDALSPPQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPGGQRLLLVMMTRSQAHDPKAENLRPLIGELTGLVLPSLL ->ARGMiner~~~macA~~~WP_023305794.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023305794.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLSRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGMLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAQ ->ARGMiner~~~mdtH~~~WP_023338245.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023338245.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPDWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVSSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYTGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~mdtB~~~WP_021539983.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_021539983.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALMIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPREAIYQACLLRFRPILMTTLTALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~cmeB~~~WP_002868922.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002868922.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~ErmC~~~WP_014158488.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmC~~~WP_014158488.1~~~macrolide;lincosamide;streptogramin~~~unknown MNEKNIKHSQNFITSKHNIDKIMTNIRLNEHDNIFEIGSGKGHFTLELVQRCNFVTAIEIDHKLCKTTEHKLVDHDNFQVFNKDILQFKFPKNQSYKIFGNIPYNISTDIIRKIVFDSIANEIYLIVEYGFAKRLLNTKRSLALLLMAEVDISILSMVPREYFHPKPKVNSSLIRLNRKKSRISHKDKQKYNYFVMKWVNKEYKKIFTKNQFNNSLKHAGIDDLNNISFEQFLSLFNSYKLFNK ->ARGMiner~~~mfpA~~~WP_057366755.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_057366755.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVAFRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~acrE~~~WP_040211911.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_040211911.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSGLIISALLLTGCDNSDNQQPHAQAPQVTVHVVNSTPLSVTTELPGRTSAFRVAEVRPQVSGIILKRNFVEGSDVEAGQSLYQIDPATYQAAWNSAKGDEAKAEAAAAIAHLTVKRYVPLLGTKYISQQEYDQAVATARQADADVIATKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVTNGQSDALATVQQLDPIYVDVTESSNDFMRLKQESLQRGGDTKSVELVMENGQAYPLKGSLQFSDVTVDESTGSITLRAIFPNPQHVLLPGMFVRARIDEGVDPQAILVPQQGVTRTPRGDASVMLVNDKNQVETREVVATQAVGDKWLITSGLKPGDKVIVSGLQKVRPGVTVKAEAERTAPAVQ ->ARGMiner~~~hmrM~~~WP_032735794.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032735794.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRDRIAQQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARSMRDIRCAERFSRPDVAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMREQIALLYNDNPEVVLLASRLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMVVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~FosB~~~WP_042635045.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_042635045.1~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFNAIHQRLKNLNVSILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~emrB~~~WP_001600385.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001600385.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAACFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~FosB~~~WP_048034207.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_048034207.1~~~fosfomycin~~~unknown MPQLQGLNHLLFSVSDLEKSFCFYRDILHAKPLVRGRKLAYFDLNGYWLALNEEPDIPRNEIAHSYTHMAFTITEESFDEWCAHLEKHGVTILHGRDRSERDKRSIYFTDPDGHKFELHTGTLQDRLTYYRDEKHHMTFFE ->ARGMiner~~~acrF~~~CP000647.1.gene3710.p01~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~CP000647.1.gene3710.p01~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MSKFFIHRPVFAWVLAIIMMIAGGLAILQLPIAQYPTIAPPAVAISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFKSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLLVAGFISDNPTTTQDDISDYVASNVKDPISRLNGVGDVQLFGAQYAMRVWLDGNLLNKYNLTPVDVINALQVQNDQIAAGQLGGTPALKGQQLNASIIAQTRLKDPQEFGKVTLRVNADGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPYFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNMRATLIPTIAVPVVLLGTFAVLSMFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMVEEKLSPKEATEKSMSQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALVLTPALCATLLKPASAEHHEKKGFFGWFNARFDRSVNHYTNSVSGILRGTGRYLVIYLLIVVGMAVLFMRLPTSFLPDEDQGVFLTMIQLPSGATQERTQKVLDTVTDYYLHNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEARSGDKNSVESIIKRATVAFSQIKDAMVFPFNMPAIIELGTATGFDFELIDQGGLGHTALTQARNQLLGMVKQHPDQLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAHFRMLPSDINNMYVRSANGEMVPFSAFVTSRWIYGSPRLERYNGLPSMEILGEASPGKSTGEAMALMETLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGIIEATLEASRMRLRPILMTSLAFILGVMPLVISHGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVRRRFTRHAE ->ARGMiner~~~MexF~~~KPX33230.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~KPX33230.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAAKAQRLQNLPAEMH ->ARGMiner~~~emrA~~~WP_048998549.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_048998549.1~~~fluoroquinolone~~~unknown MSANAESTTPQQPANKKGKRKSALLLLTLLFIIIAVAYGIYWFLVLRHAEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAKTALASSVRQTRQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGTANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMVLGTSLENQPAVQQAATEVRNAWLALQRTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPADNLWVDANFKETQLAHMRIGQTATVVSDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLADHPLRIGLSTLVTVDTANRDGQILASQVRSSPVYESNAREISLDPVNKLIDDIVKANAG ->ARGMiner~~~sdiA~~~WP_001154270.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154270.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVCESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~FosA2~~~WP_063931684.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063931684.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARRNVPPQESDYTHYAFTVAEADFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~iri~~~WP_007727353.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_007727353.1~~~rifamycin~~~unknown MSDVIIVGAGPTGLMLAGELRLQGVDVVVVDKDEEPTQFVRALGIHVRSIEIMEQRGLLDKFLAHGRKYPLGGFFAGISKPAPAHLDTAHGYVLGIPQPEIDRILAEHATEVGADIQRGKRVVAIRQDTDSVTAELSDGTTLHARYLVGCDGGRSTVRKLIDVGFPGEPSSADTLIGEMDVTMPADELAAVVAEIRETHKRFGVGPAGNGAFRVVVPAAEVADGRATPTTLDDIKQQLLAIAGTDFGVHSPRWLSRFGDATRLAEHYRRDRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPDVLLDTYESERRPVAADVLDNTRAQAELISTAAGPQAVRRLISELMEFEDVKRYLTEKITAISIRYDFGEGDDLLGRRLRNIALTRGNLYDLMRSGRGLLLDQGSQLAVDGWSDRVDHVVDTSTELDSPAVLLRPDGHVAWVGDSQVELDTQLSTWFGRPATGPV ->ARGMiner~~~patB~~~WP_009925105.1~~~fluoroquinolone unknown +>ARGMiner~~~patB~~~WP_009925105.1~~~fluoroquinolone~~~unknown MSQFDEVIPRIGTNSEKWDGAEELFGRKDIIPMWVADMDFRAPKPVLDAFQRQIDHGIFGYSTKSKALVEAVIDWNKEQHQFEIDPSTLFFNGAVVPTISLAIRSLTNEGDAVLMVSPIYPPFFNVTKATERKVVMSPLIYENRQYRMDFNDLEKRMKEENVKLFLLCNPQNPGGRCFTKEELVELAKLCEKYQIPIVSDEIHADLVMKNHKHVPMMVAAPFYQDQIITLMAATKTFNLAAIKASYYIITNKDYQTRFAAEQKYATTNGLNVFGIVGTEAAYRHGAPWLKELKEYIYSNYEYVKAELEKEVPEVGVTDLEATYLMWLDCRALPKDEKTIYTDLIEAGVGVQMGSGFGHSGKDFVRFNIACPKETLEKAVKLLIQGLKK ->ARGMiner~~~tolC~~~WP_020899264.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_020899264.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLFYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~emrA~~~CP000647.1.gene3014.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~CP000647.1.gene3014.p01~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKGDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~macB~~~WP_057524365.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_057524365.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVGVLDANTRRQLFPNKANVVGEVVLAGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_032707762.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032707762.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGLVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTAALLPIGLTSTLQQLFTLICIFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKVLNQPELPWLMLGIIGFATFIALWWQFSQKRSASGMLEPRT ->ARGMiner~~~SRT-2~~~WP_049234464.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_049234464.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAQAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEETLFEVGSLSKTFTATLAVYAQQQGKLSFNDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTNNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAVQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAATHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIVQALEKR ->ARGMiner~~~arnA~~~WP_032283827.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032283827.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMHETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~MexE~~~WP_023081313.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_023081313.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEQPKVAASKDNATRNEPRG ->ARGMiner~~~norA~~~WP_002471790.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_002471790.1~~~fluoroquinolone;acridinedye~~~unknown MKKQFLILYFNIFLVFLGIGLVIPVLPVYLKDLGLKGSDLGILVAVFALAQMIISPFGGTLADKLGKKLIICIGLVLFSISEFLFATSHTFSLLIVSRVLGGWSAGMIMPGVTGMIADISNPKDKAKNFGYMSAIINSGFILGPGLGGFLAEFSHRLPFYMAGTTGVLALILSVTLLHNPKHHTQAGFTHYQTDVLGKINWKVFVTPVLITLILAFGLSAFETLFPLYTADKAHYQPFDISIAITGGGILGAIFQVLLFDKFMKYLKELTFINYALVYSGIILISLTFASHYWTIMIISFVVFIGFDMIRPALTNYFSNIAGNRQGFAGGLNSTFTSMGNFIGPLVAGGLYDLNYNFPLYMSTLVMVLGIGVIFIEKALRKRKV ->ARGMiner~~~bcrA~~~WP_000074574.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074574.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLGLIKPTSGTIEIFGEDLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVHKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGKLLEEVSLDTLRKANRKYIEFQVNNDSKATMLLENQFQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNDIEVLKMMMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~tolC~~~WP_042946052.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_042946052.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDAKDQNSDVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVDGFKTNKPSAVNALLKEAESRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSSVSNNSYSGSKNTTQDRDIGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~mecI~~~CAH17611.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~CAH17611.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKRG ->ARGMiner~~~adeG~~~WP_034206052.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_034206052.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTTRSRIATAAIVTLAVVGLGTFGAMRVNANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQTDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARSGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGTLVPGLYARVKVGGSAPHEALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQPGMQHGNRRVIVSGLAAGDRVIVNGTQRVRPGEQVKPHMVPMTGGDDPAAPLASTAKPAAPAKADS ->ARGMiner~~~tolC~~~WP_040188893.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_040188893.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGISNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQNSGSNPFRQ ->ARGMiner~~~TEM-1~~~ANG09900.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG09900.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEVGASLIKHW ->ARGMiner~~~mtrE~~~EHP14468.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~EHP14468.1~~~macrolide;penam~~~unknown MPSERPRVFRRHQDFFMDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGSVDTHSAELGGLFKSGTGVWLFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYESAVQSAFQDVANALTAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~lmrP~~~WP_010989881.1~~~macrolide;lincosamide;streptogramin;tetracycline unknown +>ARGMiner~~~lmrP~~~WP_010989881.1~~~macrolide;lincosamide;streptogramin;tetracycline~~~unknown MFRELHPNIRARILIQFLSKVIGSMIFPFMAIYFSMEINSSVAGFLLMINVLAQFLAGMYGGHLADIIGRKKLMVTGELLKVFAFLGMVLCNSPMFHSPWITFVMLLIIGVAQGLINPAGEAMLIDVSTLENRSFMYSVSYWANNLSIMIGIMVGGWFFVDYLFPLLVVLFIMSFVTAWLTISLISETLQQKEMPHKGSYGLMGMLKNYGQVLHDYRFLLYTIGGIAIMSIEFQRSNYISVRLAEDVKALLVHLGPLGNISLNGVQIVSVLTAVNTLFIVLFTVPIARFVTKRAQQPIMYVGFTLFALGFAVCAFANNLAVLLLATMVLSIGELLYVPTRQTILAAIVDDDKRGAYMAFNGIIFQIGKMIGSVSLVFAPFIGKYGMGAFTIILGILSIVFSAVALKSGWEKVLVK ->ARGMiner~~~AQU-1~~~WP_026141765.1~~~cephalosporin unknown +>ARGMiner~~~AQU-1~~~WP_026141765.1~~~cephalosporin~~~unknown MKQTSPLSLLALSALLLSPLTQAAPADPLVGVVDDVIRPLVKEHRIPGMAVAVLKGGQAHYFNYGVAELATGKKVSEQTLFEIGSVSKTLTATLGAYAVVKGSIGLDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVESLEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGYLAASSLQQPFAQLMEQTLLPGLGLHHTYINVPKQAMANYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANISGVDDKGLQQAIALTHQGRYSVGGMTQGLGWESYPYPVSEQTLLAGNSAQVIMKANPTTAEPKEMGSQRLFNKTGSTNGFGAYVAFVPAKGVGIVMLANRNYPIQERVKAAHAILSKLAP ->ARGMiner~~~Escherichia coli ampC~~~WP_032248630.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_032248630.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEHAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVDAAWQILNALQ ->ARGMiner~~~mdtB~~~WP_001197788.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_001197788.1~~~aminocoumarin~~~unknown MQVLPPGSTGGPSRLFILRPVATTLLMAAILLAGIIGYRFLPVAALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVVTLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPIYSKVNPADPPIMTLAVTSNAMPMTQVEDMVETRVAQKISQVSGVGLVTLAGGQRPAVRVKLNAQAVAALGLTSETIRTAITGANVNSAKGSLDGPERAVTLSANDQMQSADEYRKLIIAYQNGAPVRLGDVATVEQGAENSWLGAWANQAPAIVMNVQRQPGANIIATADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVRDTQFELMLAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAVTLAVAILISAVVSLTLTPMMCARMLSQQSLRKQNRFSRACERMFDRVIASYGRGLAKVLNHPWLTLSVAFATLLLSIMLWIVIPKGFFPVQDNGIIQGTLQAPQSSSYASMAQRQRQVAERILQDPAVQSLTTFVGVDGANPTLNSARLQINLKPLDARDDRVQQVISRLQTAVATIPGVALYLQPTQDLTIDTQVSRTQYQFTMQATTLDALSHWVPKLQNALQSLPQLSEVSSDWQDRGLAAWVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTASTPGLAALETIRLTSRDGGTVPLSAIARIEQRFAPLSINHLDQFPVTTFSFNVPEGYSLGDAVQAILDTEKTLALPADITTQFQGSTLAFQAALGSTVWLIVAAVVAMYIVLGVLYESFIHPITILSTLPTAGVGALLALIIAGSELDIIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIFQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIAMVGGLLVSQVLTLFTTPVIYLLFDRLSLYVKSRFPRHKEEA ->ARGMiner~~~mgrA~~~WP_058102369.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_058102369.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVTSASSLSQDEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~mecI~~~OBV23505.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~OBV23505.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITKLYKKGFIDRKKDNKIF ->ARGMiner~~~iri~~~WP_020907004.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_020907004.1~~~rifamycin~~~unknown MSDVIIVGAGPTGLMLAGELRLQGVDVVVVDKDEEPTQFVRALGIHVRSIEIMEQRGLLDKFLAHGRKYPLGGFFAGISKPAPAHLDTAHGYVLGIPQPEIDRILAEHATEVGAEIQRGKRVVAIRQDADKVTAELSDGTTLHARYLVGCDGGRSTVRKLIDVGFPGEPSSADTLIGEMDVTMPADELAAVVAEIRETHKRFGVGPAGNGAFRVVVPAAEVADGRATPTTLDDIKQQLLAIAGTDFGVHSPRWLSRFGDATRLAEHYRRDRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEINGWAPVGLLDTYESERRPVAADVLDNTRAQAELISTAAGPQAVRRLISELMEFEDVKRYLTEKITAISIRYDFGEGDDLLGRRLRNIALTRGNLYDLMRSGRGLLLDQCGQLSVDGWSDRVDHIVDTSTELEAPAVLLRPDGHVAWVGDTQAELDTQLSKWFGRPTA ->ARGMiner~~~emrA~~~WP_039061690.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_039061690.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVFPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~TEM-1~~~ANG36371.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG36371.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFFHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mecR1~~~EZS97110.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~EZS97110.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~FosB3~~~WP_049684132.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_049684132.1~~~fosfomycin~~~unknown MIAIKVGDILPQLQGLNHLLFSVSDLEKSFCFYRDVLHAKPLVRGRKLAYFDLNGYWLALNEEPDIPRNEIAHSYTHMAFTITEESFDEWYAHLEKHGVTILHGRDRSERDKRSIYFTDPDGHKFELHTGTLQDRLAYYRDEKHHMTFFE ->ARGMiner~~~FosB~~~WP_002090713.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002090713.1~~~fosfomycin~~~unknown MEQSINHICFSVSNLEKAIEFYQNILQAKLLVKGRKLAYFDLNGLWIALNVEESIPRNEIQYSYTHIAFTVSNNEFDSLKEILIQNHVNILPGRERDERDKRSIYFTDPDGHKFEFHTGTLQDRLQYYKEDKKHMTFY ->ARGMiner~~~tolC~~~WP_050939620.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_050939620.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGVSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYSDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~floR~~~WP_050963390.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_050963390.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSMLAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGVALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCIQSLIVSIVGTLAVTLLNGDTAWPVICYATAMAVLVSLGLALLRSRDAATEKSPVV ->ARGMiner~~~mdtA~~~EFX49840.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~EFX49840.1~~~aminocoumarin~~~unknown MFHNSSIFLPSLLTTIEEATFRKTFQDEKLIPMKGSNTFRWAIAIGVVVAAAAFWFWHSRSESPTAAPGVAAQAPHTASAGRRGMRDGPLAPVQAATATTQAVPRYLSGLGTVTAANTVTVRSRVDGQLIALHFQEGQQVNAGDLLAQIDPSQFKVALAQAQGQLAKDNATLANARRDLARYQQLAKTNLVSRQELDAQQALVNETQGTIKADEANVASAQLQLDWSRITAPVSGRVGLKQVDVGNQISSSDTAGIVVITQTHPIDLIFTLPESDIATVVQAQKAGKALVVEAWDRTNSHKLSEGVLLSLDNQIDPTTGTIKIKARFTNQDDTLFPNQFVNARMLVDTEQNAVVVPAAAVQMGNEGHFVWVLNDENNVSKKRVKIGIQDNRNVVISAGLSAGDRVVTDGIDRLTEGAKVEVVEPQTTVADEKSPSRHEGQKGARA ->ARGMiner~~~sdiA~~~CZV39326.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~CZV39326.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLYVLGYIHHNNDYLYQRLHSVSMRDIDFFTWRRECFLRFQEMTCAEEVYQELQRQTQALEFDYYALCVRHPVPFTRPRTSVHSSYPQQWMAQYQSENYFAIDPVLKPENFIHGHLPWTDELFTDAQQLWDGARDHGLRKGITQCLMMPNHALGFLSVSCTSLQAHSISSEEVELRLQMLVQMALTTLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKIQIACYAAATGLI ->ARGMiner~~~sul2~~~WP_052917825.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_052917825.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSHTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~mdtB~~~WP_001197914.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_001197914.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGTNIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALLIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPREAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~Clostridium butyricum catB~~~WP_015393553.1~~~phenicol unknown +>ARGMiner~~~Clostridium butyricum catB~~~WP_015393553.1~~~phenicol~~~unknown MNFNLIDINHWSRKPYFEHYLNTVKCTYSMTANIEITDLLYEIKLKNIKFYPTLIYMIATVVNNHKEFRICFDHKGSLGYWDSMNPSYTIFYKENETFSSIWTEYNESFLRFYSDYIDDIKNYGNIMKFTPKSNEPDNIFPVSSIPWVSFTGFNLNVYNEGTYLIPIFTAGKYFKQENKIFIPISIQVHHAVCDGYHASRFINEMQELAFSFQEWLENK ->ARGMiner~~~CTX-M-3~~~AIC64325.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64325.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADAQQKLAELERQSGGRLGVALINTADNSQILYRANERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLATWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~tolC~~~WP_059360190.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_059360190.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPEAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGTTPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~mdtN~~~WP_047577891.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_047577891.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMTTVRAPFDGRIISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPTTIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mecI~~~CDH98055.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~CDH98055.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MTHEGYDISASEWEIMNTIWNKKLISANDVIEIVQQRKEWSPKTIRTLINRLYKKKFIDRISRNKIFEYFPRVEEKDMKYKTSKVFLDKVYEGGLNSLVLNFVENEELSEDDIEELKNILNTKKD ->ARGMiner~~~baeR~~~ENU25063.1~~~aminoglycoside;aminocoumarin unknown +>ARGMiner~~~baeR~~~ENU25063.1~~~aminoglycoside;aminocoumarin~~~unknown MKHIMLVEDEVELAQLVRDYLEAAGFEVSMFHDGQDAYTQFQQRKPSLMILDLMVPRMDGLTICRKVREQSDLPIIMVTARTEEIDRVLGLNMGADDYICKPFSPKELVARVQAVLRRLERKAEPEQNDLFRIDKAQQRIWYQQKALTLTPTEFRLLELFLEHLGQVYSRAQLLDHINPDSFDVADRVIDSHIKNLRRKISEAADTGNRHEWIQAVYGVGYRFEYPDE ->ARGMiner~~~FosB~~~WP_000943767.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000943767.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMKFY ->ARGMiner~~~FosA2~~~WP_023324090.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_023324090.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVSFWHALLGLTLHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVAEADFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~sul2~~~WP_058998952.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_058998952.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGEADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~mexH~~~WP_023099175.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_023099175.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHTRARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~QnrB10~~~WP_012772744.1~~~fluoroquinolone unknown +>ARGMiner~~~QnrB10~~~WP_012772744.1~~~fluoroquinolone~~~unknown MASLLYKNTGIDMTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDSYQASLLMERLGIAVIG ->ARGMiner~~~mdtH~~~WP_011146344.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_011146344.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIHFVEQLGWAALVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVTGMLLRALGFAFIALATEPWILWLACILSALGGTLFDPPRTALVIKLTRPHERGRFFSLLLMQDSAGAVIGALIGSWLLQYDFQFVCWTGAGVFVLAAIWNALFLPAYRISTTRTPIWEGMERVIKDRRFFTYVLTLTGYFMLSVQVMLMFPIIVNEIAGTPAAVKWMYAIEATLSLTLLYPIARWSEKRFRLEQRLMAGLFLMSLSMFPVGLIGEINTLFGLICLFYLGTVTAEPARETLSASLADPRARGSYMGFSRLGLALGGALGYTGGGWLYDTGHALNIPQLPWFLLGIIGLITLYALHRQFNQRKIESAMLSGN ->ARGMiner~~~mdtN~~~WP_042037551.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_042037551.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVILRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~APH(6)-Id~~~WP_057202378.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_057202378.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRXLGRENNLMLLEYAGERMXSHIVXEHGDYQATEIAAELMAKXYAAXEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVJDPVGLVGEVGFGAANMFYDPADRDDLCLDPXRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNAXGEEEQRDLAIXXAIKQVRQTXY ->ARGMiner~~~mtrE~~~CAM10820.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~CAM10820.1~~~macrolide;penam~~~unknown MTSERLRVFRRHQDFFMDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPEDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGTVGTGSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYESAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~mdtM~~~WP_032298721.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032298721.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVSFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIIPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGLIVVFTLAGLLNRVRQHQTAELAEER ->ARGMiner~~~mgrA~~~WP_053863054.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_053863054.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDIIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~mdtC~~~WP_001210078.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_001210078.1~~~aminocoumarin~~~unknown MRFFALFIYRPVATILIAAAITLCGILGFRLLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVNEMTSSSSLGSTRIILEFNFDRDINGAARDVQAAINAAQSLLPGGMPSRPTYRKANPSDAPIMILTLTSESWSQGKLYDFASTQLAQTIAQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDEVREAIDSANVRRPQGAIEDSVHRWQIQTNDELKTAAEYQPLIIHYNNGAAVRLGDVASVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDGIRAKLPELRAMIPAAIDLQIAQDRSPTIRASLQEVEETLAISVALVILVVFLFLRSGRATLIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVISMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLVVSLTLTPMMCGWMLKSSKPRTQPRKRGVGRLLVALQQGYGTSLKWVLNHTRLVGVVFLGTVALNIWLYIAIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVNNVTGFTGGSRVNSGMMFITLKPRGERKETAQQIIDRLRVKLAKEPGARLFLMAVQDIRVGGRQANASYQYTLLSDSLAALREWEPKIRKALSALPQLADVNSDQQDNGAEMNLIYDRDTMSRLGIDVQAANSLLNNAFGQRQITTIYQPMNQYKVVMEVDPRYSQDISALEKMFVINRDGKAIPLSYFAQWRPANAPLSVNHQGLSAASTIAFNLPTGTSLSQATEAINRTMTQLGVPSTVRGSFSGTAQVFQQTMNSQLILIVAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRSGGLTPEQAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKNSKPVVEI ->ARGMiner~~~CRP~~~WP_004718520.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~WP_004718520.1~~~macrolide;fluoroquinolone;penam~~~unknown MVLGKPQTDPTLEWFLSHCHIHKYPSKSTLIHQGEKAETLYYIVKGSVAVLIKDEEGKEMILSYLNQGDFIGELGLFEEGQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSAQMANRLQITSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~nalD~~~WP_023127796.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_023127796.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVPRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~mfpA~~~WP_057288258.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_057288258.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSDVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~mdtH~~~WP_045406643.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045406643.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFMLCALFNGLFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGFMTLLALWWQFSQKRSASSMLEPGA ->ARGMiner~~~norA~~~WP_002457636.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_002457636.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRILGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPQKATTDGFHQYQPELLTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKHMSELNFIAWSLLYSAIVLVMLVLANGYWTIMMISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~PER-1~~~ADI55020.1~~~monobactam;carbapenem;cephalosporin;penam;penem unknown +>ARGMiner~~~PER-1~~~ADI55020.1~~~monobactam;carbapenem;cephalosporin;penam;penem~~~unknown MNVIIKAVVTASTLLMVSFSSFETSAQSPLLKEQIESIVIGKKATVGVAVWGPDDLEPLLINPFEKFPMQSVFKLHLAMLVLHQVDQGKLDLNQTVIVNRAKVLQNTWAPIMKAYQGDEFSVPVQQLLQYSVSHSDNVACDLLFELVGGPAALHDYIQSMGIKETAVVANEAQMHADDQVQYQNWTSMKGAAEILKKFEQKTQLSETSQALLWKWMVETTTGPERLKGLLPAGTVVAHKTGTSGIKAGKTAATNDLGIILLPDGRPLLVAVFVKDSAESSRTNEAIIAQVAQTAYQFELKKLSALSLN ->ARGMiner~~~emrB~~~WP_046340811.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_046340811.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNQGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~tolC~~~WP_038808244.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_038808244.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGISNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARPTQSSGSNPFRQ ->ARGMiner~~~lmrB~~~AEB89263.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~AEB89263.1~~~lincosamide~~~unknown MMAKVELTTRRRNFIVAVMLISAFVAILNQTLLNTALPSIMRELNINESTSQWLVTGFMLVNGVMIPLTAYLMDRIKTRPLYLAAMGTFLLGSIVAALAPNFGVLMLARVIQAMGAGVLMPLMQFTLFTLFSKEHRGFAMGLAGLVIQFAPAIGPTVTGLIIDQASWRVPFIIIVGIAILAFVFGLVSISSYNEVKYTKLDKRSVMYSTIGFGLMLYAFSSAGDLGFTSPIVIGALILSMVIIYLFIRRQFNITNALLNLRVFKNRTFALCTISSMIIMMSMVGPALLIPLYVQNSLSLSALLSGLVIMPGAIINGIMSVFTGKFYDKYGPRPLIYTGFTILTITTIMLCFLHTDTSYTYLIVVYAIRMFSLSLLMMPINTTGINSLRNEEISHGTAIMNFGRVMAGSLGTALMVTLMSFGAKIFLSTSPSHLTATEIKQQSIAIGVDISFAFVAVLVMAAYVIALFIREPKEIESNRRKF ->ARGMiner~~~tolC~~~WP_032980019.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032980019.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGVDSTAKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDNFKTTKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTGGAAASRYADSKIGQNSIGLSFNLPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTAPESVAPENPEQDAAVNNMANGGGNAPAMQPAAATRSSNSNSGNLFRQ ->ARGMiner~~~mdtM~~~WP_001713789.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001713789.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPGLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVFRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~lsaB~~~WP_053374995.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_053374995.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIHVQNLTFSYPSSFDNIFEDVSFQIDTDWKLGFIGRNGRGKTTFFNLLLGKYEYNGKIISSVEFNYFPYPVSDKSRFTYEIVEEISPQAEDWEILREISYLDVDAEVMYRPFATLSNGEQTKVLLAALFLNEGKFLLIDEPTNHLDIHARKMVSNYLKRKKGFILISHDRNFLDGCVDHILSINRTNIDVQSGNYSSWKLNFDRQQEHEEKTNERLQKDIGRLQQSSKRSANWSNQIEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQNKAIEAKSTLLKNVEKTELLQLEPLAHKSKELIQLSDVSVMYDGELVHTPISFKVEAGDRVVLDGKNGSGKSSILQLILGKPLQHTGTLNVSSGLVISYVQQDTSHLKGKLSDFIEENQIDEPIFKSILRKMDFDRIQFEKDIAHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDVYSRMQVEELIKSFNPTMVFVEHDQTFQEEVATKTVTL ->ARGMiner~~~arnA~~~WP_001527347.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001527347.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYSSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHTSKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACATRRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~emrB~~~WP_059338299.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_059338299.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESLHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVMLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~tolC~~~WP_010435647.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_010435647.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLALLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNQGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPESVAPENPQQVAAVDNFNGDSNTPAAQPTAARTNTGSNPFRN ->ARGMiner~~~tolC~~~WP_042936635.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_042936635.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTNQSSGSNPFRQ ->ARGMiner~~~BcI~~~WP_064774553.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_064774553.1~~~cephalosporin;penam~~~unknown MKNKRMLKIGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKDDLVEYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIADAAEVIVKALK ->ARGMiner~~~macB~~~WP_064152930.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064152930.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRDPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSNSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrB~~~WP_032315848.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032315848.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELADDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGSGGGGGGAH ->ARGMiner~~~CTX-M-3~~~AIC64445.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64445.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDSL ->ARGMiner~~~lsaB~~~WP_017560601.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_017560601.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYTVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRVFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEALKFKSNELVTLADVSVTYDDQVVNEPINFVVEQGDRIVLDGKNGSGKSSILKLILGYPIQHTGLVTLGTGLTISYVQQDTSHLKGSLSNFIEEHKIDETLFKSILRKMDFDRIQFEKDIFHYSGGQKKKLLIAKSLCEKAHMYIWDEPLNFIDIYSRMQIEELIQQFNPTMIIVEHDKAFQQTVATKTISM ->ARGMiner~~~mecA~~~WP_031906577.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031906577.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKPHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~tolC~~~CRL63509.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CRL63509.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLSLLVTMSLAGFSTASQAEDLLQVYQKAKDSNPELRKSLAERNQAFEKINEARGSLLPQLGLGASADYKSGYRDNNNTESNSIGASLTLTQSVFNMSLWRQLNIQEKTAGMSDVTYQTSQQKLILDTATAYFDVLRAIDSLSFIEAQKEQVYRQLDQTTQRFNVGLVAITDVQNARANYDSVLAQEVVGRNQLDNALEKLRQVSGIYYINLASLNISRFSTTSPDSIEKLLKDAEERNLSLLSARLGQDLARENIRLAQSGHLPTVDLNASTGVSNSHSHGSALPPVTPNTSRNSYSGQNSIGLSVSIPLYTGGRTSSQVEQAQYGFTSASEQLESVYRSIVQIARSSYNNISASISSIKAYQQVVVSAQSSLDATEAGYQVGTRTIVDVLNATTTLYDAKQKLSNARYDYLINQLNIEYARGTLNENDLIQLNNTLGAEVSTSPDSIIRSLTSPALNVAP ->ARGMiner~~~FosB~~~WP_061184277.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_061184277.1~~~fosfomycin~~~unknown MGQSINHICFSVSNLEKSIEFYQNILQAKLLVKGRKLAYFDLNGLWIALNVEESIPRNEIQHSYTHIAFTVTNNEFDSLKEILIQNHVNILPGRERDERDKRSIYFTDPDGHKFEFHTGTLQDRLQYYKEDKKHMIFY ->ARGMiner~~~mdtH~~~WP_032676329.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032676329.1~~~fluoroquinolone~~~unknown MSRVSQAWSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHDPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~tolC~~~WP_000735328.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735328.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENAPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSDQAPRESDLLSAGINFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDNAKNTSGEEYNDFKIGVNLKVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~mdtP~~~WP_016245594.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_016245594.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLPMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~tet(C)~~~WP_047665836.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_047665836.1~~~tetracycline~~~unknown MKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRGWMAFPIMILLASGGIGMPALQAMLSRQVDDDHQGQLQGSLAALTSLTSIIGPLIFTAIHAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST ->ARGMiner~~~mdtM~~~WP_001395356.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001395356.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRVGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRTVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNSGRLPFHLLAVVAGVIVVFPLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mdtH~~~WP_042840434.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_042840434.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADCFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~emrB~~~WP_021544659.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_021544659.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVALMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtP~~~WP_021564791.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_021564791.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLAISGWPQAQWWKQLNDPQLDALVQQTLSGSHTLAEAKLREEKAQSQADLLDTGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~hmrM~~~WP_042092272.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_042092272.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTMMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMQNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRAAR ->ARGMiner~~~tolC~~~WP_054178867.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_054178867.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSVMSQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYEYSNGYRDQNGVNSNATSASLSLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDNVLANEVTARNNLDNAVESLRQVTGNYYPELASLNVDSFKTDKPNAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLSLSASTAVSDTSYSGSSTGGANASRYDDSNIGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVSLNSALGKPISTTPESVAPENPQQDASADGYSANNAAPAAQPAATRTTSSSKTANPFRN ->ARGMiner~~~APH(6)-Id~~~WP_001495812.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_001495812.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIKDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTEYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~mecI~~~SAO39808.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~SAO39808.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFYQ ->ARGMiner~~~mdtH~~~WP_001742100.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_001742100.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGAGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macB~~~WP_041144773.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_041144773.1~~~macrolide~~~unknown MTALLELRDIRRSYLSGDGSVDVLKGVTLSINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGTYRVAGTDVSRLNGDELARLRREHFGFIFQRYHLLSHLTAVQNVEVPAVYAGTERRARLERASELLVRLGLGDRAEYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMTILHQLKSQGHTVIIVTHDPLVAAQAERIIEIHDGEIVRNPPPKGAGSAGVIRQSAAAEPSAWRQFSSGFREALVMAWRAMTANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKNLRLRADNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLSSRAQVVVLDSNTRRQLFPHKARVVGEVVLVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSSTAEQQLLRLFELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFVLQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~WP_031869484.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031869484.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYFLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~sdiA~~~WP_023202351.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023202351.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFEYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILRWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~MexB~~~WP_046055783.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_046055783.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSRFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLMVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKTAIAAQNVQVSSGQLGGLPAVAGQQLNATIIGKTRLQTAEQFKAILLKVNKDGSQVRVGDVADVGLGGENYSISAQFNGSPASGLAVKLANGANALDTAKALRKTIETLKPFFPEGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGAPKRGFFGWFNRNFDRGVKSYERGVGNMLANKAPYLLAYLIILVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAQRTQVVVDDMREFLLRPGKDGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLKPWDERNADNSVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDKLMAARNQFLGMAAQSKVLSQVRPNGLNDEPQYQLEIDDEKASALGITLTDINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMSPEDVKKWYVRNKAGTMVPFSAFAKGEWIYGAPKLSRYNGVEAMEILGAPAPGYSTGEAMLEVEALAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAVEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSAMGQRKTADQDDAIEPSKEAG ->ARGMiner~~~tolC~~~WP_032432264.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032432264.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGINSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~Klebsiella pneumoniae OmpK35~~~WP_004141771.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK35~~~WP_004141771.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MMKRNILAVVIPALLVAGAANAAEIYNKNGNKLDFYGKMVGEHVWTTNGDTSSDDTTYARIGLKGETQINDQLIGYGQWEYNMDASNVEGSQTTKTRLAFAGLKAGEYGSFDYGRNYGAIYDVEAATDMLVEWGGDGWNYTDNYMTGRTNGVATYRNSDFFGLVDGLSFALQYQGKNDHDRAIRKQNGDGFSTAATYAFDNGIALSAGYSSSNRSVDQKADGNGDKAEAWATSAKYDANNIYAAVMYSQTYNMTPEEDNHFAGKTQNFEAVVQYQFDFGLRPSIGYVQTKGKDLQSRAGFSGGDADLVKYIEVGTWYYFNKNMNVYAAYKFNQLDDNDYTKAAGVATDDQAAVGIVYQF ->ARGMiner~~~TEM-1~~~ANG09566.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG09566.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALVPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mecA~~~WP_050962515.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_050962515.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLEKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVCEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQILSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~macA~~~WP_057075721.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_057075721.1~~~macrolide~~~unknown MPKIKPVKLVIIIVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSNTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFFGQRKSGQSSEKASSTPSTERKHEGNGARLERLNLTAEQKQLVEQGKATLSVVRILQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSDTSAASANSGNNRRRGPMGM ->ARGMiner~~~emrA~~~WP_046595173.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_046595173.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKDSDVLVTLDQTDAKQAFERAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~mdtP~~~WP_001531733.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001531733.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSLLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~cmeB~~~WP_012006645.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_012006645.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMNAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~MexF~~~WP_018578567.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_018578567.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MMSQFFIERPVLANVIALFIVFLGLIAIAILPVAQYPAIVPPTIQVTTSYPGADAKTMINTVALPIEQQVNGVEDMLYMQSTSTDNGTYNLIVTFKIGTDLNYAQVLVQNRVQAAMAQLPESVQKQGVVVQQKSTAILQFITLTSKNNEYDGVFLNSYAVINMQDELSRLPGVGNVLVFGSGSYAMRIWLDPKKMLAFGLNPSDVLNAINYQNKEVSAGQLGAPPTAGAQPYQFTVNVPGQLSSPEEFGNIIIKTSDTDPDQNANASSSAQVVRIRDVGRVELGSSSYNQLAKLNNKATAAIGIFQLPGANALQVAKEVRATVAKMAKNFPPGLEYSIPFDTTMFVTASISEVYKTLFEAGILVLIVIVVFLQNFRASLVPATTVPVTIIGTFFALLLLGYSINLLTLFALVLAIGIVVDDAIVIVEGVTQHIEKGTPPKESAIIAMKELFGPIIGITLVLMAVFVPAGFMPGLTGSMYAQFALVIAATAFISSINAMTLKPTQCALWLRAPDHTKQKNIVFRAFDRMYLPVEAAYVRLMDRLVHHSGVVCLAGVILVGLAIFGLTRIPTGFIPIEDQGYLILSVQLPDGASLGRTDTVLNRLSKQVSEVAGVDNIIAIDGVSLLDNNANLANAGVLYVIFKDWDERGKSENLMALYTHLNKIAQETLDAKVLVVVPPPIQGLGLSGGFQMQLELQDGTFDYRKLQQATDQLIAQGREEPQLQNLMTSFRASVPQVAAPINRTKAESLGVKIDDAFGTLQTYLGSSYVNLFTKFGQVFPVYVQAEASSRVNSSDLKNYYVRNQSGSMVPLGTLTDVGPAVGPSIISLYNLYPSSNINGAAAKGFSSGQGINVMEQLAQDQLPPGISYEWTSTAYQEKIAGNMSYFIFALSLVFVYMILAGQYENWLTPSSILLSVPLTLIGTVLALGSLGMDNNMYTQIGLLLLIALATKNAILIVEVANEQHLIHGKSIIEAAVLGAKTRFRPILMTSFAFIMGVMPLVFASGAGANSRKSIGIAVSSGMLASTCLAVVFVPVFYVLLQTWQQKRKAARTDKAEMALKEADHH ->ARGMiner~~~FosB3~~~AEB89433.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~AEB89433.1~~~fosfomycin~~~unknown MTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~cmeB~~~WP_002911285.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002911285.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGESFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~macA~~~WP_047648189.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047648189.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVAILAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~macA~~~WP_025672378.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_025672378.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGRLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macB~~~WP_000125902.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000125902.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLVAAQAERIIEIHDGKIVHNPPAQEKKREQDVAAAAVSTASGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQVLKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNASGVSGDYFNVYGISFSEGNTFNAVQQRDRAQVVVLDANTRRQLFPNKANVIGEVVLVGNMPVIVIGVAEEKQSMYGNSNLLQIWLPYSTMSDRIMGQSWLNSITVRVKDGVNSNQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtG~~~WP_032639603.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032639603.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLIMPFLPLYVEQLGVTGHGALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGVAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADNYGLRPVFFITASVLFLCFIVTLLCIREKFTPVAKKEMLHAREVLTSLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSMVQSPWQLGVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLLGAGISASFGFRAVFIVTAGVVLFNAIYSWLSLSRALRPVAE ->ARGMiner~~~FosB3~~~WP_065338388.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_065338388.1~~~fosfomycin~~~unknown MYKHVTYSVSDIKKSITFYKGILKAKILVESDKTAYFTIGGLWLALNEEKDIPRNEIQYSYTHMAFTIDESEFYEWYQWLNDNDVNILEERIRNVRDKKSMYFTDPDGHKLELHTGTLQDRLDYYKEEKPHMKFYV ->ARGMiner~~~sdiA~~~WP_001154253.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154253.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWHRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~macA~~~WP_032068868.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032068868.1~~~macrolide~~~unknown MPKIKPIKLIIIVVCIAIIAVLAWKFLKPKQQQPQYITADVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~FosB~~~WP_036223540.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_036223540.1~~~fosfomycin~~~unknown MTIQSINHLLFSVSNLEQSIAFYENVFDAKLLVKGNSTAYFDVNGLWLALNVEKDIPRNDIQYSYTHIAFTISEDEFDKMYDKLVQLKVHILDGRQRDERDKKSMYFTDPDGHKFEFHTGTLQDRLDYYMKEKLHMEFFNH ->ARGMiner~~~FosB~~~WP_002148565.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002148565.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENAIMFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQEDFERLLQRLEENKVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~adeB~~~WP_004644395.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_004644395.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATSAAIQLSPGANAVKTAEVVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFTGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSAFGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~macB~~~WP_062936059.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_062936059.1~~~macrolide~~~unknown MTALLELIDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWAQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGITLSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~nalD~~~WP_034006484.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_034006484.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRQHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~macA~~~WP_002921179.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002921179.1~~~macrolide~~~unknown MKKKIVLIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLATKMKLRF ->ARGMiner~~~bcr-1~~~YP_002331481~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~YP_002331481~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSALFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMATMGIAVLMLSLFILKETRPAAPTTSDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~acrE~~~WP_032279003.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_032279003.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRSDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~macA~~~WP_001523024.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001523024.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~FosB3~~~EXM43752.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~EXM43752.1~~~fosfomycin~~~unknown MNDVISKIDTKIIYYKNSKKEVKQMLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~mdtN~~~WP_021546291.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_021546291.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASSVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTLATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGALPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~tolC~~~WP_023321650.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023321650.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKQAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTNKPSAVNALLKEAESRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSGVSNNRYSGSKSISQDADVGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKSIPTSPDSVAPENPQQDVSADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~tolC~~~WP_025760813.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_025760813.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLEREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLNFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macB~~~WP_059309182.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059309182.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNAITVRVKEGFDSAEAEQQLTRLLSLRHGKKDYFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB3~~~EUT83038.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~EUT83038.1~~~fosfomycin~~~unknown MDTKIIYYKNSKKEVKQMLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~MexD~~~WP_038403931.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_038403931.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPXEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASAFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~CTX-M-3~~~AIC64324.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64324.1~~~cephalosporin~~~unknown MVKKSLPQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mdtG~~~WP_004860484.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_004860484.1~~~fosfomycin~~~unknown MSSADSPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAVASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGLAQNIWQFLVLRALLGLLGGFIPNANALIATQIPRQKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFLTASVLLVCFILTLFFIREQFVPVAKKEMLNARDVFSSLKSPKLVLSLFVTTMIIQVATGSIAPILTLYVRQLAGDVGNIAFISGMIASVPGVAALISAPRLGKLGDRIGPEKILIVALMVSVLLLIPMSFVQTPLQLAILRFLLGAADGALLPAVQTLLVYNSTSQVAGRIFSYNQSFRDIGNVTGPLIGAAVSASYGFRAVFFVTATVVLFNAIYSTLSLRRPSGDEPAQNADSGGRSVN ->ARGMiner~~~adeG~~~WP_032053373.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032053373.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFTLSAIFVAILATGGSFMFLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVRKGDLLFTIDPRPFEAELNRAQAQLASAEAQVTYTGSNLSRIQRLIQSNAVSRQELDLAQNDARSASANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSASNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANEAGFTREGTINSIDNNLDATSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRSAILISPTAIGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNAQITANATPPQPQPTDKTSTPAKG ->ARGMiner~~~tolC~~~WP_040118121.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_040118121.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSYNNVNASISSINAYKQAVVSAQSSLDANEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPQQDAAVDNFTPNSSAPLAQPAAARSTAPASSGTNPFRH ->ARGMiner~~~macA~~~WP_045619541.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045619541.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPAPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSETLPGAAK ->ARGMiner~~~adeB~~~WP_023896724.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_023896724.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYSGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRNFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNTSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMVMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~tolC~~~EKA70845.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EKA70845.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDRLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRHANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~mdtN~~~WP_033561980.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_033561980.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVVQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~FosB3~~~WP_000920236.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_000920236.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILFGKLLLTGKKTAYFKLAGLWIALNEEKDIPRNEIHISYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~emrB~~~WP_048288765.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_048288765.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGGGGGAH ->ARGMiner~~~tolC~~~WP_023344934.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023344934.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLNQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSNTPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~BLA1~~~WP_011181667.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_011181667.1~~~penam~~~unknown MKGMIVLKNKKMLKIGMCVGILGLSITSLVTFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTAGNALPNHKRNILTEWMKGNATGDKLIRAGVPTNWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIAEAAEVIVNAFR ->ARGMiner~~~macB~~~WP_052906132.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_052906132.1~~~macrolide~~~unknown MTPWLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTFLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRQRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sul3~~~WP_058647620.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul3~~~WP_058647620.1~~~sulfonamide;sulfone~~~unknown MSKIFGIVNITTDSFSDGGLYLYTDKAIEHALHLVEDGADVIDLGAASSNPDTTEVGVVEEIKRLKPVIKALKEKGISISVDTFKPEVQSFCIEQKVDFINDIQGFPYPEIYSGLAKSDCKLVLMHSVQRIGAATKVETNPEEVFTSMMEFFKERIAALVEAGVKRERIILDPGMGFFLGSNPETSILVLKRFPEIQEAFNLQVMIAVSRKSFLGKITGTDVKSRLAPTLAAEMYAYKKGADYLRTHDVKSLSDALKISKALG ->ARGMiner~~~Escherichia coli ampC~~~WP_021561026.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_021561026.1~~~cephalosporin;penam~~~unknown MLKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTRGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~lsaB~~~WP_047933873.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_047933873.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFFNLLLENYEYSGEIISSVEFNYFPYPVSDKDKYTHEILEEICPQVEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAGLFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRMFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEAKNQRLQKDIGRLKQSSKRATDWSNQVESSKNGTTNSGSKLDKGFVGHKAEKMMKRAKNLETRQQKAIEEKSKLLKNVEKTEPLKLKPLEFQSNELINLTDVSVKYNAQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGKPIQYTGSMNAGSGLIISYVQQDTSHLKGRLSDFIEEYEIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRVQIEELIQSFSPTMIIVEHDQAFQQTVATKIISM ->ARGMiner~~~msrA~~~gi:127359:sp:P23212.1:MSRA_STAEP~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~gi:127359:sp:P23212.1:MSRA_STAEP~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIDHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETNGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLNNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRKYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKEKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALERSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSIHDIT ->ARGMiner~~~macB~~~WP_047057473.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047057473.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGEVDVLKGITLSIAAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRIRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSPEKGGVLRAEARAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_000735343.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735343.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSNRDSRDSDTLSAGVGFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLTENSLTNSYEALREITGQEYSKLSVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLNGDYNYADNRNSNASSPSDYNDFKIGVNLKVPLYTGGKTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~macB~~~WP_032229718.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032229718.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLMSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~cmeB~~~WP_002933997.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002933997.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGVISLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTVELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALVLAIGIVVDDAIIVVENIDRILYENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLNKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~mdtH~~~WP_059346477.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_059346477.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLGDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEAALSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKAFNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~lmrB~~~EJD67473.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~EJD67473.1~~~lincosamide~~~unknown MENAQPSKQYKVMPIMISLLTAGFIGMFSETALNIALTDLMKELHITPATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFIVSLAFSIIGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALLFGIAYMQNVSDITKPKIDVLSIILSTIGFGGIVFGFSNAGEGSDGWSSPTVIGSLTVGAIALILFSIRQLTMKQPMMNLRAFRYPMFVLGVVIVFICMMVILSTMLLLPMYLQSGLMLTAFTSGLILLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVITAAVLWFFSNITGTSTAILIVVLHTCLMIGISMIMMPAQTNGLNQLPPEFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQKNYMSTVKNPNDPSAYSHALIAGVQHAFIFAMIVAVIGLISAFFMKRVKVNHS ->ARGMiner~~~tet(H)~~~WP_015328224.1~~~tetracycline unknown +>ARGMiner~~~tet(H)~~~WP_015328224.1~~~tetracycline~~~unknown MNKSIIIILLITVLDAIGIGLIMPVLPTLLNEFVSENSLATHYGVLLALYATMQVIFAPILGRLSDKYGRKPILLFSLLGAALDYLLMAFSTTLWMLYIGRIIAGITGATGAVCASAMSDVTPAKNRTRYFGFLGGAFGVGLIIGPMLGGLLGDISAHMPFIFAAISHSILLILSLLFFRETQKREALVANRTPENQTASNTVTVFFKKSLYFWLATYFIIQLIGQIPATIWVLFTQYRFDWNTTSIGMSLAVLGVLHIFFQAIVAGKLAQKWGEKTTIMISMSIDMMGCLLLAWIGHVWVILPALICLAAGGMGQPALQGYLSKSVDDNAQGKLQGTLVSLTNITGIIGPLLFAFIYSYSVAYWDGLLWLMGAILYAMLLITAYFHQRKNKP ->ARGMiner~~~sul1~~~WP_031942459.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_031942459.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDKFGAVVRL ->ARGMiner~~~macB~~~WP_040174114.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_040174114.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRTRPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~adeB~~~WP_004639531.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_004639531.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPIFAWVIAIFIILFGLLSIPKLPIARFPSVAPPQVNISAVYPGATPKTINDSVVTLIERELSGVKNLLYYSSTTDTSGTAEISATFKPGTDVDMAQVDVQNKIKAIEARLPQIVRQQGLQVESSSSGFLMLVGIKSPNGQYSEIDLNDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNAAIRENNVEIAPGRLGDMPAEQGQLITIPLSAQGQLDSIEQFKNISLKSKTSGSVIRLSDVANVEIGSQAYNFAILEDGKPSTAAAIQLSPGANAVKTADAVREKIEELNINLPEGMQFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFAVMLVAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLPPKEATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGIIYQQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYERILLKVIKHSIPMMVIFVVITGLTFAGMKYWPTAFMPEEDQGWFLTSFQLPSDATTERTKNIVNQFEDSLKDNPNVKNNTTILGWGFSGSGQNVAVSFTTLKDFKDRTSSASEMTNAVNAEMANSKEGATMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDQLMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLNALGVRFADVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMHLKDILNLKVAGASGQLVSLSEVVTPEWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGDAMREMENLITQLPKGIGYEWTGISLQEKQSESQMAFLLVLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIVAIMTRGMMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVQAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFVFILGGVEKLFKSKRTSTKQETTS ->ARGMiner~~~acrB~~~CQR78405.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~CQR78405.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDVIAKFNGKPASGLGIKLATGANALDTATAIRAELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAIFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGGHGEHKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYIIIVVGMAFLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTDYFLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGAENKVEAITGRAMGTFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQYKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAQYRMLPNDINNWYVRGSDGQMVPFSAFSTSRWEYGSPRLERYNGLPSMEILGQAAPGRSTGEAMNLMEELASKLPAGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATILAIFFVPVFFVVVRRRFSRKNEDVEHNHSVEHH ->ARGMiner~~~lsaA~~~WP_010827693.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010827693.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVDEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~mdtP~~~WP_000610550.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610550.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQFNDPQLDALIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTSVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~oprA~~~YP_442964~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline unknown +>ARGMiner~~~oprA~~~YP_442964~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline~~~unknown MRRPRGRHRSASPRRRARGTGDQVKTMMKPLARQGGPHGSRRPGGLRAWPAALAAALVTGCTLAPRYERPAAPVPANYLPAAAGAPRAASPAAAGSGARDAQPASDARGGGNVQEMHDVRLDDWRAYFTDPALRALIDAALANNRDLRIATLRIEEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGLSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARVSLIAEVASAYVRERALVDQLKLAERTLAARDATYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFTAALPADAPALDELAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDIGSVSDAFSGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGADAERLRLAERRYGSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAACGGEDACA ->ARGMiner~~~FosB~~~WP_061576255.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_061576255.1~~~fosfomycin~~~unknown MENNKILGINHLLFSVSDLSVSISFYEKVFDAKWLVKAEKTAYFDLNGIWLALNEEKDIKRQEIHDSYTHIAFSIQQEDLPFWEKKLHDLGVNVLKGRKRNEGDRDSIYFSDPDGHKFELHTGSVFDRLQYYQNEKPHLSFHEGHIKALYDRNK ->ARGMiner~~~mgrA~~~WP_031885743.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_031885743.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDGVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~tolC~~~WP_000735338.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735338.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSNRDSRDSDILSAGVGFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDNAKNTSSEEYNDFKIGVNLKVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~adeB~~~WP_046738612.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_046738612.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIILFGLLSIPKLPIARFPSVAPPQVNISAVYPGATPKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAQISATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNGQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAVKGQLITIPLSAEGQLGDVDQFKNISLKSKTSGSVIKLSDVANVEMGSQAYNFAILEDGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMQFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFAVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLPPKEATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGIIYQQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELILLKVIKHSIPMMVIFVVITGLTFAGMKYWPTAFMPEEDQGWFLTSFQLPSDATAERTRGVVNEFENSLKDNPNVKSNTTIMGWGFSGAGQNVGIAFTTLKDFKERTSSASEMTNAVNKTMAHSKEGATMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDQLMEMAAKNKKFYMVWNEGLPQGDNISLKIDRAKLNVLGVKFADVSDIISTSMGSMYINDFPNQGRMQQVIVQVDAKSRMQLKDILNLKVMGSNGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGDAMREMENLIAKLPKGIGYEWTGISLQEKQSESQMAFLLALSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIVAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLVEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILSAVEKLFSSKQKNHLN ->ARGMiner~~~Escherichia coli ampC~~~WP_046644376.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_046644376.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTSDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~TEM-1~~~ANG27765.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG27765.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKVLESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLVDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~aadA22~~~YP_002894485~~~aminoglycoside unknown +>ARGMiner~~~aadA22~~~YP_002894485~~~aminoglycoside~~~unknown MRSRNWSRTLTERSGGNGAVAVFMACYDCFFVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTKLDIMRVAVTIEISNQLSEVLSVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~mdtA~~~ERP03693.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~ERP03693.1~~~aminocoumarin~~~unknown MGLCRVALRLPGLRFEDLVGRVRRSRHPAKQRTTLRPFLTVFHISSIFPLCYLTKLVSFPESVSGRERITMKGSNKSRWAIAVGLIVVVLAAWYWHSQSANSTAPAGANSPSQRPTGGGRHGMRGAALAPVQAATAVNKAVPRYLSGLGTITAANTVTVRSRVDGQLMAIHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLVKTNLVSRQELDTQQSLVSESQGTIKADEAAVASAQLQLDWSRITAPIDGRVGLKQVDIGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVIQAQKAGKALVVEAWDRTNKQKLSEGSLLSLDNQIDTTTGTIKLKARFNNQDDALFPNQFVNARMLVATEENAVVIPTAALQMGSEGNFVWVLNSENKVSKHLVKTGIQDSQTVVISAGLSAGDRVVTDGIDRLTEGAQVEVVVAQNTGAKA ->ARGMiner~~~macA~~~WP_002214393.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002214393.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~lsaB~~~WP_018393139.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_018393139.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFEDISFQIDTDWKLGFIGRNGRGKTTFFNLLLGKFEYKGKIISSVDFNYFPYPVEDKSKYTHEILEEICPQAEDWEFLREIAYLNVDAEAMYRPFETLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTEARKMVSNYLRKKKGFILISHDRNFLDGCVDHILSINRADIEVQSGNYSSWKLNFDRQQEHEQATNERLQKDIGRLNQSSKRSAGWSNQVEASKNATTNSGSKLDKGFVGHKAAKLMKRSKNLEARQQKAIEEKSKLLKNIEKTESLQLESMEYKSKELIQLTDVSVMYDGQVVNKPISFNVEQGDRIVLDGKNGSGKSSILKLILGDPIQHTGTSNKGSNLIISYVQQDTSHLKGMLSDFIEENEIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQTFNPTIVFVEHDQTFQETISTKTIKM ->ARGMiner~~~lmrB~~~CBI41368.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~CBI41368.1~~~lincosamide~~~unknown MEKAQPSKQYKVMPIMISLLTAGFIGMFSETALNIALTDLMKELHITPATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFIVSLAFSIVGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPATGPTFSGLVLEHLNWHWIFWISLPFLVLALLFGIAYMQNVSDITKPKIDVLSIILSTIGFGGIVFGFSNAGEGSGGWSSPTVIGSLTVGAIALILFSIRQLTMKQPMMNLRAFRYPMFILGVVIVFICMMVILSTMLLLPMYLQSGLMLTAFTSGLILLPGGILNGFMSPVTGRLFDKYGPRWLVIPGFVITAAVLWFFSNITGASTALLIVVLHTCLMIGISMIMMPAQTNGLNQLPPEFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQKDYMSTVKNPNDPSVYSHALIAGVQHAFIFAMIVAVIGLISAFFMKRVKVNHS ->ARGMiner~~~TEM-1~~~ANG19155.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG19155.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYLELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtH~~~WP_063153825.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063153825.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMTGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGVMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~acrE~~~WP_032302194.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_032302194.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPIRGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~mdtH~~~WP_053901375.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053901375.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFFQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAFIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFNLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~BcI~~~WP_002109985.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002109985.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGVQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGICVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSIEKLNEVITYTKDDLVEYSPVTEKHVDTGMALGEIAEAAVRSSDNTAGNILFHKIGGPKGYEKALRQMGDRVTMADRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPADKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEAAEVVINTLR ->ARGMiner~~~novA~~~OAR27197.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~OAR27197.1~~~aminocoumarin~~~unknown MHPEESSWRPPARDQEQPPAQTRRILRLFRPYRGRLAVVGLLVAASSLVSVASPFLLREILDVAIPQGRTGLLSLLALGMLATAVVTGVFGVLQTLISTTVGQRVMHDLRTGVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVWISRKVGRERKKITTQRQKQMAAMAAEVTESLSVSGILLGRTMGRADSLTKSFAAESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLALQAGGPSVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLPVDITESEHPVRLEKVRGELRFESVDFGYDEKSGPTLSEVDVAVPAGSSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEELEAAARTAQIHDHIASLPDGYDTVVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSAGRTTLTIAHRLSTVRDADQIVVLDGGRIAERGTHEQLLDQDGKYAALVRRDARLAPVAS ->ARGMiner~~~mdtH~~~WP_045342799.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045342799.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRRMLEPGA ->ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP000034.1.gene3834.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~Pseudomonas aeruginosa CpxR~~~CP000034.1.gene3834.p01~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNKILLVDDDRELTSLLKELLEMEGFNVIVAHDGEQALDLLDDSIDLLLLDVMMPKKNGIDTLKALRQTHQTPVIMLTARGSELDRVLGLELGADDYLPKPFNDRELVARIRAILRRSHWSEQQQNNDNGSPTLEVDALVLNPGRQEASFDGQTLELTGTEFTLLYLLAQHLGQVVSREHLSQEVLGKRLTPFDRAIDMHISNLRRKLPDRKDGHPWFKTLRGRGYLMVSAS ->ARGMiner~~~macB~~~WP_000125892.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000125892.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGISFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQIWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~WP_049385622.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049385622.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPQKATTDGLHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~mdtF~~~WP_001713652.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001713652.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDPSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mdtO~~~EFZ57154.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~EFZ57154.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMIFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATMLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~arnA~~~WP_024009177.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_024009177.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNTGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mefA~~~WP_002941309.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_002941309.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFYMELPVWMVMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVALVRIPKLGDQVQSLEPNFIGEMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMEYFNGTPMHISITEIAYASGMLIGGLLLGLFGNYQKRILLIMASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADRIGVNHWFLLSGILIICIAIVCPMITEIRKLDAK ->ARGMiner~~~ACT-29~~~WP_059354427.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_059354427.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKFLCCALLLSTSCSVLAAPMSEKQLADVVERNVTPLMKAQGIPGMAVAVIYQGQPHYFTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISQGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDGVTDNASLLRFYQNWQPQWKPGTTRLYANSSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEETHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVKDMASWVMANMAPDALQDTSLKQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVSPPVPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~arnA~~~WP_061382849.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_061382849.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGTLPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~BcI~~~WP_002085479.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002085479.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEAFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKDDLVEYSPITEKHVDTGMKLGEIAAAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPADKRKVLTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEAAYDNQLIAEATEVIVKALK ->ARGMiner~~~emrA~~~WP_054177683.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_054177683.1~~~fluoroquinolone~~~unknown MSANAEMQTPQQPASKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVSLDPTDAQQAYEKAKTQLASSVRQTRQLMINSKQLQANIDVKKTALSQAQTDLNRRIPLGSANLIGREELQHARDAVASAQAELDVAIQQYNANQAMVLATKLEEQPAVQQAATDVRNAWLALQRTKIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPANNLWVDANFKETQLAHMRIGQPVTVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDAKQLAEHPLRIGLSTLVEVDTSNRDGQMLASQVRTDPAYESDAREISLDPVNKEINDIVQANAN ->ARGMiner~~~arnA~~~WP_032306615.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032306615.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGTFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHHCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mfpA~~~WP_031721082.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_031721082.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACWLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~sdiA~~~WP_023261062.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023261062.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKILLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~macB~~~WP_044256358.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044256358.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEEQVEVLKGITLQINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAERDVSTLDRDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSRSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIHDGEIVRNPPTKHAAQGQGIQEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAIQKQPWVTSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNREQLNGRAQVVVLDSNTRRQLFPHKANVAGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAQAEEQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTFTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~emrB~~~WP_055384022.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_055384022.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLIIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGISSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGAH ->ARGMiner~~~mecR1~~~gi:302562967:dbj:BAJ14595.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~gi:302562967:dbj:BAJ14595.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDIIKSSSLESPYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSHHINNVAAQYLLGFNSNIKERVKYIALYDSIPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYYIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDQIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~BcI~~~WP_000438270.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_000438270.1~~~cephalosporin;penam~~~unknown MEQTCFLDCQLCVRIKTGVQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGICVGILGLSVTSLEAFTGGSLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRHNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVEYSPVTEKHVDTGMTLGEIAEAAVRSSDNTAGNILFHKIGGPKGYEKALRQIGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAHKRNIFTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEAVYDNQLIAEATEVIVKALK ->ARGMiner~~~tolC~~~WP_059444725.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_059444725.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDKNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSYNNVNASISSINAYKQAVVSAQSSLDANEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVPTSPDSVAPENPQQDAAVDNFTPNSSAPLAQPAAARSTAPASSGTNPFRH ->ARGMiner~~~FosB~~~WP_000911689.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000911689.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVKGRKLAYFNICGVWIALNEEIHIPRNEIHQSYTHIAFSVEQKDFKRLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMTFY ->ARGMiner~~~mexW~~~YP_001350280~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexW~~~YP_001350280~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol~~~unknown MAFTDPFIRRPVLACVVSLLIVLLGMQAFSKLVIREYPQMENALITVTTLYAGANAETIQGYITQPLQQSLASAEGIDYMTSVSRQNYSTISIYARIGANTDRLVTELLAKSNEVKSQLPPDAEDPVLQKEAADASALMYISFYSEQMNNPQITDYLSRVIQPKLATLPGIAEAEILGNQVFAMRLWLDPVKMAAFGVTAGEINQAVRQYNFLAAAGEVKGQLVVTSVNASTDLKSPQAFAAIPVKTDGDRRVLMGDVARVELGAASYDAISSFNGIPSVYIGIKGTPSANPLDVIKEVRAKMPELEEQLPPNLKVSIAYDATRFIQASIDEVVKTLGEAVLIVIVVVFLFLGAFRSVLIPVVTIPLSMIGVLFFMQAMGYSINLLTLLAMVLAIGLVVDDAIVVVENIHRHIEEGKTPFDAALEGAREIAIPVVSMTITLAAVYAPIGFLTGLTGALFKEFAFTLAGAVIISGIVALTLSPMMCSRLLRHEENPSGLAHRLDLLFEGLKRRYQRALHGTLETRPVVLVFAVLVLALIPLLLMFTKKELAPEEDQGIVFLMTSSPQTANLDYLNRYTAEFEGIFRSFPEYYSAFQINGYNGVQAGIGGMLLKPWDEREKSQMELLHAVQARLNEIPGVQIFAFNLPSLPGTGEGLPFQFVLNTANDYESLLQVAQRVKQRATESGKFAFLDLDLAFDKPELVVDIDREKAAQMGVSMQDLGVALASLLGEGEINRFTIDGRSYKVIAQVERPYRDNPGWLGSYYVKSSDGQLVALSTLIETRERARPRQLNQFQQLNSAIISGFPIVSMGEAIETVQQIAREEAPRGFAVDYAGASRQYVQEGNALLVTFGLALAIIFLVLAAQFESFRDPLVIMVTVPLSICGALVPLFLGVSSLNIYTQVGLVTLIGLISKHGILIVEFANQLRHEQGLGRREAIEQAAAIRLRPVLMTTAAMVLGVIPLILASGAGAVSRFDIGIVIATGMSVGTLFTLFVLPCIYTLVARPDAPPGVTQAAGAH ->ARGMiner~~~mdtP~~~WP_001632048.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001632048.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRGIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~tolC~~~EXC94020.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EXC94020.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMSAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTYRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~OprM~~~WP_023090259.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~OprM~~~WP_023090259.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MKRSFLSLAVAAVVLSGCSLIPDYQRPEAPVAAAYPQGQAYGQNTGAAAVPAADIGWREFFRDPQLQQLIGVALENNRDLRVAALNVEAFRAQYRIQRADLFPRIGVDGSGTRQRLPGDLSTTGSPAISSQYGVTLGTTAWELDLFGRLRSLRDQALEQYLATEQAQRSAQTALVASVATAYLTLKADQAQLQLTKDTLGTYQKSFDLTQRSYDVGVASALDLRQAQTAVEGARATLAQYTRLVAQDQNALVLLLGSGIPANLPQGLGLDQTLLTEVPAGLPSDLLQRRPDILEAEHQLMAANASIGAARAAFFPSISLTANAGTMSRQLSGLFDAGSGSWLFQPSINLPIFTAGSLRASLDYAKIQKDINVAQYEKAIQTAFQEVADGLAARGTFTEQLQAQRDLVKASDEYYQLADKRYRTGVDNYLTLLDAQRSLFTAQQQLITDRLNQLTSEVNLYKALGGGWNQQTVTQQQTAKKEDPQA ->ARGMiner~~~macB~~~WP_045897770.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045897770.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAAKEALPVSTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~BLA1~~~WP_033691456.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_033691456.1~~~penam~~~unknown MILKNKRMLKIGICVGILGISLTSLEVFKGGTLQVEAKEKTGQVKHKNQATHKEFSKLEQKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRYSDNTAGNILFHKIGGPKGFEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIARNLKDFTIGNALPHQKRNILTDWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRSPIIIAILSSKDEKEATYDNQLIKEAAEVVIDAIK ->ARGMiner~~~Escherichia coli ampC~~~WP_001315152.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001315152.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDDVKSSSDLLRFYQNWQPAWAPGAQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLTHTWINVPFAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKMLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKAITPPTPAVCASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~mdtH~~~WP_052927473.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_052927473.1~~~fluoroquinolone~~~unknown MSLVRQARTLGKYWLLVDNLLVVLGFFVVFPLISIRFVEQLGWAGIVVGFALGLRQFVQQGLGIFGGAIADRFGAKPMIVIGMFLRAGGFALMAMADEPWILWLSCVLSAIGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALLGSWLLIYDFHLVCWVGAAIFIITALCNAWLLPAYRISTTRTPVREGLTRVLRDKRFSRYVLTLTGYFILSVQVMLMFPIIVNELAGTPAAVKWMYAIEAALSLTLLYPLARWSEKRFRLETRLMAGLFLMSLSMFPVALTHSLHVLFAIICLFYLGSVIAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWLYDLGKEMQLPELPWFLLGTIGLITLTALYRQFNPKKISPAVLN ->ARGMiner~~~smeF~~~NC_008702.1.4606278.p01~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeF~~~NC_008702.1.4606278.p01~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MPLKTLAVALAAASLGACSTLAPDYERPAAPVAAAWPAEPAQPAAGTPSADAVAWRSFFADERLRQVVDLALANNRDLRVSALNIERARALYQIQRAELVPWIGVGGGQSAQRLPADLTQRGEPTISRQYSATVGFSSYELDFFGRIRSLRDAALEQYLATEDARRSAQISLVAEAANAWLTLAADRERLDLARRTYETQQQSYELTKKSFDFGAVSAIDLRQAQTARESARADLAAYTTQVARDINALNLVAGAAVPDELLPTALTDKVSAVGDLPAGLPSEVLVSRPDILAAERQLRAAYANIGAARAAFFPSITLTAAAGTASASLEGLFKAGSGTWSFAPQINLPIFQGGRLLANLQVAKVDREIAVADYEKAIQSAFREVADALAERANVGEELDARRALVEATAETYRLSEARYRSGVDSYLGLLDAQRTLYSAEQGLISVRLADAANRVALYRVLGGGAQ ->ARGMiner~~~macB~~~WP_054627825.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_054627825.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRSEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVNGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_047603455.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047603455.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAVQ ->ARGMiner~~~mdtG~~~ESH41862.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~ESH41862.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSRPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~evgA~~~CP000647.1.gene3517.p01~~~macrolide;fluoroquinolone;penam;tetracycline unknown +>ARGMiner~~~evgA~~~CP000647.1.gene3517.p01~~~macrolide;fluoroquinolone;penam;tetracycline~~~unknown MNAIIIDDHPLARIAIRNLLDSNGITVAAELDSGAHAVQTAESMQPDLLIVDVDIPELSGIEVLEQLRKRRYQGTIIIISAKNELFYGKRSADCGANGFVSKKEGMNNILAAIDAANNGYSYFPFSLERFCTHGITDQDRLDTLSTQEMKVFRYILSGVDYTTIGSKMNISNKTVSTYKVRLMDKLGCSTLLELYDFAQRNKIG ->ARGMiner~~~mdtG~~~Q5PGY0~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~Q5PGY0~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQAPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~acrB~~~WP_053001605.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_053001605.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGFLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTSLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~aadK~~~WP_064671003.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_064671003.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVESFKENDQWLEIFGKRIMMQKPENMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDRQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYQYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~sdiA~~~WP_004113835.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_004113835.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRREMLQQFQSASAGEGVFHLLQQQAQALEYDYFALCVRHPVPFTRPRVTLQSTYPPAWMSHYQAENYFAIDPVLRKENFLRGHLPWNDKLFHDTPELWDGARDHGLRKGVTQCLTLPNHAHGFLSVSGTSHSQGPFEEDELEMRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~msbA~~~WP_061732450.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_061732450.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVARRLSTITHADKIVVIENGHIVETGTHRELMSKQGAYEHLYSIQNL ->ARGMiner~~~FosB3~~~WP_053862175.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_053862175.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVIILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~emrA~~~WP_004031596.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_004031596.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTQVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~lsaA~~~WP_010711245.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010711245.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDIEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGYSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~macA~~~WP_064187636.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_064187636.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAVWGWRILNAPLPHYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVQGLEEGDEVIVGESANGAAK ->ARGMiner~~~BcI~~~WP_043924791.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_043924791.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRSNERFAFASTYKALAAGVLLQQNSIATLNEVITFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNTTGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEASYDNQLIAEATEVIVKALR ->ARGMiner~~~norA~~~WP_031771611.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031771611.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSYRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~sdiA~~~WP_001157170.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001157170.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEEQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~tolC~~~EXA87379.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EXA87379.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLTARQPLFRMDAWEGYKQVKTSVALSEVTLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWIGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQSQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~FosA2~~~WP_047718350.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_047718350.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPFSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~mepA~~~WP_033858866.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_033858866.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMSAVFTIGHHMVGLFTPDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~mdtH~~~WP_054495345.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_054495345.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPAHETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~FosB3~~~WP_045179489.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_045179489.1~~~fosfomycin~~~unknown MLKSFNHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFKLAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~MexE~~~WP_039699727.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_039699727.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARPTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~bcrA~~~ERI11611.1~~~peptide unknown +>ARGMiner~~~bcrA~~~ERI11611.1~~~peptide~~~unknown MSLLKEGVSKMSVIIKTTNLTKMYGNQKSVDHLNMTVNQGEIYGFLGRNGAGKTTTIRMLLGLIKPTQGQIEIFGENLFKHQKEILRRIGSIVEHSGFYENLTARENLLINAKLMGVYKKNAIEEALEIAGLQHETKKLVGQYSMGMKQRLGIARAILHHPELLILDEPTNGLDPIGIKEMRRLIKSLAEERSITILISSHILSEVEQLADRIGIIHAGKLLEEITFEELRKRNRKYLEFQVSNDNKAAMLLEKQFDIVDYEVLDEGKIRVYSHIGEQGKLNKAFVEHDIEVVKITMSEDRLEDYFIKLIGGGTIG ->ARGMiner~~~MexA~~~WP_058160887.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_058160887.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPSNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~norA~~~WP_046464285.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_046464285.1~~~fluoroquinolone;acridinedye~~~unknown MRKQLFTLYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGILVASFALAQMIISPFGGRLADKLGKKLIICIGLVLFSISEFMFAVGHSFTILVISRVLGGFSAGMVMPGVTGLIADISPSQDKAKNFGYMSAIINSGFILGPGFGGFLAEVSHRLPFYFAGGLGIIAFIMSLIVIHNPKKMTTAGFPQYDPELLTKINWKVFLTPVILTLVLAFGLSAFETLFSLYTSDKAGYTPKDISIAITGGGIFGALFQVFFFDKFMKFTTELNFIAWSLLYSAIVLVMLIIAQGYWTIMLISFIVFIGFDMIRPAITNYFSNIAGNRQGFAGGLNSTFTSMGNFMGPLVAGTLFDVNIEFPLYMAIAVSLSGIVIIFIEKMIRTQLNRNSK ->ARGMiner~~~nalC~~~WP_003129875.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_003129875.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSAEQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~FOX-2~~~WP_050513494.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-2~~~WP_050513494.1~~~cephalosporin;cephamycin~~~unknown MMHFSTHQKTDVMKQPTTLSRLALGSLLISSFASAEQDAPLTAIVDGAIQPVLKEYRIPGMAVAVLKDGKAHYFNYGVANRESGQRVSEQTLFEIGSVSKTLTATLGAYAVVKGGFKLDDKVSQHAAWLKGSAFDGVTMADLATYSAGGLPLQFPDAVDSAEKMQVYYRQWTPLFAAGTQREYSNPSIGLFGYLAASSLGQPFEQLMSQTLLPGLGLAHTYLKVPAAAMGDYAYGYAKEEKPIRVNPGVLADEAYGIKTSSADFIKFVEANMTKRGDAAMQQAIAMTHTGFFSVGEMTQGLGWESYAYPVTEQVLLAGNSPAVSYKANPVERFAAPKDMGEQRLYNKTGSTNGFGAYVAFVPAKGIGIVMLANRNYPNDVRVKAAYAILSKLAD ->ARGMiner~~~TEM-207~~~ANG23254.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG23254.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHSMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtN~~~WP_053890407.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_053890407.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDHARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mdtH~~~WP_059294755.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_059294755.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEYRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~tolC~~~WP_029591088.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_029591088.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRRSAANRDAAFEKINEARSPLLPQLGLGADYTYNNGFRDNDGVNSNVTSGSLQLTQTIFDMSRWRALTLQEKTAGVQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVSGNYYPQLASLNIDSFKTNKPDNVNALLKEAENRNLSLLQARLSQDLAREQIRYAETGHLPTLGLTASSSISDTSYSGSATRGNSLSSSSYADRNIGQNSVGLSFNLPIYSGGSVTSQVKQAQYNFVSASEQLESAHRSVIQTVRSSWNNVNASISSIRAYEQAVVSAQSSLDASEAGYAVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKAALGTLNEQDLVALNTTLGKPIPTSPESVAPENPQQDAAVDSFTANANAAANGNDAAMPAAQPAASVQPAASSRSSGANPFRQ ->ARGMiner~~~MexB~~~WP_046816683.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_046816683.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVAYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGTMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRIWLDPAKLNNFHLTPIDVKTAIAAQNVQVSSGQLGGLPALPGTQLNATIIGKTRLQTAEQFEKILLKVNKDGSQVRLKDVAQVGLGGENYSINAQFNGAPASGLAVKLATGANALDTAKALRTTIDSLKPFFPEGMEVVFPYDTTPVVTESIKGVVHTLVEAVALVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHHTPKRGFFGWFNRNFDRGVKSYERGVGSMLTHKAPYLLAYLIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTAQRTQVVVDEMREFLLRPGKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLRPWEDRNADNSVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDKLMEARNQFLGMAAQSKILTQVRPNGLNDEPQFQLEIDDEKASALGITIADINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGSRMSPEDLNKWYVRNSVGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEALAAKLPKGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLREAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSAMGQRKNVDQEDATETPKEAG ->ARGMiner~~~mdtH~~~WP_047501069.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047501069.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISTRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSFKRPTRGMLEPDA ->ARGMiner~~~cmeB~~~WP_004306650.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_004306650.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASTIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDIADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPSSLVPEEDQGLMIGIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~arnA~~~EFZ57581.1~~~peptide unknown +>ARGMiner~~~arnA~~~EFZ57581.1~~~peptide~~~unknown MKTVVFAYHNMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQMLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtO~~~WP_021535235.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021535235.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQIVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVTTVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRAMGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAAQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~ACT-29~~~WP_063154927.1~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-29~~~WP_063154927.1~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MMKKFLCCALLLSTSCSVLAAPMSEKQLADVVERNVTPLMKAQAIPGMAVAVIYQGQPHYFTFGKADIAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLGDPVTKYWPDLTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDNASLLRFYQNWQPQWKPGTTRLYANSSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEETHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMANMAPDALQDTSLKQGITLAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPAREVSPPVPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~sdiA~~~WP_025711130.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_025711130.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMATGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFLRGHLPWDDGLFRDAPVLWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPGSYPEDELEMRLRTLTELSLLALLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~TEM-1~~~ANG18888.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG18888.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRMHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~mdtP~~~WP_033865893.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_033865893.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHMDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mefA~~~WP_042357457.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_042357457.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPIWMVMVVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGDQVQSLKPNFIKEMKDGIVVLKQNKGLFALLLLGTLYTFVYMPINALYPLFSMEYFNGTPMHISITEIAFASGMLAGGLILGRLGSYEKRVLLITGSFFMMGASLAIAGLLPSNGFVMFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRIFSLTGSIMSFAMPLGLILSGFFADRIGVNHWFLLSGILIIGVAIVCPMMTEIRKLDLKQNS ->ARGMiner~~~emrB~~~WP_020078123.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_020078123.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFVWSTVAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPFNPNAQQMYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWVSAGIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~mexY~~~WP_021264698.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_021264698.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPVRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~mdtH~~~WP_047087856.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047087856.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPITRWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARHLLERDA ->ARGMiner~~~tolC~~~WP_061051590.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_061051590.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKDNDPQLLSVAAQRDAAFEAVTSSRSTLLPQINLTAGYNVNRSDQDPRESDLFSAGINFSQELYQRSSWVTLDTAEKKARQADSEYAATQQSLILRVSKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENNLTNSYETLREITGQEYSKLSVLDTKRFAASRTTESTDALIEQAQQKNLSLLSARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDSAKGTSGEEYNDFKIGVNLSVPLYSGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDIMDVNAGLKVAKK ->ARGMiner~~~sdiA~~~WP_023219653.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023219653.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKLENFRQGHLHWDDMLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSVSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~emrB~~~WP_050185497.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_050185497.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLIIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGMVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGISSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~lsaB~~~WP_000061804.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061804.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDTIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILTSVEFNYFPYPVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLKEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQNGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSASWSHNVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLKFKSNDLVTLTDVSVKYDDQIVNEPISFMVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVTLGTGLIISYVQQDTSHLKGSLLDFIEEHKIDETLFKSILRKMDFDRIQFEKDIFHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQSVATKTISM ->ARGMiner~~~macA~~~WP_001751145.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001751145.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGAIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~FosB3~~~WP_014476934.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_014476934.1~~~fosfomycin~~~unknown MKIKGINHLLFSVSHLDTSIDFYQKVFGAKLLVKGRTTAYFDMNGIWLALNEEPDIPRNDIKLSYTHIAFTIEDHEFEEVSAKLKRLHVNILSGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMHFYDETAF ->ARGMiner~~~CTX-M-30~~~AIC64452.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64452.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTPLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQMLYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mexY~~~WP_060961754.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_060961754.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRSLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVILAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYTLVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~arnA~~~WP_032303433.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032303433.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPCEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKDGNILEIAQRENEATCFGRRTPEDSFLKWHKPAAVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHTSAAQPGSVISVAPLLIACGDGVLEIVTGQAGDGITMQGSQLAQSLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtH~~~WP_059056849.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_059056849.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTIRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAKPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~macA~~~WP_008785219.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_008785219.1~~~macrolide~~~unknown MKLKGKIKKRYFLFAVILIVAVIALWRTLNAPLPQYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAEAEWKLARVTLSRQQQLAKTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLHPGQKAWFTVLGDPQTRYEGKLKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTDVKNVLTIPLSALGDPIGNNRYNVRLLRNGETREREVVIGARNDTDVEIVKGLEEGDEVITGEGNAGAAK ->ARGMiner~~~MexF~~~WP_057427257.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_057427257.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRASFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVSEEEFENVVVRAGPDGEITRLKDVARIELGSSQYALRSLLNNQPAVAMPIFQRPGSNAIDISNDVRARMAELKKGFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVVLFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVEATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKAHDAPKDRFSRFLDKILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTKSPNNGVVFVALKPFDERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIITKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAAPGFSSGQAQTAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVIIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKELWDQGHSLRDAALQAARLRFRPIVMTSLAFILGVVPLTLATGAGAASQRAIGTGVIGGMLSATLLGVVLVPIFFVWVLSVLRRKPHETQQLADAEQPVKD ->ARGMiner~~~tolC~~~WP_058587564.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_058587564.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTFQTDQQTLILNTASAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGVSDTSYSGSKTRGSAVGSQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYNYLINQLNIKSALGTLNEQDLVVLNNTLGKPISTSPEHVAPETPQMDANADGYAANATAPATQPASVRSSSSNGKNPFRN ->ARGMiner~~~acrE~~~WP_038256086.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_038256086.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTTHARVTLLSSLFFPLMFLTGCDDSGNQHAQAPAPQVTVFTVQAAPLQVTTELPGRTSAFRVAEVRPQVSGIILKRNFIEGSDIESGQSLYQIDPATYQAAWDSAKGDESKAEAAANIAHLTVKRYLPLLGTQYVSRQDYDQAVANARQADASVLAAKAAVESARINLAYTKVTSPISGRIGKSSVTEGALVTNGQATALATVQQLDPIYVDVTQSSNDFMRLKQESLQQGNNSNNVQLVMENGQPYPLKGSLQFTDVTVDESTGSITLRAIFPNPQHALLPGMFVRARIDEGTQPEAILVPQQGLTRTPQGQATVMLVDAKNQVETRNVTAPQAIGDKWLITEGLASGDRVIISGLQKVRPGVTVMAEPDAAAPVTK ->ARGMiner~~~acrB~~~gi:78100762:pdb:1T9T:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~gi:78100762:pdb:1T9T:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQAKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHH ->ARGMiner~~~norA~~~WP_031833515.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031833515.1~~~fluoroquinolone;acridinedye~~~unknown MNKHIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~hmrM~~~WP_032711517.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032711517.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFIEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAPQVRQGFWLAGFVSVLVMVVLWNAGYIISSKHNIDPQLADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGIGCGVATASVYWVMFASMLTWVRRARSMRDIRCAERFSKPDFSVLKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTLDAQTSARTGVGVGVCMAMITAIFTILMRKQIALLYNDNPEVVLLASQLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDIVVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSVILQRAAR ->ARGMiner~~~mdtE~~~WP_021565695.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_021565695.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYGQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTAFVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~tolC~~~WP_033551253.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_033551253.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIDLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macB~~~WP_029883000.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_029883000.1~~~macrolide~~~unknown MTALLELTDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLARAQALLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRASRAAAPKEALPAATGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTNVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQIFLPGWEIGFSPVAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~blaF~~~WP_065516867.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_065516867.1~~~penam~~~unknown MTGLSRRNVLIGSLVTAAAVGAGVGNAAPALATPFDDQIADLERRHNALIGLYASNLDSGRTLAHRPDEMFAMCSTFKGYAAARVLQMVGRRQISLDNRVFVDREAIVPNSPITETHVGAEMTLAELCQAALQRSDNTAGNLLLKTIDGPAGITAFARSIGDERTRLDRWEVELNSALPGDPRDTSAPAALAAGYRQVLAGDALSPPQRRQLEDWMRANQTSSVRAGLPEGWTTADKTGSGDYGSTNDVGIAYGPDGQRLLLAVMTRSQADDPKADNLRPLIGELTAAVLPSLL ->ARGMiner~~~hmrM~~~WP_017145742.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_017145742.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFIEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQVRQGFWLAGFVSILVMVVLWNAGYIISSMHNIDPAMAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGIGCGVATASVYWVMFFCMLSWVRRARSMRDIHNAERFSKPDFVVIQRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTLEAQTSARTGVGVGVCMAVITAVFTILMREQIALLYNDNPEVVALASQLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDIVVPRMGPAGFWCGFIIGLTSAAVMMMLRMRFLQRQPSSVILQRAAR ->ARGMiner~~~mdtP~~~Q8CVH8~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~Q8CVH8~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDSLIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPIVEKK ->ARGMiner~~~lsaB~~~WP_029324453.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_029324453.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFFNLLLENYEYSGKIISSVEFNYFPYPVSDKNKYTHEILEEICPQVEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAGLFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRMFLDGCVDHILSINRANIEVQNGNYSSWKLNFDRQQEHEEAKNHRLQKDIGRLKQSSKRATGWSNQVESSKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEPLECQSNELINLTDVSVEYNDQIVNKPISFKVEQSDRIVLDGKNGSGKSSILKLILGKPIQYTGSMNLSSGLIISYVQQDTSHLKGRLSDFIEEYEINETLFKSILRKMDFDRIQFEKDISSYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQSFSPTMVIVEHDQAFQQTVATKIISM ->ARGMiner~~~tolC~~~WP_003862520.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_003862520.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPQQDAAVDNFTANSSTPVAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~mdtC~~~WP_038994329.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_038994329.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFATTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAVSNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGVVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~mdtH~~~WP_061155088.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_061155088.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPYQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRYRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAAGQPELPWLMLGAIGFITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~ykkC~~~CCP21271.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~CCP21271.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MFSRVPHVHTWPGPRENTYADISRICTRREKSPGESISCETTIRAFLYCPDTERVKNMKWGLVVIAAVFEVVWVTGLKHADSALAWSGTIVGIIISFYLLIKATDSLPVGTVYAVFTGLGTAGTVLSEILLFKEQADPVKIVLIGVLLIGVIGLKLVTQDKPETKEEKA ->ARGMiner~~~blaF~~~WP_055113124.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_055113124.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGNAAPAFATPVDDQIAELERRDNALIGLSATNLDSGRTLTHRPDEMFAMCSTFKGYAAARVLQMAGRKQISLDNRVFVDPGAIVPNSPITETHAGSEMTLAELCQAALQRSDNTAGNLLLKTIDGPAGITAFARSIGDERTRLDRWEVELNSAIPGDPRDTSTPAALAIGYHQILAGDALSPPQRRQLDDWMRANQTSSVRAGLPEGWTTADKTGSGDYGSTNDVGIAYGPNGQRLLLAVMTRSQADDPKADNLRPLIGELTALILPSLL ->ARGMiner~~~tolC~~~WP_058101237.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_058101237.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPKQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mecI~~~CCM44123.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~CCM44123.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKNEGYDISASEWEIMNTIWNKKLISANEVIEIVQQHKEWSPKTIRTLINRLYKKKFIDRTNRNKIFEYFPIVEEKNMKYKTSKVFLDKVYEGGLNSLVLNFVENEELSEEEIEELKNILNKKKD ->ARGMiner~~~MexE~~~WP_034011660.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_034011660.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAAAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~arnA~~~WP_016150692.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_016150692.1~~~peptide~~~unknown MKAVVFAYHDMGCQGVQALLDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSDEILSIAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQQRVAISPDDVALTLHHKLCQAARQLLEEALPAIKTGDYAEHPQQEAEATCFGRRTPEDSFLNWNKPAAELHNQVRAVSDPWPGAFSYVGTQKFTVWSSRVCKNDRAARPGTVISVSPLLIACADGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTAKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAISRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPENEASIQELAEMLLTCFEKHPLRNHFPPFAGFRDVESSSYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~acrB~~~WP_060440562.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_060440562.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVIAIIVMLAGVLAIMKLPIAQYPTIAPPAVSISANYPGADAKTVQDTVTQIIEQNMNGIDNLMYMSSTSDSSGSVTITLTFDSGTDPDIAQVQVQNKLSLATPLLPQEVQQQGLKVEKSSSSFLMVAGFVSDDPNMTQDDIADYVASNIKDPISRSSGVGEVQLFGAQYAMRIWLDPNKLNNYQLTTTDVTSAITEQNNQIAAGQLGGLPPVPGQQLNASIIAQTRLTSPEEFGKILLKVNTDGSQVRLRDVAHIERGAESYAVTARYNGKPAAGLGIKLATGANALNTAKGVKDELAKMAPFFPQGMKVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIPKGDHGVKTGFFGWFNRMFEKSTHHYTDSVGNILRSTGRYLIIYLLIVVGMGLLFLRLPSSFLPDEDQGILLTMVQLPAGATESRTNKVLEEVSDYFLNKEKDNVVSVFTVAGFGFNGNGQNNGLAFVSLKDWGERPGAGNKVEAIAGRAMGAFSQIKEGLVFPFNLPAIIELGTATGFDFELIDQGGLGHEKLTEARNQLLGMVAQHPDVLVGVRPNGLEDTPQFKLIVDQEKAKALGVSITTINSTLSTALGGSYVNDFIDRGRVKKVYVQAEAPFRMLPEDINKWYVRGTSGQMVPFSAFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMNLMEQLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISILVVFLCLAALYESWSVPFSVMLVLPLGVIGALLAATMRGMNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSKKSEDLEHSHPVEHH ->ARGMiner~~~emrA~~~WP_049196554.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_049196554.1~~~fluoroquinolone~~~unknown MSVNEEKNPPQAPIRNKKRTRRNVLLLLTFIFILAGLAYTAYWFMVLRHHETTDNAYVTGNQIMVMPQISGSVTTVYVDNTDYVKAGEPLVQLDDSDEILALDKAKTALANSVRQMHQQIINGRQLKANIVLRETELAKLQNDLRRREVLGERNVIGKEELQHAREAVATAKAALDVAKEQYNANQAIILTTPIAQQPSVLQAATEVRNAWLALQRTKILSPAEGYISRRSVQVGAQVAPGKPLMAVVPVTGMWIDANFKETQLANMRIGQPAKITTDFYGKKVIYHGRVQGLDMGTGSAFSLLPAQNASGNWIKVVQRLPVRISLDEKEVAEKPLRIGLSTEVTVDTVNLDGKVLSQSERQAPAYHTDALTIDMSEINSLINEIIEQNAG ->ARGMiner~~~tolC~~~WP_001547778.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001547778.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQLTGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~emrA~~~WP_048979803.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_048979803.1~~~fluoroquinolone~~~unknown MSANAESTTPQQPANKKGKRKSALLLLTLLFIIIAVAYGIYWFLVLRHAEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAQTALASSVRQTRQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGTANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMVLGTSLENQPAVQQSATEVRNAWLALQRTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPADNLWVDANFKETQLAHMRIGQTATVVSDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLADHPLRIGLSTLVTVDTANRDGQILASQVRSSPAYESNAREISLDPVNKLIDDIVKANAG ->ARGMiner~~~macB~~~WP_021550525.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_021550525.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGVERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKENVAGGTEPVVKTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTITGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mgrA~~~WP_001283447.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_001283447.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMKQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~MexE~~~WP_034004306.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_034004306.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQTRAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~emrA~~~WP_032706315.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_032706315.1~~~fluoroquinolone~~~unknown MSENAASQTPQQSGSKKGKRKGVLLLLTLLFVIVAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQQGDPLVTLDQTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWVDANFKETQLAHMRIGQPATIVSDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIEIDAKQLAQHPLRIGLSTLVEVDTSNREGEMLASQVRSSPVYESNAREIGLEPVNKLIDGIIQANAG ->ARGMiner~~~VEB-1b~~~AMD83510.1~~~monobactam;cephalosporin unknown +>ARGMiner~~~VEB-1b~~~AMD83510.1~~~monobactam;cephalosporin~~~unknown MPKTWSPIKEEFPNGTTLTIEQILNYTVSESDNIGCDILLKLIGGTDSVQKFLNANHFTDISIKANEEQMHKDWNTQYQNWATPTAMNKLLIDTYNNKNQLLSKKSYDFIWKIMRETTTGSNRLKGQLPKNTIVAHKTGTSGINNGIAAATNDVGVITLPNGQLIFISVFVAESKETSEINEKIISDIAKITWNYYLNK ->ARGMiner~~~nalD~~~WP_043542908.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_043542908.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSPEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRLRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~mdtN~~~NP_290715~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~NP_290715~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYVSADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKSEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~norA~~~WP_002476394.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_002476394.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPHKATTDGFHHYQPELLTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~norA~~~WP_049366453.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049366453.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFIIYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPQKATTDGFHQYQPELFTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~arnA~~~WP_024224020.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_024224020.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~tolC~~~WP_023235249.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023235249.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVHSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~hmrM~~~WP_001174948.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174948.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAQHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRAAR ->ARGMiner~~~tolC~~~WP_055051514.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_055051514.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSDQDPRESDLLSAGISFSQELYQRSSWVSLDTAEKKARQADSQYAAAQQGLILRVAQAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENSLTNSYETLREITGQEYSKLAVLDTKRFAASRTTDSTEALIEKAQQQNLSLLSARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDNAKGTSGEEYNDFKIGVNLSVPLYTGGNTTSQTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYNYILSVLQLRQAIGTLSEQDVMDINAGLKVAKK ->ARGMiner~~~MexF~~~WP_003160388.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_003160388.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKSSPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~mdtH~~~WP_000092164.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092164.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPAQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCAIFNAWLLPAWKLSTVRTPVREGMRRVMNDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLIMSLSMVPIGLVSNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKAFAQPELPWMMLGIIGFITLLALSWQFSHKRASRHMLEPGA ->ARGMiner~~~macB~~~WP_054625806.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_054625806.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGETDVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLNDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVGYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDAARLPAQPQDAPALGQFINSFREALTMAWLAMAANKMRMLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSSEAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~acrE~~~WP_014227024.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_014227024.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTPHVRVTLLSSLIIPAILLSGCDNSGDRQPHAQIPQVSVYVVHSAPLSVTTELPGRTSAYRVAEVRPQVSGIILQRNFVEGSDVTAGQSLYQIDPATYQAAYNSTKGDEAKAEAAAAIAHLTVKRYAPLLGTKYISQQEYDQAVATARQADADVIAAKAAVESARINLAYTKVTSPISGRIGKSSVTEGALVTNGQADAMATVQQLDPIYVDVTESSNDFMRLKQESLQHGSDTKSVQLIMENGKPYALQGTLQFSDVTVDESTGSITLRAIFPNPQHALLPGMFVRARIDEGVSPNAILVPQQGVTRTPRGDASVMLVNDKNLVETRAVTASQAIGDKWLITSGLKAGEKVIVSGLQKVRPGVTVKAEEDTATSVAQ ->ARGMiner~~~mgrA~~~WP_011303683.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_011303683.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEPLNLKEQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWADSPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEAIRPELDTACQDVAVASSLSPDESQELNRLLSKVINAFTEEKSK ->ARGMiner~~~macA~~~WP_019767993.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_019767993.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSSTNSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEAAKKSQGNGARLERLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~adeB~~~WP_000987611.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987611.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEVVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFTGMKYWPTAFMPEEDQGLFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSAFGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~acrB~~~WP_001132512.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132512.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPSVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~Escherichia coli acrA~~~WP_053898187.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_053898187.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRISAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~emrB~~~WP_049139088.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_049139088.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFVWSTVAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVIMTLQTLRGRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPFNPNAQQMYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWVSAGIFILLLSLVWFARPPFSVGGGGGGAH ->ARGMiner~~~sdiA~~~WP_024226219.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_024226219.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQLLEYDCYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~mdtF~~~WP_004098300.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_004098300.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLAAGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVGVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mdtG~~~WP_033642668.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_033642668.1~~~fosfomycin~~~unknown MASAADPVNWKRNLFVAWLGCFLTGAAFSLVMPFLPLYVETLGVTDHQALNMWSGLLFSITFLFSAIAAPFWGALADRRGRKLMLLRSALGMAIVMALMGMAQTVWQFLALRAVLGLLGGFIPNANALIATQVPRNRSGWALGTLSTGGVGGALIGPLIGGLLADLYGLRPVFYITAAVLFVCFVLTLLYVKEQFTPVQKRDMLHAKQVFASLKNPKLVLSLFVTTMIIQIATGSIAPILTLYVRDLAGATHNLAFISGLIASVPGVAALMSAPRLGKLGDRIGPERILVFMLIVSVLLLIPMAFVQTPWQLGVLRFLLGAADGALLPAVQTLLIYNCTNQVAGRIFSYNQSFRDVGNVSGPLLGAAVSAGYGFRAVFGVTALVVLFNAGYSWWCLRRRPGYMREDTLQEE ->ARGMiner~~~FosB~~~WP_058843899.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_058843899.1~~~fosfomycin~~~unknown MTIQHINHLLFSVSNLEESIAFYENVFDAKLLVKGKSTAYFDVNGLWLALNVERDIPRNEIHHSYTHIAFTICEDDYNKMYDKLLQLKVNILTGRQRDEKDKKSIYSTDPDGHKFEFHTGTLQDRLAYYQQEKPHMTFFDN ->ARGMiner~~~lsaA~~~WP_010823919.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010823919.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDARFMKKITDKKIVLKS ->ARGMiner~~~mdtH~~~WP_047364272.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_047364272.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGIMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~acrE~~~WP_042109760.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_042109760.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQSTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~tolC~~~WP_024906491.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_024906491.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGISDTTYSGSKTNGANSQGYNDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPESVAPENPDQVAAVDNFNANSNTPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~arnA~~~WP_001672953.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001672953.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYATDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDCIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtP~~~WP_000610548.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610548.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQFNDPQLDALIQRTLSGSHPLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~patB~~~WP_003722396.1~~~fluoroquinolone unknown +>ARGMiner~~~patB~~~WP_003722396.1~~~fluoroquinolone~~~unknown MSQFDEVIPRIGTNSEKWDGAEELFGRKDIIPMWVADMDFRAPKPVLDAFQRQIDHGIFGYSTKSKALVEAVIDWNKEQHQFEIDPSTLFFNGAVVPTISLAIRSLTNEGDAVLMVSPIYPPFFNVTKATERKVVMSPLIYENRQYRMDFNDLEKRMKEENVKLFLLCNPQNPGGRCFTKEELVELAKLCEKYQIPIVSDEIHADLVMKNHKHVPMMVAAPFYQDQIITLMAATKTFNLAAIKASYYIITNKDYQTRFAAEQKYATTNGLNVFGIVGTEAAYRHGAPWLKELKEYIYSNYEYVKAELEKEVPEVGVTDLEATYLMWLDCRALPKDEKTIYTDLIEAGVGVQMGSGFGHSGKGFVRFNIACPKETLEKAVKLLIQGLKK ->ARGMiner~~~MexA~~~WP_043509561.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_043509561.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPVLLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGCSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~mecI~~~WP_033859758.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_033859758.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDDKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFIDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKK ->ARGMiner~~~norA~~~WP_031770011.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_031770011.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSTFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~MexF~~~WP_046235276.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_046235276.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTDLDNAQVQVQNRVTRSEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVSEEEFENVVVRAGADGEITRLKDIARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVAATHKAMAEVTGPIIATALVLCAVFVPAAFISGLSGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRMLGSWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWMGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVQDAIAFPGLSINGFTNSPNNGVVFVTLKPFDERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDSDQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAAPGYSSGQAQAAVEKLLREELPTGMIYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARVNSQQNLPAEMH ->ARGMiner~~~macB~~~WP_045354445.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045354445.1~~~macrolide~~~unknown MTALLELNNIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVARKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKASVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_001124212.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124212.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~mgrA~~~WP_042363139.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_042363139.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEPLNLKEQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWGESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSEAIRPELDTACQDVAVASSLDQDESKELNRLLSKVIAAFTEEKAK ->ARGMiner~~~emrA~~~WP_032178668.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_032178668.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYISRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~mdtE~~~WP_001667065.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_001667065.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIGSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~smeB~~~CRX68731.1~~~aminoglycoside;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~smeB~~~CRX68731.1~~~aminoglycoside;cephalosporin;cephamycin;penam~~~unknown MVRFFIDRPIFAWVIAIAVSLLGLLAILILPVDRYPQIAPPTITIRATYTGASSQTVENAVTQVIEQSQQSLDHLMYMTSTSASDGSAQVNLVFATGTNPDTAQVQVQNQLQAAMATLPQAVQQNGLTITKSSGSIFEVLSFTSEDGSMDNFDVANFMEARIDDQISRVSGVGNIQPIGQEYAMRIWLDPEKMRQYALMPSDIETALQAQNTDVSAGELGGQPALKGQQLDATVTARSRLHTPEQFARVVLKADASGSVVHLGDVATIGLGPESYDSISTFNGKPSASLGIELNAGANAIAVSKAIETRLQQLQKHWPHGYTYHVAFTTTPFVTISLKEVVITLIEAVILVVLVMYLFLQNWRATLIPTIAVPVVLLGTFGVLAAFGYSINTLTMFALVLAIGLLVDDAIVVVENVERVMTFEGLAPKPATLKAMGQITGALVGIVLVLTAVFLPMAFFSGVTGVIYRQFSVTIAAAMVLSVLVAMTITPALCGSILHQIPKGGHPHGDHGGEPSLLGKFFIWFNHRFERTSNGLRRRVDGFLGRRTLGVLFYLALSVATGLLLWHLPGAFLPDEDQGMLNALVKLPAGATLEQTRAVMDRLSAAAVKDDGVLSIQATAGFSVTGSGQNVGQAFIRLKDWDDRKDDADTIAARLTAATASVPDAQVFITSPPAILGLGDAGGFTLELQDEGGAGHAAAVAARNTLLKEAARDPKLVNVRYASLEDAPVYAVKVDDAKAQAMGVNPQDINDTLNAALGGDFVNNFIYKGRIKKVFIQGTAEARMQPQDIERWSVRNQAGQMVPLSSLVSAHWSSAPAALQRYNGISAMEITGQPAPGVSSGEAMAEIARLADKLPEGFSHAWSDMAYQEQLSGNQAPMLYAISLLFVFLCLAALYESWAVPLAVMLAVPVGVFGAVLMMNLRGLNNDVYFQVGLLTTIGLAAKNGILIVEFARILEQQGRSTREAILQAVYLRLRPIVMTSLAFLMGVLPLVFATGAGSAARRSLGTGVAGGTVASMVLGMFFVPLFYLLVRRLFPGRAPAHAAAPEASP ->ARGMiner~~~cphA2~~~WP_045790630.1~~~carbapenem unknown +>ARGMiner~~~cphA2~~~WP_045790630.1~~~carbapenem~~~unknown MKGWIKCGLAGAVVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYVKENSMVYFGAKGVTVVGATWTPDTARELHKLIKRVSRKPVLEVINTNYHTDRAGGNAYWKSIGAKVVATRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHEGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDQQVLYGNCILKETLGNLSFADVKAYPQTIERLKAMKLPIKTVVGGHDSPLHGPELIDHYEALIKAVPQS ->ARGMiner~~~sul1~~~AJ310778.1.gene12.p01~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~AJ310778.1.gene12.p01~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPGSPADEIRRNAPILDALSDQMHRVSIDRFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDAIDEI ->ARGMiner~~~mphB~~~WP_016208156.1~~~macrolide unknown +>ARGMiner~~~mphB~~~WP_016208156.1~~~macrolide~~~unknown MSRDMKKIKEITKKHNIILNEETMQFNESGLDFQVVLAQDESGIDWVLRLPRREDVMARTKLEKQALDLVNQYAKSFQAPNWIIYTDELIAYKKLSGMPAGTIDHNVGNYVWEIDINDVPESFHKSLGRVLAELHSIPIDKAAQLGLVVQTPEEVRISMKQRMDDVKAKFGVGEDLWNRWEAWINDDEVWPKKTGLIHGDVHAGHTMIDKDANVIGLIDWTEAKVTDISNDFVFHYKAFGEEGLETLIFSYKEAGGYYWPKMKDHIIELVAAYPVSIAEFAMVSGVEDYAQMAKEALGV ->ARGMiner~~~macA~~~WP_040231863.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_040231863.1~~~macrolide~~~unknown MKLKGKIKKRYFLLAIIIIVAMISLWRTLNAPLPQYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAEAEWKLARVTLSRQQQLAKTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLQPGQKAWFTVLGDPQTRYEGVLKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTDVKNVLTIPLSALGDPIGNNRYNVRLLRNGETREREVVIGARNDTDVEIVKGLEEGDEVITGEGKAGVAQ ->ARGMiner~~~macA~~~WP_023232934.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023232934.1~~~macrolide~~~unknown MRAKGKKFKKRYLIIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKIQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071164.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071164.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSISGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~sdiA~~~WP_001154265.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154265.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFNEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~macA~~~WP_000746453.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746453.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNFDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~FosB~~~WP_000911698.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000911698.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENAILFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQKDFERLLHRLEENNVHILQGRERNVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~Klebsiella pneumoniae OmpK36~~~WP_015570956.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK36~~~WP_015570956.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MMKRNILAVVVPALLVAGAANAAEIYNKDGNKLDLYGKAVGLHYFSDYAGNDGDNTYARLGFKGETQINDQLTGYGQWEYNFQGNNSEGGDAQNGNKTRLAFAGLKFGDAGSFDYGRNYGLVYDAIGITDMLPEFGGDTGASDNFFAGRTGGLATYRNSNFFGLVDGLNFGVQYLGKNERDDAVRSNGDGWATSLSYDFDGFGIVGAYGAADRTNNQQTLDWGKGDKAEQWATGLKYDANNIYLAAIYGEMRNAARLGSRGFANKSQDFSVVAQYQFDFGLRPSIAYYKSKAKDVEGIGDEDYINYIDVGATYYFNKNMSTYVDYQINQLKDDNKLGINNDDIVALGLVYQF ->ARGMiner~~~TEM-1~~~ANG31370.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG31370.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSTQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMGERNRQIAEIGASLIKHW ->ARGMiner~~~acrB~~~NC_002695.1.914619.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~NC_002695.1.914619.p01~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSIEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHNHTVDHH ->ARGMiner~~~L1 beta-lactamase~~~WP_049401445.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049401445.1~~~cephalosporin~~~unknown MRFSLLAFALAAALPAAHASAAEAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTENLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRSGAHVVANAESAVLLARGGSDDLHFGDGITYPPANADRIVMDGEVVKVGGIEFTAHFMPGHTPGSTAWTWSDTREGKPVRIAYADSLSAPGYQLQGNARYPRLIEDYKRSFATVRALPCDVLLTPHPGASNWDYASGSKASAKALTCKAYADAAEQKFDAQLAKETARAR ->ARGMiner~~~lsaB~~~WP_043977684.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_043977684.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFFNLLLENYEYSGEIISSVEFNYFPYPVSNKNKYTHEILEEICPQVEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAGLFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRMFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEAKNQRLQKDIGRLTQSSKRATGWSNQVESSKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSNLLKNVEKTESLKLEPLEFQSNELINLTDISVKYNDQIVNKPMSFKVEQGDRIVLDGKNGSGKSSILKLILGESIQYTGSMNLSSSLIISYVQQDTSHLKGRLSDFIEEYEINETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRVQIEELIQSFSPTMVIVEHDQAFQQKVATKIISM ->ARGMiner~~~macB~~~WP_044861296.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044861296.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARRDPVDALARE ->ARGMiner~~~mdtH~~~WP_032252308.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032252308.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRVGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSVHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~TEM-1~~~ANG17183.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG17183.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVAFIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLVKHW ->ARGMiner~~~TEM-122~~~ANG10809.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-122~~~ANG10809.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGGQATMDEQNRQIAEIGASLIKHW ->ARGMiner~~~mdtO~~~WP_001275130.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_001275130.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRMPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHSIAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~macA~~~WP_000746463.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746463.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNGAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mgrA~~~WP_031824502.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_031824502.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDEVKKLNRLLGKVIHAFDETKEK ->ARGMiner~~~hmrM~~~WP_001668640.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001668640.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLGNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~hmrM~~~WP_048335898.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_048335898.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFIEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGLVSVLIMIVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARSMRDIRCVEGFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMRKQIALLYNDNPEVVMLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDVIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSTILQRAAR ->ARGMiner~~~mdtF~~~WP_001688037.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001688037.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAGGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~macB~~~WP_059319814.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059319814.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLPLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~acrB~~~WP_001132510.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132510.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPSEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~tolC~~~CDU53706.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CDU53706.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQHTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNAAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNVHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~macA~~~WP_002857031.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002857031.1~~~macrolide~~~unknown MKKKVILIVLIAMLGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVPNKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLAPKMKLRF ->ARGMiner~~~adeG~~~WP_032009467.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032009467.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSAHLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~mdtC~~~WP_000667533.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_000667533.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAISNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGVVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVLLIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~macB~~~WP_039291473.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_039291473.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGEEQVEVLKGVSLTIEAGEMVAIVGASGSGKSTLMNILGCLDKPSGGSYKVAGVDVSGLDNDALATLRREHFGFIFQRYHLLSHLNASQNVEVPAVYAGTTRAQRQQRAHELLGRLGLKERVEYLPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMATLKQLCERGHTVIIVTHDPAVAAQAQRIIEIRDGEIISNPPPVHQPAARKIENQALRSGSSIQQLISSFREALGMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDNPQFQQALKYDDLLAIGQQPWVSSATPSISSNLRLRYGNVDAAASVNGVSGDYFDVYGMTMSQGASFNDEQMKGRAQVVVLDANSKRQLFPNKSDVVGEVVLVGNMPATVIGVAEEKQSMFGSSKVLRVWLPYNTMAGRVMGQSWLNSITVRVKEGYDSHEAEQQLNRLLSLRHGKKDFFTYNMDGLLKTAEKTTRTLQMFLTLVAVISLLVGGIGVMNIMLVSVTERTKEIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLVIAFTLQLVLPGWQIGFSPVALLTAFGCSTATGVLFGWLPARNAARLNPIDALARE ->ARGMiner~~~sdiA~~~WP_001154281.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154281.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPESWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~mdtH~~~WP_050196613.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_050196613.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELLWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~mdtM~~~WP_001188917.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001188917.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLAALLQRVRQHEAAELAAEK ->ARGMiner~~~mdtH~~~WP_045894155.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045894155.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLLDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~ANT(4')-Ia~~~WP_063609470.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(4')-Ia~~~WP_063609470.1~~~aminoglycoside~~~unknown MNMNGPASMSQKERLQTCQEIAKRLHEVYGNDVLAIGVYGSVSRGTDGPFSDIEMFCVLRDSAETVDKSYEWSAGPWKAEVNVCSASILLKDAATVEDRWPLTHGPYFSPLRLYDPEGFFQRLRLAAESPTKEDFRQAIHEILVAEMYEYVGKLRNVNRNGPSSYLPFLALRFAHYGAMLIGLHNQTLFSTGAMVLPEALKLPHRPKGFDHVAELAMSGDLAQPAKIVSACEDFWKGLIAWAAEHDYVIHSKRIPF ->ARGMiner~~~mdtE~~~WP_024223032.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_024223032.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQADLNSAKGTLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYGQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTESKQ ->ARGMiner~~~QepA2~~~WP_064768701.1~~~fluoroquinolone unknown +>ARGMiner~~~QepA2~~~WP_064768701.1~~~fluoroquinolone~~~unknown MSATLHDTAADRRKATRREWIGLAVVALPCLVYAMDLTVLNLALPVLSRELQPSSAQLLWILDIYGFFVAGFLITMGTLGDRIGRRRLLLIGAAFFAFASVFAALADTAALLIAARALLGLAGATIAPSTMALVRNMFHDPRQRQFAIGVWIAAFSLGSAIGPLVGGVLLEFFHWGAVFWLNVPVMLLTLALGPRFLPEYRDPDAGHLDLASVLLSLAAVLLTIYGLKQLAEHGAGLASMAALLAGLAVGALFLRRQGHIAYPLLDLRLFAHAPFRAALAAYALAALAMFGVYIFMTQYLQLVLGLSPLQAGLATLPWSLCFVIGSLLSPQLAARWPAARILVVGLSAAAFGFAVLGLGQGLWWLVPATIVMGLGLAPVFTIGNEIIITSAPSERAGAASALSETVSEFSGALGIALFGSVGLVVYRQALTSAALPGLPADALQAAGASLGGAVHLADTLPAWQGAALLAAARAGFTDALQATAWAGAVLVLVAAGLVARLLRKRPALASG ->ARGMiner~~~emrB~~~WP_057527010.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_057527010.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRMVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESITAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtG~~~WP_021524864.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_021524864.1~~~fosfomycin~~~unknown MSPCENDPPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~FosB~~~WP_002171522.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002171522.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEKSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEEVDIPRNEIYQSYTHISFTVEQEDFNCLLKRLEENEVHILKGRERDVRDYESIYFLDPDGHKFEFHSGTLQDRLNYYREAKPHMMFY ->ARGMiner~~~mphA~~~WP_040078663.1~~~macrolide unknown +>ARGMiner~~~mphA~~~WP_040078663.1~~~macrolide~~~unknown MTVVTTADTSQLYALAARHGLKLHGPLTVNELGLDYRIVIATVDDGRRWVLRIPRRAEVSAKVEPEARVLAMLKNRLPFAVPDWRVANAELVAYPMLEDSTAMVIQPGSSTPDWVVPQDSEVFAESFATALAALHAVPISAAVDAGMLIRTPTQARQKVADDVDRVRREFVVNDKRLHRWQRWLDDDSSWPDFSVVVHGDLYVGHVLIDNTERVSGMIDWSEARVDDPAIDMAAHLMVFGEEGLAKLLLTYEAAGGRVWPRLAHHIAERLAFGAVTYALFALDSGNEEHLAAAKAQLAAAE ->ARGMiner~~~mdtN~~~WP_021568926.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_021568926.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQTVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~emrB~~~WP_001507824.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001507824.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGREPRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtH~~~WP_063621367.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063621367.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLGRVLHDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~tolC~~~WP_063101806.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063101806.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGVAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mdtP~~~WP_001586568.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001586568.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDTGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~CTX-M-3~~~AIC64390.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64390.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAKSRRDVLASAAKIVTDGL ->ARGMiner~~~mdtN~~~WP_063269009.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_063269009.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVSDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIIVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~mdtG~~~WP_016152611.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_016152611.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFILTLFFIRERFQPVSKKEMLHIREVVASLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPRLGKLGDRIGPEKILIVALVISVLLLIPMSFVQSPWQLAVLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNSFYSWNSLRRRRSTEATG ->ARGMiner~~~arnA~~~WP_021513733.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021513733.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVVFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mexW~~~YP_001186705~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexW~~~YP_001186705~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol~~~unknown MAFTDPFIRRPVLATVVSLLIVLLGFQAFSKLSIRQYPQMENALITVTTAYPGANAETIQGYITQPLQQSLASAEGIDYMTSSSQQNLSTISIYAHIGADTDRLFTELLAKANEVKNQLPQDAEDPVLSKEAADASALMYVSFYSDELSNPQITDYLSRVIQPKLATLPGMAEAQILGNQVFAMRLWLDPVKMAAYGITAGDLNSAVRKYNFLSAAGEVKGQYVVTSINASTDLKSAEAFGAIPVKTLGDTRVLVRDIARVEMGAENYDSISSFDGIPSVYIAIKGTPSANPLDVIKEVRGILPELEAQLPPNLKVAIAYDATLFIQASIDEVVKTLVEAVLIVIVVVFLFLGAFRSVLIPVITIPLSMIGVLFFMQLMGYSINLLTLLAMVLAIGLVVDDAIVVVENIHRHIEEGKTPFDAAIEGAREIAVPVVSMTITLAAVYAPIGFLEGLTGALFKEFALTLAGAVIISGIVALTLSPMMCAKLLRHDENPSGLAHRLDMIFDRLKQRYQKMLHGTLNTRPVVVVFGVIVMALIPVLLSFTESELAPEEDQGVVFLFANAPQPTNLDYVNAYTDQFVEIFKSFPEYYSSFQINGFDGVQSGIGGFLLTPWNDRERTQMEILPEVQARLNHIPGLQIFGFNLPSLPGTGEGLPFQFVVNTPNDYESLLQVAERVKARALESGKFAFLNIDLAFDKPEIVVDIDRAKAAQMGVSMEDLGATLASLLGEGEINRFTIDGRSYKVIAQVERAYRDNPGWLDNYHVRSESGAMVPLGTLISLSDRARPTKLKQFQQLNSAIIEGVPIVSQGEAIDTITAIAREEAPRGYSFDYAGASRQYIQEGSALFVTFALALAIIFLVLAAQFESFRDPLVILVTVPLSICGALVPIFLGFSTMNIYTQVGLVTLIGLISKHGILIVEFANQLRHEKGLPLREAIEEAAAIRLRPVLMTTAAMVFGMVPLILASGAGAVSRFDIGLVIATGMSVGTLFTLFVLPCVYSLLAKPDAEPQAQAVPVH ->ARGMiner~~~macB~~~WP_062914571.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_062914571.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRRGHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLARLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPVSRQGGGLRARQQEEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSDTAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mexH~~~WP_033979921.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_033979921.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGHPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~PDC-7~~~WP_047337347.1~~~monobactam;carbapenem;cephalosporin unknown +>ARGMiner~~~PDC-7~~~WP_047337347.1~~~monobactam;carbapenem;cephalosporin~~~unknown MRHTTLTGLGVISACALLLGASSAFAETTADSALKTTVDATIRPLMHQQGIPGMAVAIIANGKRHYFNYGVASKDNQQPVDNDTLFEVGSVSKTYTATLAGYAQASGKLALEDHASQYLPALRGSALDGISLLQLGTYTAGGLPLQFPDDVQGEDKTLDYYNTWKPTFSPGTQRQYSNPSLGLFGYLAARSLGQPFDRLMEQTLFPKFGLKHSYIHLPKDQLGHYAQGYDKQNRPIRLSPGPLDAEAYGVKTSAPDLLQFIAGNLQPGQFERPLQQAMVATQSGYYQVGDMTQGLGWERYAYPVPLARLLAGNSSAMALEPHPVQWLTPAAAPKADALYNKTGSTSGFGAYVMFIPSQQIGIVLLANKNYPNEERIKAAHAILTALETGK ->ARGMiner~~~msrA~~~EVD62957.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~EVD62957.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETVGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKPQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKNGN ->ARGMiner~~~mdtC~~~WP_000667534.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_000667534.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAISNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGVVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLSYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTTQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~mdtP~~~WP_032202292.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032202292.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNNPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~lsaB~~~WP_064016697.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_064016697.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKIISSVEFNYFPYPVSDKNKYTHEIFEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKMVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNQRLQKDIGRLKQSSKRSAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKATEEKSKLLKNVEKTEALKLEALEFQSNELIVLADVSVKYDDQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGNPIHHTGSMNLGSGLIISYVQQDTSHLKGLLSDFIEEHEIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQRFNPTMVIVEHDQAFQQTVATKTISM ->ARGMiner~~~tolC~~~WP_032659905.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032659905.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSNTPAAQPAAARTTTSASKGNNPFRN ->ARGMiner~~~tolC~~~WP_034461021.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_034461021.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLTGFSAMSQAENLMQVYQQARLSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYGYSNGFRDSNGIDSTTTSASLQLTQTIFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNAVETLRQVTGNYYPSLASLNVDGFKTNKPDAVNNLLKEAEKRNLALLQARLSQDLAREQIRYAETGHMPTVGLTASTGVSDTNYSGSKTTSQAYDDQGVGQNKIGLNFSLPLYSGGSVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISTINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNGTLGKPVPTTAESVAPETPDQNARADGYSADTAATTKTETPAQATPVSATNKKSANPFGN ->ARGMiner~~~Bacillus subtilis mprF~~~NC_003923.1003359.p01~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~NC_003923.1003359.p01~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~macB~~~WP_025760497.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_025760497.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLNIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDIATLDADALAQLRREHFGFIFQRYHLLPHLTVEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDQQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-1~~~ANG25787.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG25787.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATVNERNRQIAEIGASLIKHW ->ARGMiner~~~mdtH~~~WP_064513438.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064513438.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIRFVDQLGWAAVVVGAALGLRQFIQQGLGIFGGAIADRFGAKPMIVIGMLLRAAGFALMAIADQPWILWLACALSALGGTLFDPPRTALVIKLVRPHERGRFYSILMMQDSAGAVIGALLGSWLLQYDFHLVCWVGAFIFVLAALWNAWLLPAYRISTVRTPMREGMLRVIRDKRFLTYVLTLTGYYMLAVQVMLMLPIVVNEVAGSPAAVKWMYAIEAALSLTLLYPIARWSEKHFRLEQRLMTGLFIMSISMFPIGLTDSLQTLLMLICFFYLGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWMYDTGKSLSLPQLPWFLLGIIGLITLAGLYWQFNQRRIEPAMLSGS ->ARGMiner~~~acrB~~~WP_000492815.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_000492815.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MFSRFFVRRPVFAWVIAILIMLAGILAIRTLPVAQYPDVAPPTIKISATYTGASAETLENSVTQVIEQQLTGLDNLLYFSSTSSSDGSVSINVTFEQGTDPDTAQVQVQNKIQQAESRLPSEVQQTGVTVEKSQSNFLLIAAVYDTTDKASSSDIADWLVSNVQDPLARVEGVGSLQVFGAEYAMRIWLDPAKLASYSLMPSDVQSAIEAQNVQVTAGKIGALPSPNTQQLTATVRAQSRLQTVDQFKNIIVKSQSDGAVVRIKDVARVEMGSEDYTAIGKLNGHPSAGVAVMLSPGANALNTATLVKDKIAEFQRNMPQGYDIAYPKDSTEFIKISVEDVIQTLFEAIVLVVCVMYLFLQNLRATLIPALAVPVVLLGTFGVLALFGYSINTLTLFAMVLAIGLLVDDAIVVVENVERIMRDEGLPAREATEKSMGEISGALVAIALVLSAVFLPMAFFGGSTGVIYRQFSITIISAMLLSVVVALTLTPALCGSVLQHVPPHKKGFFGAFNRFYRRTEDKYQRGVIYVLRRAARTMGLYVVLGGGMALMMWKLPGSFLPTEDQGEIMVQYTLPAGATAARTAEVNRQIVDWFLINEKANTDVIFTVDGFSFSGSGQNTGMAFVSLKNWSQRKGAENTAQAIALRATKELGTIRDATVFAMTPPAVDGLGQSNGFTFELLANGGTDRETLLQMRNQLIEKANQSPELHSVRANDLPQMPQLQVDIDSNKAVSLGLSLNDVTDTLSSAWGGTYVNDFIDRGRVKKVYIQGDSEFRSAPSDLGKWFVRGSDNAMTPFSAFATTRWLYGPERLVRYNGSAAYEIQGENATGFSSGDAMTKMEELANSLPAGTTWAWSGLSLQEKLASGQALSLYAVSILVVFLCLAALYESWSVPFSVILVIPLGLLGAALAAWMRDLNNDVYFQVALLTTIGLSSKNAILIVEFAEAAVAEGYSLSRAALRAAQTRLRPIIMTSLAFIAGVMPLAIATGAGANSRIAIGTGIIGGTLTATLLAIFFVPLFFVLVKSLFAGKPRRQE ->ARGMiner~~~mdtA~~~CZW25224.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~CZW25224.1~~~aminocoumarin~~~unknown MGLCRVALRLPGLRFEDLVGRVRRSRHPAKQRTTLRPFLTVFHISSIFPLCYLTKLVSFPESVSGRERITMKGSNKSRWAIAVGLIVVVLAAAWYWHSQSANSTAPAGANSPSQRPTGGGRHGMRGAALAPVQAATAVNKAVPRYLSGLGTITAANTVTVRSRVDGQLMAIHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLANARRDLARYQQLVKTNLVSRQELDTQQSLVSESQGTIKADEAAVASAQLQLDWSRITAPIDGRVGLKQVDIGNQISSGDTTGIVVITQTHPIDLVFTLPESDIATVIQAQKAGKALVVEAWDRTNKQKLSEGSLLSLDNQIDTTTGTIKLKARFNNQDDALFPNQFVNARMLVATEENAVVIPTAALQMGSEGNFVWVLNSENKVSKHLVKTGIQDSQTVVISAGLSAGDRVVTDGIDRLTEGAQVEVVEAQNIGAKA ->ARGMiner~~~macA~~~WP_045386700.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045386700.1~~~macrolide~~~unknown MTLNGKRRKVWWLLALVVVIAAIWGWRILNAPLPQYQTLVARKSDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAENQIKEVDATLMELRAQLKQAQAERKLAQVTLARQQQLAQRQLVSRQDLDTAATDVAVKEAQIGTIEAQIKRNQATLDTAQTNLDYTRILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLAEVKNVITIPLSALGDAIGDNRYNVRLLRNGEVKEREIVIGARNDTDVAVAKGLEEGDEVIISESTPGATK ->ARGMiner~~~mdtH~~~WP_023234860.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023234860.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLVFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGGWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALTQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~emrB~~~WP_038808353.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_038808353.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTTAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRNRETKTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFSSNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAAAAASSLSNFTRTLAGSIGTSITTTLWTNREALHHAQLTESVTPFNPNAQQIYDQLQGMGMTQQQASGWIAQQITNQGLIISANEIFWISAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~novA~~~WP_015576168.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_015576168.1~~~aminocoumarin~~~unknown MKPDEPAWTPPAADAGQPPAELRRIFRLFRPYRGRLTVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVVATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFSEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLTLQSGATAVSIGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFARIFEYLDLEVDITEPAKPVRLEKIRGEIAFEDVTFHYDEKSGPTLSGVEVTVPAGSSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATDEEIEAATRTAQIHDHIAGLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSKGRTTLTIAHRLSTVRDADQIIVLDDGAVAERGTHEELLEQDGRYAALIRRDSHLAPAAT ->ARGMiner~~~mdtH~~~WP_015367204.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_015367204.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGLVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTAAMLPIGLTSTLQQLFTLICIFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKVLNQPELPWLMLGIIGFATFIALWWQFSQKRSASGMLEPRT ->ARGMiner~~~emrA~~~WP_054192373.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_054192373.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVALDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~mecI~~~AMQ26175.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~AMQ26175.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MTREGYDISASEWEIMNTIWNKKLISANDVIEIVQKHKEWSPKTIRTLINRLYKKKFIDRTSRNKIFEYFPIVEGKDMKYKTSKVFLDKVYEGGLNSLVLNFVENEELSEDDIEELKNILNNKY ->ARGMiner~~~VIM-1~~~WP_058685394.1~~~carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~VIM-1~~~WP_058685394.1~~~carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MAVFMACYDCFFVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTKLCRTHPYGVLMLKVISSLLVYMTASVMAVASPLAHSGEPSGEYPTVNEIPVGEVRLYQIADGVWSHIATQSFDGAVYPSNGLIVRDGDELLLIDTAWGAKNTAALLAEIEKQIGLPVTRAVSTHFHDDRVGGVDVLRAAGVATYASPSTRRLAEAEGNEIPTHSLEGLSSSGDAVRFGPVELFYPGAAHSTDNLVVYVPSANVLYGGCAVHELSSTSAGNVADADLAEWPTSVERIQKHYPEAEVVIPGHGLPGGLDLLQHTANVVKAHKNRSVAE ->ARGMiner~~~aadK~~~WP_014664734.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_014664734.1~~~aminoglycoside~~~unknown MRSEQEMMDMFLDFALNDERIRLVTLEGSRTNKHIRPDNFQDYDISYFVTDIESFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKVDLTLIPIREAEDYFANNDGLVKVLLDKDTFISYEVTPNDRQYWTKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQQGYSFSMGKNYKFMKRYLSNKEWEELMSTYSMSGYQEMWKSLFTCYALFRKYSKAVSESLAYKYPDYDEGITKYTKGIYCSVK ->ARGMiner~~~emrA~~~WP_063105381.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_063105381.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTPPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~bcrA~~~WP_000187942.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000187942.1~~~peptide~~~unknown MTPINTIIKTTNLTKVYGKQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGEDLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVYKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGRLLEEVSLDKLRKANRKYIEFQVNNDNKAAMLLENQFQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNDIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~MexA~~~WP_033995295.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_033995295.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPADPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~vgaD~~~WP_003727089.1~~~streptogramin;pleuromutilin unknown +>ARGMiner~~~vgaD~~~WP_003727089.1~~~streptogramin;pleuromutilin~~~unknown MSTIEINQLKIEVADRVLVEIPHLLVSKKARIGIIGQNGLGKTTLMEVIAGAKEATSGTVTTQGKLAYIKQLSTDTSTKSGGEKTRKAIQHAMRQNPSVLLADEPTSNLDVESVKHLERQWSDFHGALIIISHDRTFLDALCTEIWEIKNQKIHVYKGNYHAYLEQKQQQENQAELVYKEFKNKKQQLQASQTHHEIEAGRIVKPGKRLNNKEASAFKAGKGTQQKKQHSTIKALEKRIERLGNVEKPHTTKPIKMITPDNRVIKKGNTILSVKETTYEIAGRKLFETKAFSIKAGDKVALIGENASGKTTFLKEIIQENPNLLCNTQAKIAYFDQELNGLNQTKSLLENISEISVQTKQVNREVLGSMHFKESDLHKEVRMLSGGERVKLLLSMLLVSDANFLILDEPTNYLDIYAMEALETLIKQFAGTVLFVSHDRTFVNHVAEQLLVIENNEMTFHRMTFAEYEESKAPSRITEEDKLILEMRMSEIAAKLMQPNLKPTEKAMLEQDYQEIITKRQQFS ->ARGMiner~~~bacA~~~Q6D159~~~peptide unknown +>ARGMiner~~~bacA~~~Q6D159~~~peptide~~~unknown MTDLHSLLIAFILGVVEGLTEFLPVSSTGHMIIVGHWLGFVDEKAKTFEVIIQLGSILAVVVMFWRRLFGLIGIHFGKVPHEGKTSGRLKLTHILLAMIPAVVLGLIFHDVIKSLFYPQNVMYSLVIGGFLLLAAEWFKPKEPRAVGLDDITHRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFILAVPMMMGATVLDLYKSWHFLSLADVPMFAVGFVTAFVVALIAIKTFLKIIKRISFIPFAIYRFIVAGVVYMVFM ->ARGMiner~~~macA~~~WP_049079560.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_049079560.1~~~macrolide~~~unknown MKLNGKRRKVWWLLAIVVLALAVWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALKKVDVGAQVSGQLKTLRVEIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERKLSAATLARQQQLAQRQLVSRQDLDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLSEVKNVITIPLSALGEAIGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVKGLDEGDEVIIGEGVAGAAK ->ARGMiner~~~tet(59)~~~SBZ20680.1~~~tetracycline unknown +>ARGMiner~~~tet(59)~~~SBZ20680.1~~~tetracycline~~~unknown MNKPAVIALVITLLDAMGIGLIMPVLPSLLREYLPEADVANHYGILLALYAVMQVCFAPLXXXXXXXXXXXXXXGLIAGPAIGGLAGDISPHLPFVIAAILNACTFLMVFFIFKPAVQTEEKPAEQKQESAGISFITLLKPLALLLFVFFTAQLIGQIPATVWVLFTESRFAWDSAAVGFSLAGLGAMHALFQAVVAGALAKRLSEKTIIFAGFIADATAFLLMSAITSGWMVYPVLILLAGGGIALPALQGIISAGASAANQGKLQGVLVSLTNLTGVAGPLLFAFIFSQTQQSADGTVWLIGTALYGLLLAICLLIRKPAPVAATC ->ARGMiner~~~mdtG~~~WP_023322308.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_023322308.1~~~fosfomycin~~~unknown MSSVDTPINWKQNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMSVVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGAVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIAKKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGRLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAAEASQPDRHSVN ->ARGMiner~~~tet(30)~~~WP_057691494.1~~~tetracycline unknown +>ARGMiner~~~tet(30)~~~WP_057691494.1~~~tetracycline~~~unknown MNRSLFIIFATIALDAIGIGLIFPILPLLLQDMTHSTHISIYMGILASLYAAMQFIFSPLLGALSDRWGRRPVLLISLAGSAVNYLFLTFSHSLILLLVGRIIVGITSANMAVASTYIVDVSQENNRAKYFGLINAMFGAGFIIGPVLGGFLSEYGLRLPFLVAAILTGLNLLFAYFILPETRRVTSEGKQLSTLNPFKIFAGISSIRGVLPFVMTFFIFSAIGEVYGVCWALWGHDTFQWSGFWVGLSLGAFGLCQMLVQALIPSHASRLLGNRNAVLIGIACSCLALAVMAFAQSGWMIFAIMPIFALGSMGTPSLQALASQKVSADQQGQFQGVIASTVSMASMIAPMFFSTLYFQFQEKWPGAIWLSVILIYLITLPIILYSTRPVVQQR ->ARGMiner~~~TEM-1~~~ANG31218.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG31218.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALLPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~FosB~~~WP_014417395.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_014417395.1~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSNLERSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~tolC~~~WP_064791144.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064791144.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSTMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSNTPAAQPAAARTTTSASKGNNPFRN ->ARGMiner~~~acrF~~~WP_046595348.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~WP_046595348.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MANFFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRLKDPEEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGSSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLSPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGFFGWFNTRFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMAVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIDQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAPGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVISRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~macA~~~WP_023581296.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023581296.1~~~macrolide~~~unknown MAFLSLKKQGKAITLILIIVAIATYFFWPKENTPTYQTQTITRGDLSKEVTATGKLDAVRKVDVGAQVSGQLQTLYVKEGDIVKKGDLLAIIDPKKAQNDVTESQETNNELKANLQQAQAELRLAQLTYQRQLKLIAAHVISQEELDRTKTDVEVKKARIITYEAQIKKNQATLDSAKTNLQYTRITAPMDGVVTFIKTLEGQTVIAAQEAPTILTLADLDTMLVKAEVSEADVIYLKPALSASFTVLGAPDKAFSGELKDILPTPEEINGAVFYYARFEVPNEQHLLRLQMTAQVKILVENKKNVLLVPLSVLGDDAGINEYYVDVFVNGKPERRTVKIGMRTDVYAEVLSGLNENDEVILGETSGEA ->ARGMiner~~~Serratia marcescens Omp1~~~WP_021517521.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_021517521.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEESSLGKGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNTSGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKNVEGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~novA~~~WP_053623344.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_053623344.1~~~aminocoumarin~~~unknown MKPDTPPWTPPPDARSVTDRQPGEVRRILRLFHPYRARLALVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEGVDFSYDEKNGPTLTGIDLTVPAGSGLAVVGSTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATAEEIETAARAAQIHDHIASLPDCYDTLVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQEAIDALSAGRTTLTIAHRLSTVRDADQIVVLEGGRIAERGTHEDLLARDGRYAALIRRDSHPVPAPAP ->ARGMiner~~~SRT-2~~~WP_048760931.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_048760931.1~~~cephalosporin~~~unknown MTKINRLAAALFAALILPAGHAADKADIDAIIQPLMQKYAVPGMAIAVSVEGKQQFYHYGVASRQTGKPITNQTLFEIGSLSKTFTATLATYAVSEGKMSFADPASRYLPALRGSAFDHVTLLNLATHTSGLPLFVPDDVTNDAQLMAYYQQWQPPHAVGSYRVYSNLGIGMLGIITAKSLNQPFTQAMEKQLLPALGMNHTYIKVPAEEMANYAQGYNKKDQPVRVTPGPLDAEAYGIKSNAQDLIRYLDANMQVVKVGDPWRKALAATHTGYYRTGVFTQDLMWESYAYPEKLATLTEGNNAGMIMNGAPATAITPPKQDQGAAWYNKTGSTGGFSTYAVFIPSQKIAVVMLANKWFPNDDRVAATYRIVQALDKR ->ARGMiner~~~macA~~~WP_001748305.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001748305.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRLGATP ->ARGMiner~~~TEM-207~~~ANG18131.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG18131.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLVDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~MexF~~~WP_028680885.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_028680885.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTESVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~novA~~~WP_050357634.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_050357634.1~~~aminocoumarin~~~unknown MKPEEPTWTPPPDAATDRPPAEVRRILRLFHPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQGFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEDVDFSYDEKNGPTLTGIDVTVPAGGSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQQAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRAAERGTHEELLDRDGRYAALIRRDAHPAPVPAP ->ARGMiner~~~emrB~~~WP_052988116.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_052988116.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTKRRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLFGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~APH(6)-Id~~~WP_053266543.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_053266543.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDVDPRRLLDQAYAYGCLSAAWNANGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~sdiA~~~WP_023290280.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023290280.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMAAGEEVYNLLQRETETLEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWEDGLFRDAQALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPNAYPDDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~macB~~~WP_000188150.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188150.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNSPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mepA~~~WP_031837026.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031837026.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHHGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLYGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~TEM-207~~~ANG23785.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG23785.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKAKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADEVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macB~~~WP_064669414.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064669414.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYLAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mexY~~~AAF14523~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~AAF14523~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYTLVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~mdtF~~~WP_033868506.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_033868506.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~sdiA~~~WP_064182719.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_064182719.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMATGREVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWNDSLFRDAPALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPGGYPEDELELRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~macB~~~ZP_03003741~~~macrolide unknown +>ARGMiner~~~macB~~~ZP_03003741~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_014885259.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_014885259.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPESVAPENPDQVAAVDNFNANGSTPAAQPAAARSTAPASSGSNPFRH ->ARGMiner~~~arnA~~~WP_000860279.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860279.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~Escherichia coli ampC~~~AIW80402.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~AIW80402.1~~~cephalosporin;penam~~~unknown MAGRFVWKPDPMFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAAHPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~msbA~~~WP_031845286.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031845286.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLNERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~TEM-206~~~ANG30860.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-206~~~ANG30860.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIRHFRVTLIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIVAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~OprN~~~WP_023097551.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_023097551.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLAPALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSESSRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~mepA~~~WP_031875798.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_031875798.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMEFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~nalC~~~WP_031633792.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_031633792.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRLAQG ->ARGMiner~~~macA~~~WP_045899827.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045899827.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATELAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mdtM~~~WP_023218596.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_023218596.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIIYVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAAMLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~bcrA~~~CKF49145.1~~~peptide unknown +>ARGMiner~~~bcrA~~~CKF49145.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGKQKSVDKLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGEDLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVYKKNAIEDALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGRLLEEVSLDKLRKANRKYIEFQVNNENKAAMLLENQFQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNDIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~tolC~~~WP_021511639.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_021511639.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLLLNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNTLLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~mexW~~~CAY51926~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexW~~~CAY51926~~~macrolide;fluoroquinolone;tetracycline;acridinedye;phenicol~~~unknown MKFTDVFIRRPVLAMVVSLLIVLLGFQAYSKLPLRQYPSMENALITVTTAYPGANAETIQGYITQPLQQSLASAEGIDYMTSVSRQNFSVISIYARIGANSDRLFTELLAKANEVKNKLPQDAEDPVLSKEAADASALMYISFSSGQLSNPQITDYLSRVIQPKLATLPGMAEAEILGNQVFAMRIWLDPVKLAGFGLSASDITDAVRRYNFLSAAGEVKGEFVVTSINANTDLKSADAFGAITVKTAGDSRVLLRDVARVEMGAENYNAISSFGGTPSVYIGIKATPSANPLDVIKEVRKIMPELESQLPPTLKAEIAYDATLFIQASINEVVKTLFEAVLIVIVVVFLFLGALRSVVIPVITIPLSMIGVLFFMQLMGYSINLLTLLAMVLAIGLVVDDAIVVVENIHRHIEDGKTPLDAALEGAREIALPVVSMTVTLAAVYAPIGFLEGLTGALFKEFALTLAGAVIISGIVALTLSPMMCALLLRHDENPSGLAHRLDRIFDSLKRRYQSMLHGTLNTRPVVIVFALIVLCLIPVFLKFTQSQLAPDEDQGIIFMMASAPQPTNLDYLNTYTDEFIKIFKEFPEYYSSFQINGFNGVQSGIGGFLLKPWNERDRTQMQILPEVQKRLEQIPGLQVFGFNLPSLPGTGEGLPFGFVINTANDYESLLDVANRVKKRAMASGKFAFVDIDLAFDKPEVVVDIDRAKAAQMGVSMQDLGGTLATLLAEAEINRFTLDGRSYKVIAQVERAYRDNPDWLNNYYVKNAQGELLPLSTLITLTDRARPRQLNQFQQLNSALISGFPIVSMGEAIDTVRQIALEETPPGYAFDYSGASRQFIQEGTALWVTFGLALAIIFLVLAAQFESFRDPLVILVTVPLSICGALIPLFLGWSSMNIYTQVGLVTLIGLISKHGILIVEFANQLRKDKGLTPRQAVEEAAAIRLRPVLMTTAAMVFGMVPLIFATGAGAVSRFDIGMVIATGMSIGTLFTLFVLPCVYTLLAKPDKA ->ARGMiner~~~macA~~~WP_014883196.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_014883196.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVILVGGFWLWQVLNAPVPQYRTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDNRYKVKVLRNGETRDREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~macA~~~WP_063408054.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063408054.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~mexY~~~WP_058165889.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_058165889.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPTLCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPVRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~mdtP~~~WP_021578337.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_021578337.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHQDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mdtH~~~WP_032438582.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032438582.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDYRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~hmrM~~~ZP_03034990~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~ZP_03034990~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~FosX~~~WP_046335541.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_046335541.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLREIFNAEEIYSSGDQTFSLSKEKFFLIAGLWICIMEGDSLQERTYNHIAFQIQSEEVDEYIERIKSLGVEIKPERPRVQGEGSSIYFYDFDNHLFELHTGTLEERLKRYHE ->ARGMiner~~~macA~~~WP_023202044.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023202044.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~tetX~~~AMP52614.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP52614.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLTPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~macB~~~WP_001524406.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001524406.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIVRALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mepA~~~WP_000651057.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_000651057.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHHGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIDVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLYGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~sul1~~~WP_061892004.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_061892004.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTPAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~macB~~~WP_024250137.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024250137.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNIFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexD~~~WP_023107863.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_023107863.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGSAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTTLGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATAKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTIMAVSPPPIDGLGNSGGFALRLMDRGGVGREALLQARDTLLGEVQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVAGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVVPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSRPAPIEQAATAGE ->ARGMiner~~~tolC~~~WP_017039094.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_017039094.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPVFISAALGAMSTSAFADTFADIYNHAKENDPQLLGAAAQRDAAFEAVTSSRSSLLPQINLTAGYNVNRSNQDGRESDKLSAGVNFSQSLYNRSSWVTLDTAEKTARRADSAYAAEQQGLILRVSSAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQYDGVLADEVLAENDLINSYENLREITGREHSNLNVLDTERFSASKTETPVKALVEEAEQKNLSLLTARISQDVARDNISLASSGHLPSITLDGGYNYANDSSDDYAPPYSSNNDFNIGVNLSVPLYTGGNVTSQTKQAEFAYVAASQDLEATYRSVVKNVRAFNNNISASIGALRAYEQTVVSATSALEATEAGFDVGTRTIVDVLDSTRRLYDANRNLSDARYNYIISVLQLRQAVGTLSEQDVMDVNAGLKPST ->ARGMiner~~~mdtF~~~WP_000024878.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_000024878.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNHLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~TEM-1~~~ANG27698.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG27698.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQFGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~bcrA~~~WP_000074552.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_000074552.1~~~peptide~~~unknown MSPIHTIIKTTNLTKVYGTQKSVDNLNINVQQGEVYGFIGRNGAGKTTTIRMLLSLIKPTSGNIEIFGEDLFQNQKNILRRIGSIVEVPGFYENLTAKENLLINAKIIGIHKKNAIEEALEIVGLQHETTKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIKSLAQERNITILISSHILVEVEQLVDRMGIIHEGKLLEEVSLDTLRKTNRKYIEFQVNNDNRATMLLENHLQIFDYEVHDEGNIRIYSHFGQQGHINRTFVLNDVDVLKMAMSEDRLEDYFTNLVGGGTIG ->ARGMiner~~~FosB~~~WP_000911696.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000911696.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEKSIIFYEKVLEGELLVKGRKLAYFNICGVWVALNEETHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCKSIYFVDPDGHKFEFHSGTLQDRLNYYREDKSHMTFY ->ARGMiner~~~macB~~~WP_015704872.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_015704872.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGEVDVLKGITLSIAAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRIRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSSEKGGVLRAEARGEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexB~~~WP_064382970.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_064382970.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKTAIAAQNVQVSSGQLGGLPAVQGQQLNATIIGKTRLQTAEQFKEILLKVNKDGSQVRLKDVADVGLGGENYAISAQFNGSPASGLAVKLANGANALDTAKALRKTIDDLKPFFPQGMEVVFPYDTTPVVTESIKGVVHTLVEAIALVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPHGEHGTPKKGFFGWFNRNFDRGVNSYERGVGNMLSRKAPYLLAYLLIVIGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAQRTQVVVDEMREFLLRPTKDGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLKPWDERNADNSVFKLAARAQQHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMAARNQFLGMAAQSKVLTQVRPNGLNDEPQFQLEIDDEKASALGITISDINNTLSIALGSSYVNDFIDRGRVKKVYVQGRPDSRMSPEDLKKWYVRNASGTMVPFSAFAKGEWIYGSPKLARYNGVEAVEILGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSMGQRKNAGKDDAIETPKEAGQ ->ARGMiner~~~emrB~~~WP_004853465.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_004853465.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFAIASWACGMSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVVMTLQTLRNRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASKEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPYNPNAQQMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWFSAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~CTX-M-30~~~AIC64375.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64375.1~~~cephalosporin~~~unknown MVKKSLRPFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~tetX~~~CRL66316.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~CRL66316.1~~~glycylcycline;tetracycline~~~unknown MTLLKNKKITIIGAGPVGLTMARLLQQNRVDVTVYERDKDRDARIFGGTLDLHRDSGQEAMKRAGLLQTYYDLALPMGVNIADEKGNILTTKNVKPENRFDNPEINRNDLRTILLNSLQNDTVIWDRKLVTLEPDKEKWTLTFEDKPSETADLVIIANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEVNCPGFFQLCNGNRLMAAHQGNLLFANPNNNGALHFGISFKTSDEWKSKTLVDFQDRNSVVDFLLKKFSDWDERYKELIRVTSSFVGLATRIFPLGKSWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLTNGKFNSIEEAIENYEQQMFAYGREAQAESIINETEMFSSDFSFQKLMNL ->ARGMiner~~~hmrM~~~WP_048214273.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_048214273.1~~~fluoroquinolone;acridinedye~~~unknown MQKYVSEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQIRQGFWLAGSVSVLIMVVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPAMVMGFLGLLVNIPVNYVFIYGHLGMPELGGVGCGVATAAVYWVMFVAMLSYVKRARSMRDIRNERGFLKPDTAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGVCMAVVTAIFTVTFREHIALLYNNNPEVVALAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAILMMLRMRFLQRQPSAVILQRAAR ->ARGMiner~~~norA~~~WP_020977063.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_020977063.1~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGVLAFIMSIVLIHDPKKVSTNGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQDM ->ARGMiner~~~acrB~~~WP_009639087.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_009639087.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MANFFIQRPIFAWVIAIIIMLAGGLSILKLPIAQYPTVAPPAIELTANYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSSGAVQITLTFTSGTDADIAQVQVQNKLQLAMPLLPQEVQQQGISVQKSSSSFLMVAGFVSDGNMTQDDIADYVASNIKDPISRTSGVGDVQLFGAQYAMRIWMDPNKLNNYQLTPVDVISAITVQNNQVAAGQLGGTPPVPGQQLNSSIVAQTRLKSADEFGQIILKVNPDGSQVRLKDVADIKLGGESYDVIARFNGQPAAGLGIKLATNANALDTSSAVKAELAKLSPFFPSGLKVVYPYDTTPFVKISIQEVVKTLIEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAIIAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATIKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGVIYRQFSITIVSAMVLSVLVALILTPALCATMLKPVAKGSHGKTSGFFGWFNKKFEQSTHHYTDSIGNILRSTGRYLIIYLLIVVGMAFLFVRLPTSFLPEEDQGVFLTMAQLPAGATQERTEKVLDEVTHYYLTKEKANVNSVFTVNGFGFAGRGQNTGIAFVSLKDWSERSGAENKVAAITGRAMGEFSKIKDAMVFAFNLPAIVELGTATGFDFELVDQANLGHDKLTQARNQLLGEVAQHPDLLSGVRPNGLEDTPQYKLEIDQEKATALGVSISDINTTLGASLGGSYVNDFIDRGRVKKVYVQGDAKFRMLPKDIENWYVRGSSGQMVPFSAFSTAKWEYGSPRLERYNGLPSMEILGQPVEGKSSGEAMAMMESLASKLPSGIGFDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALIAATARGLSNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMITATLLAIFFVPVFFVVVRRRFGKKVEETSHTQPTETPHH ->ARGMiner~~~ceoB~~~CDN64284.1~~~fluoroquinolone;aminoglycoside unknown +>ARGMiner~~~ceoB~~~CDN64284.1~~~fluoroquinolone;aminoglycoside~~~unknown MIILLGGVIAMFLLPISEYPEVVPPSVIVKAQYPGANPKVIAETVASPLEEQINGVEDMLYMQSQANSDGNMTITVTFKLGTDPDKATQLVQNRVNQALPRLPEDVQRLGITTVKSSPTLTMVVHLISPDNRYDMTYLRNYALINVKDRLSRIQGVGQVQLWGSGDYAMRVWLDPQKVAQRGLSAEDVVQAIREQNVQVAAGVIGASPSLPGTPLQLSVNARGRLQTEDEFGDIVVKTTPDGGVTHLRDIARIQLDASEYGLRSLLDNKPAVAMAINQSPGANSLQISDEVRKTMAELKQDMPAGVDYKIVYDPTQFVRSSIKAVVHTLLEAIALVVIVVIVFLQTWRASLIPLIAVPVSIIGTFSLLLAFGYSINALSLFGMVLAIGIVVDDAIVVVENVERNIENGMNARQATYKAMQEVSGPIIAIALTLVAVFVPLAFMSGLTGQFYKQFAMTIAISTVISAFNSLTLSPALSAILLKGHGDKEDWLTRVMNRVLGGFFRGFNKVFHRGAENYGRGVRGVLSRKTLMLGVYLVLVGATVLVSKVVPGGFVPAQDKEYLIAFAQLPNGASLDRTEKVIRDMGSIALKQPGVESAVAFPGLSVNGFTNSSSAGIVFVTLKPFAERHGKALSAGAIAGALNQKYGAMKDSFVAVFPPPPVLGLGTLGGFKMQIEDRGAVGYAKLSDATNDFIKRAQQAPELGPLFTSYQINVPQLNVDLDRVKAKQLGVPVTDVFNTMQVYLGSLYVNDFNRFGRVYQVRVQADAPFRQRADDILQLKTRNDKGEMVPLSSLVTVTPTFGPEMVVRYNGYTAADINGGPAPGFSSGQAQAAVERIAHETLPRGVRFEWTDLTYQQILAGDSAMWVFPISVLLVFLVLAALYESLTLPLAVILIVPMSILSALTGVWLTQGDNNIFTQIGLMVLVGLSAKNAILIVEFARELEHDGRTPLEAAIEASRLRLRPILMTSIAFIMGVVPLVTSTGAGSEMRHAMGVAVFFGMLGVTLFGLMLTPVFYVVLRTLAGGKIHVAGKDSAGYGVSPSGASASDA ->ARGMiner~~~macB~~~WP_024240108.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024240108.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASRSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_063163644.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_063163644.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHDPWLLWFSYFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~adeG~~~WP_038801254.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_038801254.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAATLAVVVIAGLGAFGAIRVNASAPDKSAVPLPEVDVANVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREAFANLKAADAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNQDGALVPGLYARVKVGGSAPHPALLIDDAAVNTDQDKKFVFVVDQQGRVSYREVQLGSQHGNQRVIVGGLSAGDRIVVNGTQRVRPGEQVKPHLVPMTGGDDAAAATPVAGGVQRPQTTQGNARA ->ARGMiner~~~TEM-1~~~ANG31726.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG31726.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEVGASLIRHW ->ARGMiner~~~novA~~~WP_055644808.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_055644808.1~~~aminocoumarin~~~unknown MRPHDQSDWTPPPRDSAQPKEPAQLRRILRLFRPYRARLALVGLLVGAASLVSVASPFLLKEILDTAIPEGRTGLLSLLALGMIATAVLTSVFGVLQTLISTTVGQRVMHDLRTGVYEQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKAITTQRQKQMAAMAATVTESLSVSGILLGRTMGRADSLTKSFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQSGGPAISLGTLVAFVSLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLPVDITESAEPVRLAKVRGEVCFEKVEFRYDPEEQARPTLDGIDVTVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSAGRTTITIAHRLSTVRDADQIVVLEAGHIAERGTHEELLARDGRYAALVRRDARSGGEQTNVGAVVPQNV ->ARGMiner~~~blaF~~~WP_029111145.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_029111145.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGTGVGNAAPALAAPIDGQIAELERRDNALIGLYAANLDSGRTITHRPDEMFAMCSTFKGYAAARVLQMVGRGQLSLDNRVFVDRNAIVPNSPVTETHAGAEMTLAQLCQAALQRSDNTAGNLLLKTIDGPGGITAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAVLAGDALSPPQRRQLEDWMRANQTSSVRAGLPEGWTTADKTGSGDYGSTNDVGIAYGPDGQRLLLAVMTRSQADDPKADNLRPLIGELTGLVVPSLL ->ARGMiner~~~Escherichia coli ampC~~~WP_001612224.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001612224.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLHFYQNWQPVWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMHTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQINLKPLDINEKTLQQGIQVAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAAHPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~CTX-M-3~~~AIC64429.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64429.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDCGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~norB~~~WP_003730711.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_003730711.1~~~fluoroquinolone~~~unknown MTSTAYKGTNKLIVGIVFGVITFWLFAQSMVNIVPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGSTLLIIGRIIQGLSAACIMPATLALMKTYFEGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGATFGWTSPITITMLVVFLISAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSGITAVGIALMALTFIPGTLYTVLVFIGFALFGIGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLISVAVTTPSAKKALELKAAKE ->ARGMiner~~~FosB~~~WP_000531576.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000531576.1~~~fosfomycin~~~unknown MGQSINHICFSVSNLEQSIEFYQNILQAKLLVKGRKLAYFDLNGLWIALNVEESIPRNEIQHSYTHIAFTVTNNEFDSLKEILIQNHVKILPGRERDERDKRSIYFTDPDGHKFEFHTGTLQDRLQYYKEDKKHMTFY ->ARGMiner~~~Serratia marcescens Omp1~~~WP_021523905.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_021523905.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEESSLGKGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNSSGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~emrB~~~WP_001363537.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001363537.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIIAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~MexF~~~WP_034075071.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_034075071.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNDLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~mfpA~~~WP_057320407.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_057320407.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSTFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~mexY~~~WP_003124725.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_003124725.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMDLGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~macA~~~WP_047920815.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047920815.1~~~macrolide~~~unknown MAKMMKWSAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTIDMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~sul2~~~AKN19373.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~AKN19373.1~~~sulfonamide;sulfone~~~unknown MIIIIEAPMNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~mdtO~~~WP_021559623.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021559623.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNIGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~mexH~~~WP_058007446.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058007446.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKNAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMALEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~nalD~~~WP_034069871.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_034069871.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGQVRDWGQASSAP ->ARGMiner~~~mdtH~~~WP_038396188.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_038396188.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCAMGAILFILCAIFNAWLLPAWKLSTVRTPVREGMRRVISDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLIMSLSMIPIGLAGNLQQLFTLICAFYIGSVIAEPARETLSASLTDARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKTLAQPELPWMMLGIIGFITFLALGWQFSHKRTPRQYTGARRLI ->ARGMiner~~~mdtH~~~WP_023316662.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023316662.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVLPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~mdtH~~~WP_058680542.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_058680542.1~~~fluoroquinolone~~~unknown MSRLSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGALLFILCALFNALFLPAWKLSTIKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALSQPELPWVMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~macA~~~WP_047086302.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047086302.1~~~macrolide~~~unknown MKKRKTVKKCYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mecR1~~~SAO56984.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~SAO56984.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLLKVPSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~APH(6)-Id~~~WP_024211122.1~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Id~~~WP_024211122.1~~~aminoglycoside~~~unknown MFMPPVFPAHWHVSQPVLIADTFSSLVWKVSLPDGTPAIVKGLKPIEDIADELRGADYLVWRNGRGAVRLLGRENNLMLLEYAGERMLSHIVAEHGDYQATEIAAELMAKLYAASEEPLPSALLPIRDRFAALFQRARDDQNAGCQTDYVHAAIIADQMMSNASELRGLHGDLHHENIMFSSRGWLVIDPVGLVGEVGFGAANMFYDPADRDDLCLDPRRIAQMADAFSRALDIDPRRLLDQAYAYGCLSAAWNADGEEEQRDLAIAAAIKQVRQTSY ->ARGMiner~~~y56 beta-lactamase~~~WP_020283175.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_020283175.1~~~cephalosporin;penam~~~unknown MKHSSLRRSLLLAGITLPLVNFALPAWANALPASVDKQLAELERNANGRLGVAMINTGNGTKILYRAAQRFPFCSTFKFMLAAAVLDQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLIKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTARPNDPRDTTTPAAMAASMNKLVLGDALRPAQRSQLAVWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASVTKIILSQIS ->ARGMiner~~~macA~~~WP_047666229.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047666229.1~~~macrolide~~~unknown MKVKGKRRTIWWLLAIVVLGLAVWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEAMLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVAIGARNDTDVAVVQGLDEGDEVIVGESASGAAK ->ARGMiner~~~mdtH~~~WP_042309213.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_042309213.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMGHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYVGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRPTRGILEPDA ->ARGMiner~~~acrB~~~WP_016159183.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_016159183.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGSMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKYQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTDYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGDENKVEAITTRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLGEAAKHPDLLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPEDIGNWYVRAANGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMALMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHNHTVDHH ->ARGMiner~~~hmrM~~~WP_003832751.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_003832751.1~~~fluoroquinolone;acridinedye~~~unknown MQKYVSEARQLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQIRQGFWLAGSVSVLIMVVLWNAGYIIRSMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPAMVMGFLGLLVNIPVNYVFIYGHLGMPELGGVGCGVATAAVYWVMFIAMLSYIKRARSMRDIRNEGGFQKPDTAVMKRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLGVGVCMAVVTAIFTVTFREHIALLYNNNPEVVALAAQLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYILALTDLVVDRMGPAGFWMGFIIGLTSAAILMMLRMRFLQRQPSAVILQRAAR ->ARGMiner~~~acrF~~~WP_046594139.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~WP_046594139.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MANFFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRLKDPEEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLSPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGFFGWFNTRFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMAVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIDQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGGYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAPGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNLAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVISRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~FosA2~~~WP_041911353.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_041911353.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVAEADFEPFSQRLEQAGVSVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071131.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071131.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSLFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGEFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~acrB~~~WP_057213706.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_057213706.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLSILKLPVAQYPTIAPPAISITAMYPGADAETVQNTVTQVIEQNMNGIDHLMYMSSNGDSTGTATITLTFESGTDPDIAQVQVQNKLALATPLLPQEVQQQGISVEKASSSFLMVVGVINTNGTMNQDDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISALKAQNAQVAAGQLGGTPPVKGQQLNASIVAQTRLTNTEEFGNILLKVNQDGSQVRLRDVAKIELGGESYDVVAKYNGQPASGLGIKLATGANALDTANAIRAELAKMEPFFPSGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGSHGATTGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLVLYLIIVVGMAWLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTNYYLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSQRPGEENKVEAITGRAMGYFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGMVAQHPDVLTGVRPNGLEDTPQFKVDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYIMSEAKYRMLPEDIGKWYVRGSDGQMVPFSAFSTSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMSLMEELAGKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATILAIFFVPVFFVVVRRRFSKKTEDIEHSHQVEHH ->ARGMiner~~~arnA~~~WP_000860308.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860308.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKSASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQTGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macA~~~WP_049009761.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_049009761.1~~~macrolide~~~unknown MKLKGKMKKRYLLIALIIIVAMFTLWRTLNAPLPHYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRRQAEAEWKLARVTLSRQQQLAKTQAISRQELDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPQTRYEGALKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTDIKNVLTIPLAALGDPVGNNRYKVTLLRNGETREREVSIGARNDTDVEIVKGLEEGDEVVISESKSGAAQ ->ARGMiner~~~macA~~~WP_024189154.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_024189154.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVTIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macA~~~SCA71680.1~~~macrolide unknown +>ARGMiner~~~macA~~~SCA71680.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQMKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTKAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~FosB~~~CGF83523.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~CGF83523.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKSAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~tmrB~~~WP_015252865.1~~~nucleoside unknown +>ARGMiner~~~tmrB~~~WP_015252865.1~~~nucleoside~~~unknown MIIWINGSFGSGKTQTAFELHRRLNPSYVYDPEKMGFALRSMVPQEIAKDDFQSYPLWRAFNYSLLASLTDTYRGIIIVPMTIVYPEYFNEIIGRLRQEGRIVHHFTLMASKETLLKRLRTRAEGKNSWAAKQIDRCVEGLSSPIFEDHIQTDNLSIQDVAENIAARAELPLDPDTRGSLRRFTDRLMVKLNHIRIK ->ARGMiner~~~tolC~~~WP_032359781.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032359781.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDSSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~FosB~~~WP_044441332.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_044441332.1~~~fosfomycin~~~unknown MIQSINHICFSVANLEKAIEFYQNILQAKILVKGRKLAYFDLNGLWIALNVEESIPRNEIQYSYTHIAFTVTNNEFDSLKEILIQNHVNILPGRERDDRDKRSIYFTDPDGHKFEFHTGTLQDRLQYYKEDKKHMTFY ->ARGMiner~~~mdtO~~~WP_021571502.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021571502.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGQILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~tolC~~~CP004022.1.gene2485.p01~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CP004022.1.gene2485.p01~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQQGIKMKKLLSLLVTMSLAGFSTASQAEDLLQVYQKAKDSNPELRKSLAERNQAFEKINEARSPLLPQLGLGASIDYKSGYRDAKNTESNSLGANLTLTQTIFDMSLWRQLTMQEKTAGMSDVTYQTSQQQLILDTATAYFNVLRAIDSLSFIEAQKEQVYRQLDQTTQRFNVGLVAITDVQNARANYDSVLAQEVAGRNELDNALEKLRQVSGVYYINLASLNIARFSTTPPDAIDKLLKDAEERNLSLLSARLGQDLARENIRLAQSGHLPTVNLNASTGVSNSHNHGSALPPETPANSRNSYNGQSSIGLSLSIPLYTGGRTSSQVEQAQYGFTSASEQLESVYRSIVQIARSSYNNISASISSIKAYQQVVVSAQSSLDATEAGYQVGTRTIVDVLNATTTLYDAKQKLSSARYDYLINQLNIQYARGTLNENDLIQLNNALGEEISTSPDNIIRPLTSPVLNTTN ->ARGMiner~~~tetX~~~AIW80475.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AIW80475.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLEKPWKSKRPLPITMIGDAAHSMPPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~mepA~~~WP_001572033.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_001572033.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGLLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~arnA~~~WP_000860307.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860307.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKSASVLHNMVRAVADPWPGAFSYVGNQKFTVWLSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQTGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~nalD~~~WP_046638754.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_046638754.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALIPGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~bacA~~~ZP_04310898~~~peptide unknown +>ARGMiner~~~bacA~~~ZP_04310898~~~peptide~~~unknown MADWLIGIIMGAVEGLTEFLPVSSTGHMILTGHLLGFDDDRAKVFEVVIQLGSILAVVVIFWKRLWSLVGIGKVTDGPSLNLLHIIIGMIPAGVLGVLFHSAIKEVLFGPGPVVISLVAGGILMIVAEKFSKPSTARTLDEITYKQAFTIGMFQCLALWPGFSRSGSTISGGLLARVSHTAAAEYTFILAVPMMVAASGLDLIKSWDILSAADLPLFATGFITAFVVAMLAIVSFLKLLSRVKLTPFAYYRFILAAVFYFFIM ->ARGMiner~~~L1 beta-lactamase~~~WP_065186493.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_065186493.1~~~cephalosporin~~~unknown MRRCLTTLALTATLAFDVTAADTPLPQLQAYTVDPSWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMASHLISNMKVRDVAPQDLRLILLSHAHADHAGPVAELKRRTGAMVVANAESAVLLARGGSNDLHFGDSITFPPASTDRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLQGNARYPHLVEDYRRSFATVRGLPCDLLLTPHPGASNWNYAAGSKASDKALNCKAYADAAEKKFDAQLAKETAAAR ->ARGMiner~~~tolC~~~WP_041147277.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_041147277.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKFFPILIGLGLTGFSAISQAENLMQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDANGQDSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLSAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNAVESLRQVTGNYYPQLSSLNVDGFKTNKPETVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHMPTLDLNASTGVSNSRYSGSNNSTPDNDAGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESSHRTVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNTLGKPVATSPDSVAPETPQQDASADGYASSAAPAAKPAAVHTTKTNSANPFRQ ->ARGMiner~~~norA~~~WP_058102396.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_058102396.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAIKGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~macB~~~WP_047368199.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047368199.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLSVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAKQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWAQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_001501158.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001501158.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERGIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~adeG~~~WP_031962668.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_031962668.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLDTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~arnA~~~WP_038988609.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_038988609.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPMLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macB~~~WP_045616255.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045616255.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLMLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~L1 beta-lactamase~~~WP_057495631.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_057495631.1~~~cephalosporin~~~unknown MRSSLLAFALAATLPAHQVHAGQAPLPQLRAYSVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTTEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRWILLSHAHADHAGPVAELKRRTAAHLVANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYRRSFSTVRGLPCDLLLTPHPGASHWNYAAGSKASANALTCKAYADAAEKKFDAQLAKEAATTR ->ARGMiner~~~lsaB~~~WP_000061799.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061799.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYSVSDKNKFTHEILEEICPQAADWELLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNAGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEKATNERLQKDIGRLKQSSKRSASWSNDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELVTLVDVSVKYNDQIVNEPISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVTLGTGLIISYVQQDTSHLKGSLSGFIEEHKIDETLFKSILSKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKIFQQTVATKTISM ->ARGMiner~~~adeG~~~WP_025369980.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_025369980.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAATFAVVVIAGLGAFGAIRVNASAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAQAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLRYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGSLVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIAGGLAAGDRIVVNGTQRVRPGEQVKPHLVPMTGGDDAAATPVASGVQRPQGAPGNARA ->ARGMiner~~~emrB~~~WP_042927333.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_042927333.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFAIASWACGMSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVVMTLQTLRNRETRTEQRRIDSVGLALLIIGIGSLQVMLDRGKELDWFASKEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPYNPNAQQMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWFSAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~emrA~~~WP_016247755.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_016247755.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMSTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~sdiA~~~WP_008785095.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_008785095.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDHDFFTWRRSMLLRFQEMATAEDVYTELQQQTQHLEFDFYALCVRHPVPFTRPKTSLHTTYPKAWVAHYQSENYFAIDPVLKPENFSQGHLPWNDTLFRDAQPLWDAARNHGLRKGMTQCLMLPNRALGFLSVSRASIRNSRLASDEVELRMQLLVRESLSVLTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~norA~~~WP_001041286.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041286.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVISGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~bcrA~~~WP_001273092.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_001273092.1~~~peptide~~~unknown MSAIIKTTNLTKIYGNQKSVDNLNITVNQGEIYGFLGRNGAGKTTTIRMLLGLIKPTHGKIEIFGEDLLKNQKEILKRIGSIVEVPGFYENLTARENLLINAKIIGIHKKNAIDEALEIVGLQHETKKLVGKYSLGMKQRLGIARSLLHYPELLILDEPTNGLDPIGIKEIRRLIQNLAKERKITLLISSHILSEIEQLVDHMGIIHEGKLLEEIEFNSLRKKNRKYIEFQVSNDNKATKILEKNFGIFDYEVHNEGIIRVYSKLGQQGDINKIFVQNDIQVLKILMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~CTX-M-30~~~AIC64473.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-30~~~AIC64473.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDEMFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~mepA~~~WP_033859779.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_033859779.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLYIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~cmeA~~~WP_002793480.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeA~~~WP_002793480.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MNLFQKNTILALGVVLLLTACSKEEAPKIQMPPQPVTTMSAKSEDLPLSFTYPAKLVSDYDVIIKPQVSGVIENKLFKAGDKVKKGQTLFIIEQDKFKASVDSAYGQALMAKATFENASKDFNRSKALFSKSAISQKEYDSSLATFNNSKASLASARAQLANARIDLDHTEIKAPFDGTIGDALVNIGDYVSASTTELVRVTNLNPIYADFFISDTDKLNLVRNTQSGKWDLDSIHANLNLNGETVQGKLYFIDSVIDANSGTVKAKAIFDNNNSTLLPGAFATITSEGFIQKNGFKVPQIAVKQNQNDVYVLLFKNGKVEKSSVHISYQNNEYAIIDKGLQNGDKIILDNFKKIRLGSEVKEVGAQ ->ARGMiner~~~mdtM~~~ENF35175.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~ENF35175.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPGFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~msbA~~~WP_048520564.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_048520564.1~~~nitroimidazole~~~unknown MIERYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~Escherichia coli ampC~~~WP_044062168.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_044062168.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITRLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPFDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~mdtH~~~WP_048214471.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_048214471.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLIVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGLITFLALGWQFSLKRPTRGMLEPDA ->ARGMiner~~~acrB~~~WP_023207516.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_023207516.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTTALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEKLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~lsaB~~~WP_003344330.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_003344330.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKIISSVEFNYFPYPVSDKNKYTHEIFEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLTEGQFLLIDEPTNHLDTDARKIVSDYLKKKKGFILISHDRNFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNQRLQKDIGRLKQSSKRTAGWSNQVEASKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEPLEFQSNELIVLADVSVKYNDQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGNPIQHTGSINVGSGLVISYVQQGTSHLKGLLSDFIEEHEIDEPLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHVYIWDEPLNFIDIYSRMQIEELIQRFNPTMVIVEHDQAFQQTVATKTISM ->ARGMiner~~~FosB3~~~WP_037585883.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_037585883.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFKLAGLWIASNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~aadA~~~X02340.1.orf0.gene.p01~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~X02340.1.orf0.gene.p01~~~aminoglycoside~~~unknown MVTAQWRFSWLLVMTCFFGVQSMPRASKQQARYAVGRCLMLWSSNDVTQQGSRPKTKLNIMREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~emrB~~~WP_004904467.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_004904467.1~~~fluoroquinolone~~~unknown MQAPLTGARLAWMTFALSLATFMQVLDSTIANVAIPTIAGNLGSSNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFMWSTALFAISSWLCGISNSLGMLIFFRVIQGLVAGPLIPLSQSLLLNNYPPAKRTMALALWSVTIVIAPICGPILGGYISDNYHWGWIFFINVPFGIVIMMLTAKTLEGRETKTEIRPIDTVGLILLVVGVGCLQIMLDQGKELDWFNSTEIIVLTVIAVVAIAFLIVWELTDDHPVVDLSLFKSRNFTIGCLSLSLAYMLYFGTIVLLPQLLQGVFGYTATWAGLASAPVGILPLLITPLIGKYAHKVDYRIIVTFSFIMYTVCFYWRAYTFEPGMDFAAAAWPQFVQGLAIACFFMPLTTITLSGLPPEKMASASSLSNFTRTLAGAIGTSITTTMWTQRESMHHANFAEHINPFSPDTQQMYHTLGEMGMSQQQSSAYIAKVITDQGLIISANEIFWLSAGVFLVLMLLVWFAKPPFTAGGGGGGAH ->ARGMiner~~~mepA~~~WP_001556470.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_001556470.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFSRAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFVVCMSAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~macA~~~WP_023180493.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023180493.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMLNAPQPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPEQAENQIKEVEATLMELNAERQQAAAELKLARVTLTRQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDIKNVLIIPLAALGEPVGDNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRSGATP ->ARGMiner~~~tolC~~~WP_057072280.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_057072280.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDNFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDSNQGQNKVGLSFSLPLYQGGLVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSQDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLLALNNALGKPVSTSPDSVAPENPEQVAAVDNFNANSSAPAAQPAAARTNTGSNPFRH ->ARGMiner~~~macB~~~WP_047054544.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_047054544.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGEVDVLKGITLSIAAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYQVAGVDVAHLSGDELARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGKERRIRLERARDLLTRLGLGERAEYFPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSRSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIELRDGEIVRNPPPSSSEKGGVLRAEARAEPSVWRQFSSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVSSATPAVSKSLRLRANNIDVAASAEGVGAQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNARRQLFPHKAKVVGEVILVGNMPATIVGVADEKQSMFGSSKILRVWLPYSTMAGRVMGQSWLNSITVRVQEGYDSATAEQQLVRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARARDVLQQFLIEAVLVCLVGGAIGVSLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALVRE ->ARGMiner~~~mdtH~~~WP_006661849.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_006661849.1~~~fluoroquinolone~~~unknown MAQVSRARSLGKYFLLLDNMLVVLGFFVVFPLISIRFVEQLGWAAVVVGFALGLRQFVQQGFGIFGGAIADRFGAKPLIIIGMLLRAAGFVLMALAYDPWVLWLSCVLSALGGTLFDPPRTALVIKLTRPYERGRFYSLLFMQDSAGAVIGALIGSWLLQYDFHYVCWAGAAVFVIAALLNAWLLPAYRISTIKAPIRDGMHRVLKDTRFVTYVITLSGYFMLSVQVMLMFPIAVNELAGTPTAVKWMYAIEAAISLTLLYPIARWSEKHFRLEQRLMAGLFLMSLSMFPIGMTTSVNILFVLIGIFYLGTITADPARETLSASLADPRARGSYMGFSRLGLALGGAVGYTGGGWMYDIGHQLNIPQLPWFLLGTIGFITLWALHRQFNRKKIETVMLSGQ ->ARGMiner~~~EXO beta-lactamase~~~WP_030636334.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_030636334.1~~~penam~~~unknown MRKPTSSLTRRSVIGAGLGLGGALALGSTTASAASAGTTPSENPAAVRRLRALEREHQARIGVFALNLATGASLLHRAHELFPMCSVFKTLAAAAVLRDLDHDGSQLARVIRYTEADVTKSGHAPVTKDHIDTGMTIRDLCDATIRYSDNCAANLLLRELGGPTAVTRFCRSLGDPVTRLDRWEPELNSGEPDRRTDTTSPYAIARTYQRLVLGNALNRPDRALLTDWLLRNTTTLTTFRTGLPKGWTVADKSGGGDTYGTRNEAAIAWTPDGAPVLLTALTHKPSLPTAPGDTPLIIKLATVLSEAVAPA ->ARGMiner~~~tolC~~~WP_045392183.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045392183.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDSNGLNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSSVSNTSYSGSKTHANSQTSIQQYKDNDAGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPTSPDSVAPENPQQDAAADGYANTASAQPAAARTTKTSGSNPFSH ->ARGMiner~~~macB~~~WP_042320280.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_042320280.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEEQVEVLKGITLQINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDRDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLVRAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSRSGEEVMAILHQLRDRGHTVIIVTHDPLVASQAERVIEIHDGEIVRNPPAKRVAQGQGIQEPTVKAASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLAAIQKQPWVTSATPAVSQNLRLRYGNTDVAASANGVSGDYFNVYGMTFSEGTTFNREQLNGRAQVVVLDSNTRRQLFPHKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtM~~~WP_001398343.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001398343.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRVGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIIPSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNSGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~macB~~~WP_032949617.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032949617.1~~~macrolide~~~unknown MTALLELSHIRRSYPSGEGLVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPPQKPSGGRDIAEPTVKTASGWSQFVSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSARAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~tet(G)~~~AY232670.1.gene2.p01~~~tetracycline unknown +>ARGMiner~~~tet(G)~~~AY232670.1.gene2.p01~~~tetracycline~~~unknown MGLGLIMPVLPTLLRELVPAEQVAGHYGALLSLYALMQVVFAPLLGQLSDAYGRRPVLLASLAGAAVDYTIMASAPVLWVLYIGRLISGVTGATGAVAASTIADSTGEGSRARWFGYMGACYGAGMIAGPALGGMLGSISAHAPFIAAALLNGFAFLLACIFLKETNHSHGATGKPVRIKPFVLFRLDDALRGLAALFAVFFIIQLIGQVPAALWVIYGEDRFQWNTTTVGLSLAAFGATHAIFQAFVTGPLSSRLGERRTLLFGMAADATGFILLAFVTQGWMVFPVLLLLAAGGVGHAGLAGNAFE ->ARGMiner~~~mdtP~~~WP_001514077.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_001514077.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVSFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPIVEKK ->ARGMiner~~~emrA~~~WP_023200449.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_023200449.1~~~fluoroquinolone~~~unknown MSANAEIQPPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~ANT(2'')-Ia~~~WP_010883707.1~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~WP_010883707.1~~~aminoglycoside~~~unknown MDTTQVALIHQILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAIVEMLGGRVTEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRFACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~mexY~~~WP_061196011.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061196011.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPAAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~sdiA~~~SAF05861.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~SAF05861.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPYVLGYIHHNNDYLYQRLHSVSMRDIDFFTWRRECFLRFQEMTCAEEVYQELQRQTQALEFDYYALCVRHPVPFTRPRTSVHSSYPQQWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFTDAQQLWDGARDHGLRKGITQCLMMPNHALGFLSVSCTSLQAHSISSEEVELRLQMLVQMALTTLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKIQIACYAAATGLI ->ARGMiner~~~bcr-1~~~WP_032261806.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_032261806.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWTMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGVTLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~MexB~~~WP_010928044.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_010928044.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MTKFFIDRPVFAWVIAIVLMMAGALSILSLPVAQYPNIAPPAISINVTYPGASAQTVQDTVVQVIEQQMNGIDNLQYISSESNSDGSMSITLTFSQGTNPDTAQVQVQNKLAVAQPLLPLEVQQQGIRVTKATKNFLIVAGFVSTDGTMDKSDLADYVASYIQDPISRTPGVGDFQLFGAPYAMRIWISPEKLVNFGLTASDVTTAIREQNVQVSSGQLGGQPAVRGQQLNATVIGPSRLQTPEAFGRILLKVNSDGSQVRLKDVASIELGAQTYAIDSYYNGKPASGLAIKLASGANALDTAQAVRETINGLKPYFPPGMDVVYPYDTTPFVSLSIEGVVKTLFEAVLLVFLVMYLFLQNVRATLIPTLAVPVVLLGTFGVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPRQATRKSMSQITGALIGIAMVLAVVFVPMAFFGGSTGVIYRQFSITIVSSMVLSVVVAIVFTPALCATMLKPIPKGHHGSKKGFFGRFNRTFERSSQAYANNVARSMGRTKRLLLIYVVILLGMAWMFMRIPTAFLPDEDQGILFAQVQAPAGATSERTQATIDAAVKYLLEDEKESVASVFAVNGFSFGGRGQNAAILFIKLRDWNERKGAEHRAAAVAARANAKFRAELRDAQAAVFVPPAVMELGNATGFDFQLQDRAGVGHEKLLAARNQLLQEAGASPMLVAVRPNGIEDAPQYQLDIDREKARALGVSITEINNTLATAWGSSYVNDFIDRGRVKKVFVQGEASSRMLPQDLDKWYVRNNAGDMVPFSAFSSAQWTFGPQKLNRYNGVPSYNIQGQAAPGYSSGDAMAEMERLADKLPLGIGYDWTGLSFEERLSGAQAPALYAISLIVVFLCLAALYESWSIPTAVMLVVPLGVVGALLATLTRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKEHYEEGASLTEAAVHAARQRLRPILMTSLAFILGVVPLAISTGAGSGSQNAIGTGVIGGMLTGTFLAIFFVPLFFVLTLRLFKVKRASENREDDDPHGGAQPATHGGQPQ ->ARGMiner~~~emrB~~~WP_001723822.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001723822.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTSALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~emrB~~~CP001918.1.gene2931.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~CP001918.1.gene2931.p01~~~fluoroquinolone~~~unknown MTDHSHDNWKPASNPWAVAIVVTLAVFMEILDTTIVNVALPHVAGSLSSSYDESTWVLTSYLVANGIVLPISAFLSRVFGRKQFFLICIVMFTVCSFLCGIATELWQIILFRVMQGFFGGGLQPTQQSVLLDYFKPEDRGKAFGLSSIAIIVAPVLGPTLGGWITDNYSWRWVFFINIPVGIVTVLAIYQLLEDPPWESKAKERLTIDWTGIGLIALGLGCLQVMLDRGEDDDWFYSNFIRTFAVLTLVGIIGAIYWLMYAKKPVVDLHCMKDRNFTISSLLMAGMAMILYGSSVVIPQLAQQDLGYTATWSGLVLSPGAVLIVLTIPLVLKLMPVVQTRWIIAFGFTCLAVSFFWSRTLTPDIDFETLVLFRSAQSIGLGFLFVPLTTIAFISIPRRLNADAAALFTMFRNVAGSIGISLSTAAITERSQAHSAHLAYHASPFNEQFQQAIRESAQAIQNFTTQVGDPTGIATGRMYQTMIEQSRFLAYIDVFTILSVVALVLIPFCLLLSPVKSEGSAGAH ->ARGMiner~~~norA~~~WP_061843129.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061843129.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIKAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~MexF~~~WP_003411230.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_003411230.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTDLDNAQVQVQNRVTRSEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVSEEEFENVVVRAGADGEITRLKDIARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVAATHKAMAEVTGPIIATALVLCAVFVPAAFISGLSGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRMLGSWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWMGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVQDAIAFPGLSINGFTNSPNNGVVFVTLKPFDERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDSDQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAAPGYSSGQAQAAVEKLLREELPTGMIYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARINSQQNLPAEMH ->ARGMiner~~~mdtF~~~WP_001719028.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001719028.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAGLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGSMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mdtO~~~WP_021579426.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021579426.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEECALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~MexB~~~WP_039762672.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_039762672.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKNAIAAQNVQVSSGQLGGLPAVQGQQLNATIIGKTRLQTAEQFKEILLKVNKDGSQVRLKDVAEVGLGGENYAISAQFNGSPASGLAVKLANGANALDTAKALRNTIDSLKPFFPQGMEVVFPYDTTPVVTESIKGVVHTLVEAIALVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKPIPKGEHGTPKKGFFGWFNRNFDRGVRSYERGVGNMLTRKAPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAQRTQVVVDEMREYLLRPNKDGGEADAVASVFTVTGFNFAGRGQSSGMAFIMLKPWGERNADNSVFNLAARAQQHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKVLTQVRPNGLNDEPQFQLEIDDEKASALGITISDINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPDSRMSPEDLKKWYVRNAEGTMVPFSAFAKGEWVYGSPKLARYNGVEAVEVLGAPAPGYSTGEAMAEVEAIAKKLPSGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRTLREAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSIGQRKKTDADETTETPKEAGQ ->ARGMiner~~~mdtA~~~WP_000678946.1~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~WP_000678946.1~~~aminocoumarin~~~unknown MKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPIQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLTNARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLLFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFLNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~macB~~~WP_046882271.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_046882271.1~~~macrolide~~~unknown MTALLELRDIRCSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHDLLVRLGLGDRSDYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIEIRDGEIVRNPPGSRQGGGLRARQQPEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAERQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_032455777.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032455777.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLLRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-1~~~ANG11443.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG11443.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQVAEIGASLIKHW ->ARGMiner~~~arnA~~~WP_032340892.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032340892.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQALPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~oprA~~~KGC67817.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline unknown +>ARGMiner~~~oprA~~~KGC67817.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;tetracycline~~~unknown MKAMMKPRARRRGARAARRPNGPRAWPLAVAAALVAGCTLAPRYERPAAPVPAHYWSTATGAPREAGPAAAGGPRAMPDARGGDAGRDARDARLDDWRAYFTDPALRALIDAALANNRDLRIATLRIQEARGLYGVARADRLPSIDGSLGYERTRLYDPVLRESATSSLYRASVGVSAFEIDLFGRVKSLSDAALAEYFATAEAQRAARISLIAEVASAYVTERALVDQLGLAERTLAARDAAYALTQRRYAAGTSTAIELRTAEMLVASARASKAALEREHTQAASALKLLAGDFMTALPADAPALDALAVARVSPGLSSDLLEQRPDIRQAEQRLVAANANIGAARAAFFPRIALTTDVGSVSDAFAGLFSAGSSVWTFAPRLTLPIFAGGRNRANLDVADARKHIAVAEYEKTIQTAFREVADALAARDQIDAQLAAQQAVYGADAERLRLAQRRYDSGVASYLELLDAQRSTFESGQELIRLKQLRLTNAITLYRALGGGWSRAGCGGDGCA ->ARGMiner~~~adeB~~~WP_000987607.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987607.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNTSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~nalD~~~WP_034007270.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_034007270.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGPFNDWLRDPRLFDPHTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~mexH~~~WP_034036424.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_034036424.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~novA~~~WP_055601444.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_055601444.1~~~aminocoumarin~~~unknown MRPHDQSDWTPPPRDSSQPKEPAQLRRILRLFRPYRARLVLVGLLVGAASLVTVASPFLLKEILDTAIPQGRTGLLSLLALGMIATAVLTSVFGVLQTLISTTVGQRVMHDLRTGVYEQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVAAMLALDWRLTVVSLLLLPVFVWISRRVGDERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRADSLTKSFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGVALSSGGAAISLGTLVAFVSLQQGLFRPAVSLLSTGVQIQTSLALFQRIFEYLDLPVEITEPAEPVRLAEVRGEVAFEKVEFHYDPEGAGRPTLDAVDLTVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDQEIEAAARAAQIHDHIASLPEGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSAGRTTITIAHRLSTVRDADQIVVLEAGRIAERGTHDELLARDGKYAALVRRDAQSAAGAAGAATAPTEAEQANVGAVVPQNV ->ARGMiner~~~mdtN~~~EFZ67602.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~EFZ67602.1~~~nucleoside;acridinedye~~~unknown MALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~macB~~~WP_023279140.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023279140.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLLRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPASNAARLDPVDALARE ->ARGMiner~~~arnA~~~WP_032176461.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032176461.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPDVIFSFYYRHLIYDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDFRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~MexE~~~WP_024947558.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_024947558.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQTGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~MexB~~~CP002695.1.gene992.p01~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~CP002695.1.gene992.p01~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MAKFFIDRPVFAWVIAIVLMMAGALSILSLPVAQYPNIAPPAISINVTYPGASAQTVQDTVVQVIEQQMNGIDNLQYISSESNSDGSMSITLTFSQGTNPDTAQVQVQNKLAVAQPLLPLEVQQQGIRVTKATKNFLIVAGFVSTDGTMDKSDLADYVASYIQDPISRTPGVGNFQLFGAPYAMRIWISPEKLVNFGLTASDVTTAIREQNVQVSSGQLGGQPAVRGQQLNATVIGPSRLQTPEAFGRILLKVNRDGSQVRLKDVASIELGAQTYAIDSYYNGKPASGLAIKLASGANALDTAQAVRETINGLKPYFPPGMDVVYPYDTTPFVSLSIEGVVKTLFEAVLLVFLVMYLFLQNVRATLIPTLAVPVVLLGTFGVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPRQATRKSMGQITGALIGIAMVLAAVFVPMAFFGGSTGVIYRQFSITIVSSMVLSVVVAIVFTPALCATMLKPIPKGHHGSKKGFFGWFNRTFERSSQAYANNVARSMGRTKRLLLIYVVILLGMAWMFMRIPTAFLPDEDQGILFAQVQAPAGATSERTQATIDAAVKYLLEDEKESVASVFAVNGFSFGGRGQNAAILFIKLRDWNERKGAEHRAAAVAARANAKFRAELRDAQAAVFAPPAVMELGNATGFDFQLQDRAGVGHEKLLAARNQLLQEAGASPMLVAVRPNGIEDAPQYQLDIDREKARALGVSITEINNTLATAWGSSYVNDFIDRGRVKKVFVQGEASSRMLPQDLDKWYVRNNAGDMVPFSAFSSAQWTFGPQKLNRYNGVPSYNIQGQAAPGYSSGDAMAEMERLADKLPLGIGYDWTGLSFEERLSGAQAPALYAISLIVVFLCLAALYESWSIPTAVMLVVPLGVVGALLATMTRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKEHYEEGASLTEAAVHAARQRLRPILMTSLAFILGVVPLAISTGAGSGSQNAIGTGVIGGMLTGTFLAIFFVPLFFVLTLRLFKVKRASENREDDDPHGGAQPATHGGQPQ ->ARGMiner~~~emrB~~~WP_006660923.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_006660923.1~~~fluoroquinolone~~~unknown MTIALSLATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPVTGWLARRIGEVRLFLWSTGLFALTSWLCGISNSLEMLILFRVLQGLVAGPLIPLSQSLLLNNYPPAKRSMALALWSMTIVVAPICGPILGGYISDNYHWGWIFFINVPFSIAIIFAIMRTLKGRETKISIKPIDTVGLVLLVVGIGALQIMLDQGKELDWFNSTEIIVLTVIAVVAIAFLIVWELTDEHPVIDLSLFKERNFTIGCLALSLAYMLYFGTIVLLPQLLQEVYGYTATWAGLASAPVGLLPLLITPIIGRFGNRIDMRYLVTFSFIMYAVCYYWRAYTFEPGMGFAAAAWPQFVQGLAIACFFMPLTTITLSGLPPERMASASSLSNFTRTLAGAIGTSITTTLWTQREAMHHENLTEFVNPYNPNAQHMYSELAQIGMNEQQSAAYIARSITEQGLIISANEIFWMSAGVFILLMVIVWFAKPPFGAGSKEGGGGAH ->ARGMiner~~~macA~~~WP_047643557.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047643557.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLIDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~hmrM~~~EUM08518.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~EUM08518.1~~~fluoroquinolone;acridinedye~~~unknown MNEARQLLALAIPVIIAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMVVLWNAGHIIRAMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFFSMISYVKRARSMRDIRNERSFSTPDWNIMTRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVNVAGHQIALNFSSLMFVLPMSLAAAVTIRVGFRLGQGSTLDAQTAARTGLGVGVCMAICTALFTITLREQIALLYNDNPQVVALASHLMLLAAVYQISDSIQVIGSGVLRGYKDTRSIFFITFIAYWVLGLPCGYILALTDLVVDRMGPAGFWMGFIIGLTSAAIMMMLRMRFLQRQSSSVILQRAAR ->ARGMiner~~~sdiA~~~EHN20401.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~EHN20401.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDMLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKHRLPATLRRQV ->ARGMiner~~~msbA~~~WP_000597245.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597245.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEITQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_050871437.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_050871437.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAAKEALPVSTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_032222655.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032222655.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNIDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli ampC~~~WP_052937764.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_052937764.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFTAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~mefA~~~WP_051039155.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_051039155.1~~~macrolide~~~unknown MWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPIWMVMVVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAVIASITVAIVRIPKLGDQVQSLKPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMEYFNGTPMHISITEIAYASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCVIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADRIGVNHWFLLSGILIICIAIVCPMITEIRKLDAK ->ARGMiner~~~macB~~~WP_024220521.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024220521.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDRVDALARE ->ARGMiner~~~EXO beta-lactamase~~~WP_031190915.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_031190915.1~~~penam~~~unknown MRKPTSSLTRRSVIGAGLGLGGALALGSTTASAASAGTTPSGNPAAVRRLRALEREHQARIGVFALNLATGASLLHRAHERFPMCSVFKTLAAAAVLRDLDHDGSQLSRVIRYTEADVTKSGHAPVTKDHIGTGMTIRDLCDATIRYSDNCAANLLLRELGGPTAVTRFCRSLGDPVTRLDRWEPELNSGEPDRRTDTTSPYAIARTYQRLVLGNALNRPDRALLTDWLLRNTTTLTTFRTGLPKGWTVADKSGGGDTYGTRNEAAIAWTPDGAPVLLTALTHKPTLPTAPGDTPLIIKLATVLSEAVAPA ->ARGMiner~~~hmrM~~~WP_039064938.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_039064938.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAAQTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~tetX~~~AMP48779.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP48779.1~~~glycylcycline;tetracycline~~~unknown MTMRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKKFSDWDERYKELIRLTSSFVGLATRIFPLDKSWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLTNGKFNSIEEAIENYEQQMFAYGREAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~arnA~~~WP_023233016.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_023233016.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQRFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~mtrE~~~WP_014582207.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~WP_014582207.1~~~macrolide;penam~~~unknown MDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGSVDTHSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYESAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~Escherichia coli ampC~~~WP_047645047.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_047645047.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNAMQ ->ARGMiner~~~arnA~~~WP_000860263.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860263.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~emrA~~~WP_012599899.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_012599899.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVISAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~MexB~~~WP_046046199.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_046046199.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSRFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLMVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPGDVKTAIAAQNVQVSSGQLGGLPAVAGQQLNATIIGKTRLQTAEQFKAILLKVNKDGSQVRVGDVADVGLGGENYSISAQFNGSPASGLAVKLANGANALDTAKALRKTIESLKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFGGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGVPKRGFFGWFNRNFDRSVKSYERGVGNILRNKVPYLLVYVIIVVGMIWLFLRIPSAFLPEEDQGVLFAQVQTPAGSSSQRTQVVVDEMREFLLRPGKDGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLRPWDERNADNSVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDALMAARNQFLGMAAQSKVLSQVRPNGLNDEPQYQLEIDDEKASALGITIADINSTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMSPEDVKKWYVRNSAGTMVPFSAFAKGEWIYGAPKLSRYNGVEAMEILGAPAPGYSTGEAMAEVEALAQKLPAGVGISWTGLSYEERLAGSQAPALFALSILMVFLCLAALYESWSIPIAVVLVVPLGIIGALMATSLRGLSNDVYFLVGLLTTIGLAAKNAILIVEFAKDLHEQGKSLQEAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTVVIGGMITATVLAIFWVPLFFVTVSAMGQRKIADQDDALEPSKEAGL ->ARGMiner~~~vanWB~~~WP_020944843.1~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~WP_020944843.1~~~glycopeptide~~~unknown MDRKRLTQRFPFLLPMRRAQRKMCFYAGMRFDGCRYAQTIGEKSLSHLLFETDCALYNHNTGFDMIYQENKVFNLKLAAKTLNGLLIRPGETFSFWWLVRHADKDTPYKDGLTVTNGKLTTMSGGGMCQMSNLLFWMFLHTPLTIIQRRGHEVKEFPEPNSDEIKGVDATIPEGWIDLKVRNDTDCTYQIWVTLDDEKIIGQVSADKEPQALYKITNGSIQYVRESGGIYEYAQVKRMQVALGTGEIIDCKLLYTNKCKICYPLPESVDIQEENQ ->ARGMiner~~~sdiA~~~WP_043000304.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_043000304.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDLDFFTWRRTMLLRFHEMTCAEDVYSELQQQTLLLEFDYYALCVRHPVPFTRPKISLHTTYPDAWVSQYQAENYFAIDPVLKPENFIQGHLPWNDALFSDAQTMWDAARSHGLRKGVTQCLMLPNRALGFLSVSRSSLRNTPFAGDEVELKLQLLVRESLTALSRLEDEMVMAPEMRFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~arnA~~~WP_023203571.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_023203571.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRIACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLDWEPSIAMRDTVEETLDFFLRSVDIAERAS ->ARGMiner~~~mtrE~~~WP_002241896.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~WP_002241896.1~~~macrolide;penam~~~unknown MDTTLKTTLTSVATAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANGSRQGSLDGGNVSSSYNVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPEDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGSVGTGSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDAAKLRQQAQIVAYESAVQSAFQDVANALAAREQLDKAYAALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~mdtP~~~WP_032247765.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032247765.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~macB~~~WP_064188664.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064188664.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTCRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB~~~WP_059291743.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_059291743.1~~~fosfomycin~~~unknown MEIKGINHLLFSVSDLLTSIEFYQNVFDAKLLVKGRSTAYFDLNGIWLALNEERDIPRNDINASYTHIAFTIEESEFEQMSAKLKNLHVTMLPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLQYYKQAKTHMDFYD ->ARGMiner~~~mdtN~~~WP_050869051.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_050869051.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFSADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~macA~~~WP_054428282.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_054428282.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQPLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSLQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~macB~~~WP_048999361.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_048999361.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSRSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEALPASTGWAQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanRO~~~WP_055497228.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~WP_055497228.1~~~glycopeptide~~~unknown MRVLVVEDEPYMAEAIRDGLRLEAIAADIAGDGDTALELLGTNAYAIVVLDRDIPGPSGDEIAKHIVASGSGMPILMLTAADRLDDKATGFELGADDYLTKPFELRELVLRLRALDRRRAHSRPPVREIAGLRVDPFRREVYREGRYIALTRKQFAVLEVLVAAEGGVVSAEELLERAWDENADPFTNAVRITVSALRKRLGEPWLIATVPGVGYRIGTGADADGRTADG ->ARGMiner~~~tetX~~~AMP54231.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP54231.1~~~glycylcycline;tetracycline~~~unknown MTLLKHKKITIIGAGPVGLTMARLLQQNRVDVTVYERDKDRDARIFGGTLDLHRDSGQEAMKRAGLLQTYYDLALPMGVNIVDEKGNILTTKNVRPENRFDNPEINRNDLRTILLNSLQNDTVIWDRKLVTLEPDKEKWILTFEDKSSETADLVIIANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEVNCPGFFQLCNGNRLMAAHQGNLLFANPNNNGALHFGISFKTPDEWKSKTRVDFQDRNSVVDFLLKKFSDWDERYKELIRLTSSFVGLATRIFPLDKSWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLTNGKFNSIEEAIENYEQQMFAYGREAQAESIINETEMFSSDFSFQKLMNL ->ARGMiner~~~mdtM~~~WP_001136994.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001136994.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPFQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~msbA~~~WP_064138838.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_064138838.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARILLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~Escherichia coli ampC~~~WP_032282033.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_032282033.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDIARWVQINLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~TEM-1~~~ANG24989.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG24989.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATVDERNRQIAEVGASLIKHW ->ARGMiner~~~vanB~~~AGG19379.1~~~glycopeptide unknown +>ARGMiner~~~vanB~~~AGG19379.1~~~glycopeptide~~~unknown MNRIKVAIIFGGCSEEHDVSVKSAIEIAANIDTEKFDPHYIGITKNGVWKLCKKPCTEWEADSLPAILSPDRKTHGLLVMKESEYETRRIDVAFPVLHGKCGEDGAIQGLFVLSGIPYVGCDIQSSAACMDKSLAYILTKNAGIAVPEFQMIDKGDKPEAGALTYPVFVKPARSGSSFGVTKVNGTEELNAAIEAAGQYDGKILIEQAISGCEVGCAVMGNEDDLIVGEVDQIRLSHGIFRIHQENEPEKGSENAMITVPADIPVEERNRVQETAKKVYRVLGCRGLARVDLFLQEDGGIVLNEVNTLPGFTSYSRYTRMMAAAGITLPALIDSLITLALKR ->ARGMiner~~~arnA~~~WP_001592400.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001592400.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGNGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~vanSA~~~EOP48958.1~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~EOP48958.1~~~glycopeptide~~~unknown MKHKNKNIKIDYSKLKRKLYLYILGIVIAAVVFVLFLRLFIQGTLGEWIVSFLENSYHLERWDAMIIYQYTIRNNIEIFIYVAVAISILILCRVMLLKFAKYFDEINTGIDILIQNEDKQIELSAEMEFMEQKLNTLKRTLEKREHDAKMAEQRKNEVVMYLAHDIKTPLTSVIGYLILLDEAPDMPREQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLMKKHIDLYYMLVQMTDEFYPLLAANGKQVVIHASEDLTVFGDPDKLARVFNNILKNAAAYSKDNSVIDITASLSGDMVSIVFKNAGNIPKDKLATIFEKFYRLDDARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNFTMFTVELPVFLDLVDKGCS ->ARGMiner~~~sul1~~~WP_031973162.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_031973162.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSYQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~bcr-1~~~WP_032296651.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_032296651.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAILFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~mexH~~~WP_058132030.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058132030.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSATPRYWPRCTRRMPSWRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtH~~~WP_064154808.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064154808.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAVFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAAGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~FosB3~~~WP_014664121.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_014664121.1~~~fosfomycin~~~unknown MEIKGINHLLFSVSHLDTSIDFYQKVFGAKLLVKGKTTAYFDMNGIWLALNEESDVPRNEIKLSYTHIAFTIEDHEFEEVSAKLKRLHVNILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQEKTHMSFYDDTAF ->ARGMiner~~~Escherichia coli acrA~~~WP_046606162.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_046606162.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNDQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGNKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~tetX~~~AMP57029.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP57029.1~~~glycylcycline;tetracycline~~~unknown MNLLNNKKVTIIGGGPVGLTMARLLQQNDIDVTVYERDKDRQARIFGGTLDLHKTSGQEAMKKAGLLKAYYDLALPMGVNIADEKGNILTTKNVKPENRFDNPEINRNDLRTILLNSLQNDTVIWDRKLVTLEPDKEKWTLTFEDKPSETADLVIIANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEVNCPGFFQLCNGNRLMAAHQGNLLFANPNNNGALHFGISFKTSDEWKSKTLVDFQDRNSVVDFLLKKFSDWDERYKELIRVTSSFVGLATRIFPLGKSWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLTNGKFNSIEEAIENYEQQMFAYGREAQAESIINETEMFSSDFSFQKLMNL ->ARGMiner~~~tet(C)~~~WP_058214444.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_058214444.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGILLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~arnA~~~WP_052939451.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_052939451.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAELAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGVEALFRIIVNDGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDIAERAS ->ARGMiner~~~FosX~~~WP_014602056.1~~~fosfomycin unknown +>ARGMiner~~~FosX~~~WP_014602056.1~~~fosfomycin~~~unknown MISGLSHITLIVKDLNKTTTFLREIFNAEEIYSSGDQTFSLSKEKFFLIAGLWICIMEGDSLQERTYNHIAFQIQSEEVDEYIERIKALGVEIKPERPRVEGEGRWIYFYDFDNHLFELHAGTLEERLKRYHE ->ARGMiner~~~adeA~~~WP_013207673.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~WP_013207673.1~~~glycylcycline;tetracycline~~~unknown MKIERLRRDGGVHALLVAMLAGCGPSEPQSAPPAPQVAATTVAPTHLELTEDLPGRVAAVRVAEIRPQVSGIVLRRLFEQGTEVRAGQPLFQINPAPFRADADTAAAALRRAEAALARARVQTTRLQPLVEADAVSRQVYDDAVSQRDQAAADVEQARATLARRQLDLKFATVEAPIPGRIDQALVTEGALVNSGDSNPMARIQQIDQVYVDVRRPASSLEALRQTLATQTAGAGNGLPVAVLRGNGEPYEAKGRILFSGISVDAGTGDVLLRVLVNNPQRQLLPGMFVRARVPHVRYTDALTVPQQAVVRVGGQPQVWMLDANGHARLKPVELGELVDRRYRIRTGLSAGQKIVVEGMERLSDGAAVTAHDWKSPEPVLAGPAH ->ARGMiner~~~mdtF~~~WP_000024841.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_000024841.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGCLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~sdiA~~~WP_024909771.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_024909771.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDLDFFTWRRDCSLRFQELTCAAEVYQELERQTQALEFDYYALCVRHPVPFTRPKISLQTTYPKLWMAQYQSANYFAIDPVLKAENFIQGHLPWTDALFAEAQELWHSAQDHGLRAGITQCLMLPNHALGFLSVSRTRVQEGPLSHEEIELRLQMLVQMALTSLMRFDHEMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~arnA~~~WP_000860304.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860304.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPANEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~cmlB~~~CCI74263.1~~~phenicol unknown +>ARGMiner~~~cmlB~~~CCI74263.1~~~phenicol~~~unknown MMLVLLVAVGQMAQTIYIPAIADMAVALNVREGAVQSVMAAYLLTYGISQLFYGPLSDRVGRRPVILVGMSIFMLATLVAITTHSLPVLIAASAMQGMGTGVGGVMARTLPRDLYEGAQLRHANSLLNMGILVSPLIAPLLGGILDTLWSWRACYAFLLVLCAGVTFSMAKWMPETRPEGAPRTKLLVSYKTLFGTGAFNCYLLMLIGGLAGIAVFEACSGVLMGAVLGLSSMAVSILFILPIPAAFFGAWFAGRPNKRFPTLMWQSVICCLLAGLMMWIPGLLGIMTVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWLSAMMPQTGQGSLGLLMMLMGLLILLCWLPLASRFTHHQQPV ->ARGMiner~~~macA~~~WP_047061485.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047061485.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVILAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQMLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~hmrM~~~WP_032412384.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032412384.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLCGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARTMRDIRCAERFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMRKQIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~OprM~~~CRR56568.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~OprM~~~CRR56568.1~~~macrolide;fluoroquinolone;monobactam;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;acridinedye;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MDGSGTRQRLPGDLSTTGSPAISSQYGVTLGTTAWELDLFGRLRSLRDQALEQYLATEQAQRSAQTTLVASVATAYLTLKADQAQLQLTKDTLGTYQKSFDLTQRSYDVGVASALDLRQAQTAVEGARATLAQYTRLVAQDQNALVLLLGSGIPANLPQGLGLDQTLLTEVPAGLPSDLLQRRPDILEAEHQLMAANASIGAARAAFFPSISLTANAGTMSRQLSGLFDAGSGSWLFQPSINLPIFTAGSLRASLDYAKIQKDINVAQYEKAIQTAFQEVADGLAARGTFTEQLQAQRDLVKASDEYYQLADKRYRTGVDNYLTLLDAQRSLFTAQQQLITDRLNQLTSEVNLYKALGGGWNQQTVTQQQTAKKEDPQA ->ARGMiner~~~mdtP~~~WP_021557437.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_021557437.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAQGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDAIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRATSNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~macB~~~WP_023203683.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023203683.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLGPVDALARE ->ARGMiner~~~macA~~~CDL31728.1~~~macrolide unknown +>ARGMiner~~~macA~~~CDL31728.1~~~macrolide~~~unknown MFMNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSETLPGAAQ ->ARGMiner~~~sul1~~~WP_032031655.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_032031655.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRESFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~mdtF~~~WP_001560073.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001560073.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKNNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~emrB~~~WP_024249024.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_024249024.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTIPLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mtrE~~~EGC59242.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~EGC59242.1~~~macrolide;penam~~~unknown MPSERPRVFRRHQDFFMDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANGSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGTVGTGSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYESAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYAAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~tetO~~~ZP_03923764~~~tetracycline unknown +>ARGMiner~~~tetO~~~ZP_03923764~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLSVLDGAVLLVSAKDGIQAQTRILFHALQIMKIPTIFFINKIDQEGIDLPMVYREMKAKLSSEIIVKQKVGQHPHINVTDNDDMEQWDAVIMGNDELLEKYMSGKPFKMSELEQEENRRFQNGTLFPVYHGSAKNNLGIRQLIEVIASKFYSSTPEGQSELCGQVFKIEYSEKRRRFVYVRIYSGTLHLRDVIRISEKEKIKITEMCVPTNGELYSSDTACSGDIVILPNDVLQLNSILGNEILLPQRKFIENPLPMLQTTIAVKKSEQREILLGALTEISDGDPLLKYYVDTTTHEIILSFLGNVQMEVICAILEEKYHVEAEIKEPTVIYMERPLRKAEYTIHIEVPPNPFWASVGLSIEPLPIGSGVQYESRVSLGYLNQSFQNAVMEGVLYGCEQGLYGWKVTDCKICFEYGLYYSPVSTPADFRLLSPIVLEQALKKAGTELLEPYLHFEIYAPQEYLSRAYHDAPRYCADIVSTQIKNDEVILKGEIPARCIQEYRNDLTYFTNGQGVCLTELKGYQPAIGKFICQPRRPNSRIDKVRHMFHKLA ->ARGMiner~~~BcI~~~WP_064056613.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_064056613.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSVTSLEAFTGGSLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVEYSPVTEKHVDTGMTLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRITMADRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAHKRNILTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKSLK ->ARGMiner~~~abeM~~~ELW93880.1~~~fluoroquinolone;acridinedye;triclosan unknown +>ARGMiner~~~abeM~~~ELW93880.1~~~fluoroquinolone;acridinedye;triclosan~~~unknown MLPILITQFAQAGFGLIDTIMAGHLSAADLAAIAVGVGLWIPVMLLFSGIMIATTPLVAEAKGARNTEQIPVIVRQSLWVAVILGVLAMLILQLMPFFLHVFGVPESLQPKASLFLHAIGLGMPAVTMYAALRGYSEALGHPRPVTVISLLALVVLIPLNMIFMYGLGPIPALGSAGCGFATSILQWLMLITLAGYIYKASAYRNTSIFSRFDKISLTWVKRILQLGLPIGLAVFFEVSIFSTGALVLSPLGEVFIAAHQVAISVTSVLFMIPLSLAIALTIRVGTYYGEKNWASMYQVQKIGLSTAVFFALLTMSFIALGREQIVSVYTQDINVVPVAMYLLWFAMAYQLMDALQVSAAGCLRGMQDTQAPMWITLMAYWVIAFPIGLYLARYTEWGVAGVWLGLIIGLSIACVLLLSRLYLNTKRLSQT ->ARGMiner~~~y56 beta-lactamase~~~WP_050296155.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050296155.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITLPLTHFALPAWATPPESLDKQLAELERKSNGLLGIAMINTANGRKIQYRGTQRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHIKYHESDLLSYAPITRKNLAQGMSVSELCAATIQYSDNTAANLLIRELGGIAAVNEFARSIGDHTFRLDRLEPDLNTALPNDPRDTTTPAAMAASLNKLVLGDALPAAQREQLAIWLKGNTTGGETIRAGAPADWIVGDKTGGGDYGTTNDIAVLWPPTGAPIILVIYFTQREKDAKARRDVLAEATKMVLSHLS ->ARGMiner~~~tolC~~~WP_032310966.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032310966.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFNMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASSGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~MexE~~~WP_017149086.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_017149086.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNSHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~mdtH~~~SBY08928.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~SBY08928.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMFPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~adeB~~~WP_000987592.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987592.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSLIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEVVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLSPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFTGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNSSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSAFGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~novA~~~WP_031071225.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_031071225.1~~~aminocoumarin~~~unknown MRIHAESTWTPPPPDPEQPPAQIRRILRLFRPYRARLAVVGLLVAASSLVTVASPFLLREILDTAIPQGRTGLLTLLAFGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYDQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTCVSLLLLPVFVWISRRVGRERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRADSLTRSFAAESERLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGLALQAGGAAISIGTLVAFVSLQQGLFRPAVSLLSTGVEIQTSLALFQRIFEYLDLPVDITEPEDPVRLDTVRGEIRFDDVDFRYDEKSGETLRGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVTSGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFARPDATDEEIHAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDALSAGRTTLTIAHRLSTVRDADEIVVLDEGRIAERGTHDELLRLDGRYAALVRGDAELAPAAP ->ARGMiner~~~arnA~~~WP_048240645.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_048240645.1~~~peptide~~~unknown MKAVVFAYHDMGCQGVQALLDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPGEVNHPLWIERISQLAPDVIFSFYYRHLLSDEILSLAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQQRVAISPDDVALTLHHKLCQAARQLLEEALPAIKTGDYAEHAQQEAEATCFGRRTPEDSFLDWNKPAAELHNQVRAVSDPWPGAFSYVGTQRFTVWSSRVCKNDRAAQPGTVISVSPLLIACADGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTAKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAISRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPENEASIQELAEMLLTCFEKHPLRNHFPPFAGFRDVESSSYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~sdiA~~~WP_025759910.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_025759910.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCFLRFQELTCADEVYQELQRQTQALEFDYYALCVRHPVPFTRPKISLQTTYPKQWMAQYHSANYFAIDPVLKAENFIQGHLPWTDALFADAQELWHSAQDHGLRTGITQCLMLPNHALGFLSVSRTSVQDVPFRQEEIELRLQMLVQMALTSLMRFADGMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~mdtP~~~WP_032239077.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032239077.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRVAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~SRT-2~~~WP_031300463.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_031300463.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAQAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFNDPASRYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTDNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYNKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAGTHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEATYRIIQALEKR ->ARGMiner~~~tolC~~~CDS68257.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CDS68257.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNAAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSKLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~msbA~~~WP_000597248.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597248.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPVEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLIRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHHELIAKQGAYEHLYSIQNL ->ARGMiner~~~vanXA~~~WP_025691786.1~~~glycopeptide unknown +>ARGMiner~~~vanXA~~~WP_025691786.1~~~glycopeptide~~~unknown MEKGFTFLDEILHGVRWDAKYATWDNFTGNPVDGYEVNRIVGTYELANALLKVKELAATQGYGLLLWDGYRPQRAVNCFLQWAAQPEDDLTKERYYPNIDRTEMVSKGYVASKSSHSRGSAIDLTLYRLDTGELVPMGSGFDFMDERSHHAAKGISGNEAQNRRRLRSIMENSGFEAYSFEWWHYVLRNEPYPNSYFDFSVK ->ARGMiner~~~tolC~~~WP_023248571.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023248571.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNQTSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVAYQTDQQTLILNTANAYFKVLNAIDMLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGVSDTSYNGSNTHGPGSQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYEAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~floR~~~WP_047089003.1~~~phenicol unknown +>ARGMiner~~~floR~~~WP_047089003.1~~~phenicol~~~unknown MTTTRPAWAYTLPAALLLMAPFDILASLAMDIYLPVVPAMPGILNTTPAMIQLTLSLYMVMLGVGQVIFGPLSDRIGRRPILLAGATAFVIASLGAAWSSTAPAFVAFRLLQAVGASAMLVATFATVRDVYANRPEGVVIYGLFSSILAFVPALGPIAGALIGEFLGWQAIFITLAILAMLALLNAGFRWHETRPLDQVKTRRSVLPIFASPAFWVYTVGFSAGMGTFFVFFSTAPRVLIGQAEYSEIGFSFAFATVALVMIVTTRFAKSFVARWGIAGCVARGMALLVCGAVLLGIGELYGSPSFLTFILPMWVVAVGIVFTVSVTANGALAEFDDIAGSAVAFYFCVQSLILSIVGTLAVALLNGDTAWPVICYATAMAVLVSLGLVLLRLRGAATEKSPVV ->ARGMiner~~~acrF~~~WP_001752778.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrF~~~WP_001752778.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MANFFIRRPIFAWVLAIILMMAGALAIMQLPVAQYPTIAPPAVSISATYPGADAQTVQDTVTQVIEQNMNGIDNLMYMSSTSDSAGSVTITLTFQSGTDPDIAQVQVQNKLQLATPLLPQEVQQQGISVEKSSSSFLMVAGFVSDNPNTTQDDISDYVASNIKDSISRLNGVGDVQLFGAQYAMRIWLDANLLNKYQLTPVDVINQLKVQNDQIAAGQLGGTPALPGQQLNASIIAQTRLKDPEEFGKVTLRVNTDGSVVHLKDVARIELGGENYNVVARINGKPASGLGIKLATGANALDTATAIKAKLAELQPFFPQGMKVVYPYDTTPFVKISIHEVVKTLFEAIILVFLVMYLFLQNIRATLIPTIAVPVVLLGTFAVLAAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMMEDNLPPREATEKSMSQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPVSAEHHEKKSGFFGWFNTRFDHSVNHYTNSVSGIVRNTGRYLIIYLLIVVGMAVLFLRLPTSFLPEEDQGVFLTMIQLPSGATQERTQKVLDQVTHYYLNNEKANVESVFTVNGFSFSGQGQNSGMAFVSLKPWEERNGEENSVEAVIARATRAFSQIRDGLVFPFNMPAIVELGTATGFDFELIEQGGLGHDALTKARNQLLGMVAKHPDLLVRVRPNGLEDTPQFKLDVDQEKAQALGVSLSDINETISAALGDYYVNDFIDRGRVKKVYVQADAQFRMLPGDINNLYVRSANGEMVPFSTFSSARWIYGSPRLERYNGMPSMELLGEAAPGRSTGEAMSLMENLASQLPNGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAASLRGLNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGRGLIEATLEASRMRLRPILMTSLAFILGVMPLVVSRGAGSGAQNAVGTGVMGGMLTATLLAIFFVPVFFVVVKRRFNRHHD ->ARGMiner~~~vanRO~~~CEL19270.1~~~glycopeptide unknown +>ARGMiner~~~vanRO~~~CEL19270.1~~~glycopeptide~~~unknown MTMRVLVVEDEPYMAEAIRDGLRLEAIAADIAGDGDTALELLSVNAYDIAVLDRDIPGPSGDEIAQIIVASGSGMPILMLTAADRLDDKASGFGLGADDYLTKPFDLRELVLRLRALDRRRAHSRPPVREIAGLRLDPFRREVYRDGRYVALTRKQFAVLEVLVAAEGGVVSAEELLERAWDENADPFTNAVRITVSALRKRLGEPGIIATVAGVGYRIDAEPDAERAGGDSG ->ARGMiner~~~mecR1~~~CDH98054.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~CDH98054.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MSIISSIMTLLLIMIVRIICIKYFKARLNHKVWLLVVLSIFLPLIPINNVLQINIPGIFSSDMISHSSKSINHNLSNESTNLTKDLALNIQHHEMPFILIILLVVWFIGLIFFSFKFISAIKQIAFIKDLSIRSLILDNQLKKCINDLNIKEKSIAINHVDEVENPMVFWLGKYYIVLPTNIMDMMEEEEIDYIISHELIHIKNKDLWSNYIFTIFTSIVWFNPALLLAKKLFNIDCEISCDNQVLTRLSQSNHTSYGKAILKCWDIQKQSVNNFAAKYLLGTQSNLKSRIVNISKFKNTRNRKLKFLPYLVLSILILLQGLMVSAHSDKNEYTDDIKYTNLKGLGSHFSGFKGSFVLYDNQKKEYFLYNEKESRKRYTPDSTYKLYLALIGFDRNVMSLNNTEQKWNGKENVFKEWNQNQNLSSAMRYSVNWYFENIDSSIKNKDLKNYISELQYGNENISGDKNYWNESSLKISAIEQVKLLMRMDNQHLKFDENYINAVKDSITLKKSNQYRYLGKTGTGIINGKETNGWFIGTIEKDGKSYYFATHLDGKNNASGKKAKNISEKILEEMELMQ ->ARGMiner~~~mefA~~~WP_054367041.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_054367041.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAILGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMERFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~MexE~~~WP_022580473.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_022580473.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGERIVVNGLQRVRPGMQVEPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~tolC~~~WP_020239933.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_020239933.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTPYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~sdiA~~~WP_001474368.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001474368.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRRKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~MexA~~~WP_019681600.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_019681600.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNDIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~acrB~~~WP_001359514.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001359514.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MFSRFFVRRPVFAWVIAILIMLAGILAIRTLPVAQYPDVAPPTIKISATYTGASAETLENSVTQVIEQQLTGLDNLLYFSSTSSSDGSVSINVTFEQGTDPDTAQVQVQNKIQQAESRLPSEVQQTGVTVEKSQSNFLLIAAVYDTTDKASSSDIADWLVSNVQDPLARVEGVGSLQVFGAEYAMRIWLDPAKLASYSLMPSDVQSAIEAQNVQVTAGKIGALPSPNTQQLTATVRAQSRLQTVDQFKNIIVKSQSDSAVVRIKDVARVEMGSEDYTAIGKLNGHPSAGVAVMLSPGANALNTATLVKDKIAEFQRNMPQGYDIAYPKDSTEFIKISVEDVIQTLFEAIVLVVCVMYLFLQNLRATLIPALAVPVVLLGTFGVLALFGYSINTLTLFAMVLAIGLLVDDAIVVVENVERIMRDKGLPAREATEKSMGEISGALVAIALVLSAVFLPMAFFGGSTGVIYRQFSITIISAMLLSVVVALTLTPALCGSVLQHVPPHKKGFFGAFDRFYRRTEDKYQRGVIYVLRRAARTMGLYLVLGGGMALMMWKLPGSFLPTEDQGEIMVQYTLPAGATAARTAEVNRQIVDWFLINEKANTDVIFTVDGFSFSGSGQNTGMAFVSLKNWSQRKGAENTAQAIALRATKELGTIRDATVFAMTPPAVDGLGQSNGFTFELLANGGTDRETLLQMRNQLIEKANQSPELHSVRANDLPQMPQLQVDIDSNKAVSLGLSLNDVTDTLSSAWGGTYVNDFIDRGRVKKVYIQGDSEFRSAPSDLGKWFVRGSDNAMTPFSAFATTRWLYGPERLVRYNGSAAYEIQGENATGFSSGDAMTKMEELANSLPAGTTWAWSGLSLQEKLASGQALSLYAVSILVVFLCLAALYESWSVPFSVILVIPLGLLGAALAAWMRDLNNDVYFQVALLTTIGLSSKNAILIVEFAEAAVAEGYSLSRAALRAAQTRLRPIIMTSLAFIAGGNAAGDSNRRRGEQPHRHWYGHYWRYADRYVAGYFLCSSVFCTGETFVCR ->ARGMiner~~~arnA~~~WP_001537811.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001537811.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKSASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFMNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~y56 beta-lactamase~~~WP_050318352.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050318352.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITLPLVNFSLPAWAAAPSDLLGNQLAELERKSNGRLGVTMINTANGRKIQYRGTQRFPFCSTFKFMLAAAVLGKSQTQPDLLNKHIKYRESDLLSYAPITRKNLAHGMTVSQLCAATMQYSDNTAANLLIKELGGVESVNAFARSIGDQAFRLDRLEPDLNTALPNDPRDTTTPAAMAASMNKLVLGDALPAAQREQLTLWLKGNTTGAETIQAGAPADWIVGDKTGSGDYGTTNDIAVLWPTQGAPIVMVVYFTQREKDAKPRRDVLAAATKIVLSSLSPAKS ->ARGMiner~~~mexH~~~WP_031802532.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_031802532.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGL ->ARGMiner~~~tolC~~~WP_064327449.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064327449.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDNFKTDKPQAVNALLKEAENRNLALLQARLSQDLAREQIRQAQDGHLPTLGLTASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLTFSMPLYQGGMVNSQVKQAQYNFVGASEQLETAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLQMLNNTLGKPVSTAPENVAPENPQQDAAVDNFNGNGNADMPAAQPAAARTTTAAPASSGTNPFRH ->ARGMiner~~~tolC~~~WP_049191975.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_049191975.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAMLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGISNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~tolC~~~WP_004854252.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_004854252.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARVSNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDAKDQNSDVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSSVSNNSYSGSKNTTQDRDIGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~macB~~~WP_059271287.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059271287.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGVERKRRLARARELLQRLGLEERVDYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPATENMNVVAGTESIVKMSSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQRQPWVASATPAVSQNLRLRYSNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNSRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSCKVLRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFALQLFLPGWEIGFSPLALLLAFLCSTVTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~sdiA~~~WP_032340852.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032340852.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAVEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSTREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~norA~~~WP_001041327.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041327.1~~~fluoroquinolone;acridinedye~~~unknown MNKQILVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAIGQNFLILMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEASHRMPFYFAGALGILAFIMSIVLIHDPKKVSTNGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANGYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~CTX-M-12~~~AIC64472.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-12~~~AIC64472.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPSLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDILASAAKIVPDGL ->ARGMiner~~~norA~~~WP_061684055.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_061684055.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMTRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~arnA~~~WP_001609182.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001609182.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLIHDKILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPNDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQCENEATCFGRRTPDDSFLEWHKPAFMLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSRYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtM~~~WP_021564835.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_021564835.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFMWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLQFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~macA~~~WP_048240713.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_048240713.1~~~macrolide~~~unknown MKLKGKIKKRYFLFAIILIVVVIALWRTLNAPLPQYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAEAEWKLARVTLSRQQQLAKTQAVSQQDLDTAATEMAVKQAQIGAIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLQPGQKAWFTVLGDPQTRYEGTLKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTDVKNVLTIPLSALGDPIGNNRYNVRLLRNGETREREVVIGARNDTDVEIVKGLEEGDEVITGEGNAGAAK ->ARGMiner~~~lsaB~~~WP_000061792.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061792.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYGGKILASVEFNYFPYSVADKNKFTYEILEEICPQAEDWEFLREISYLNVDAEVIYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELITLVDVSVKYDDQIVNDSISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVILGTGLIISYVQQDTSHLKGSLSDFIEQHKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~tolC~~~WP_032986438.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032986438.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNDGVDNTVKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDNFKTTKPAAINALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTSGAAASRYADSKIGQNSIGLSFNLPLYSGGSVTSQVKQAQYSFVGTSEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTAPESVAPENPEQDAAVNNMANGGGSAPAMQPAAATRSGNSNSGNPFRQ ->ARGMiner~~~mdtH~~~WP_053258987.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053258987.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNVLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~lsaB~~~WP_035434889.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_035434889.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQDLTFSYPGNFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKITASVEFNYFPYPVSDKNKFTYEILEEICPQAEDWEFLREISYLNVDAEVLYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKMVSAYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIERLKQSSKRSVGWSHQVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNIESRQQKAIEEKSKLLKNVEKTESLKLEQLKFQSNELVVLADVSVKYDDQIVNEPISFIVEQGHRIVLDGKNGSGKSSILKLILGHSIQHTGSVNLGSGLIISYVEQDTSHLKGSLSDFIEEHEIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKTFQQTVATKTISM ->ARGMiner~~~lmrB~~~WP_007609218.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~WP_007609218.1~~~lincosamide~~~unknown MILENAQPSKQYKVMPIMISLLTAGFIGMFSETALNIALTDLMKELHITPATVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFIVSLAFSIIGTLIAALAPSFPFLLAARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALLFGIAYMQNVSDITKPKIDVLSIILSTIGFGGIVFGFSNAGEGSDGWSSPTVIGSLTVGAIALILFSIRQLTMKQPMMNLRAFRYPMFVLGVVIVFICMMVILSTMLLLPMYLQSGLMLTAFTSGLILLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVITATVLSFFSNINGASTALLIVALHTCLMIGISMIMMPAQTNGLNQLPPEFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQKNYMSTAKNPNDPSAYSHALIAGVQHAFIFAMIVAVIGLISAFFMKRVKVNHS ->ARGMiner~~~macB~~~WP_045444768.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045444768.1~~~macrolide~~~unknown MTALLELSNICRSYPSGEGQVEVLKNVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTNGTYQVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPSQKPSAGRGIAEPTVTAASGWRQFASGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLVAIQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGNTFNAEQLNGRAQVVVLDSNTRRQLFPNKAQVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLSLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFTLQLFLPGWEIGFSPFALLTAFLCSTATGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~blaF~~~WP_064895059.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_064895059.1~~~penam~~~unknown MTGLSRRNVLIGSLVAAAAVGAGVGGAAPALAAPIDDQLAELERRDNVLIGLYASNLDSGRRITHRADQMFAMCSTFKGYAAARVLQMAERGEISLDNRVFVDADALVPNSPVTETRAGAEMTLAELCQAALQRSDNTAANLLLKTIGGPAAVTAFARSVGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAILAGDALSPSQRGLLEDWMRANQTSSMRAGLPEGWTTADKTGSGDYGSTNDAGIAFGPDGQRLLLVMMTRSQAHDPKAENLRPLIGELTALVLPSLL ->ARGMiner~~~SRT-2~~~WP_062870706.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_062870706.1~~~cephalosporin~~~unknown MKRIHKLAVALLTALAIPAGHAADKTDIDAIVQPLMQKYGVPGMAIAVSVEGKQQFYHYGVASKQTGKPITNQTLFEIGSLSKTFTATLAAYANDEGKLSFAEPASRYLPELRGSAFDHVSLLNLATHTSGLPLFVPDEVTNDAQLMAYYKQWQPSQPAGSTRVYSNLGIGMLGMITAKSLNQPFAQAMEQRLFPALGLTHSYINVPTGQMANYAQGYNKKDQPVRVTPGPLDAESYGVKSNAQDLIRYLEANMQVAKVGDKWRKALDETHTGYYRAGVFTQDLMWESYPYPVALARLTEGNNAGMIMNGTPATAITPPQREQGAAWFNKTGSTGGFSTYAVFLPKQKIAVVMLANKWFPNDDRVAATYRIVQALEKP ->ARGMiner~~~FosB~~~WP_003172186.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_003172186.1~~~fosfomycin~~~unknown MNSIKKILQAKLLVKGRKLAYFDLNGLWIALNVEEDIPRNEIKQSYTHMAFTVTNEALDHLKEVLIQNDVNILPGRERDERDQRSLYFTDPDGHKFEFHTGTLQNRLEYYKEDKKHMTFYI ->ARGMiner~~~emrB~~~WP_023226456.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_023226456.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPTKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGAH ->ARGMiner~~~acrB~~~WP_001132513.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132513.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPSGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEEKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGDENKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMITATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHTIEHR ->ARGMiner~~~hmrM~~~WP_001174951.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174951.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQITLLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~tolC~~~WP_045334477.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045334477.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANNTTPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~TEM-1~~~ANG10795.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG10795.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPIMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~norA~~~WP_002474388.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_002474388.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFILYFNIFLIFLGIGLVIPVLPVYLKDLGLKGSDLGMLVAAFALSQMIISPFGGTLADKLGKKLIICIGLVFFAVSEFMFAAGQSFTILIISRVLGGFSAGMVMPGVTGMIADISPGADKAKNFGYMSAIINSGFILGPGFGGFLAEISHRLPFYVAGTLGVVAFIMSVLLIHNPHKATTDGFHQYQPELLTKINWKVFITPVILTLVLAFGLSAFETLFSLYTADKVNYTPKDISIAIIGGGVFGALFQVFFFDKFMKYMSELNFIAWSLLYSAIVLVMLVLANGYWTIMIISFVVFIGFDMIRPALTNYFSNIAGKRQGFAGGLNSTFTSMGNFIGPLVAGALFDVNLEFPLYMAIAVSLSGIIIIFIEKGLKSRRKEAN ->ARGMiner~~~mdtH~~~WP_060559330.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_060559330.1~~~fluoroquinolone~~~unknown MALVTQARTLGKYFLLLDNMLVVLGFFVVFPLISIRFVEQLGWAGVVVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIITGMLLRALGFALMALADEPWILWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLLYDFHLVCWVGAGVFVIAAIFNAWLLPAYRISTTRTPIKEGLKRVILDKRFVQYVLTLTGYFVLSVQVMLMFPIVVNEIAGTPSAVKWMYAIEALLSLTLLYPIARWSEKHFRLEQRLMAGLFLMSISMFPVGITHSLHAIFLIISLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWMYDIGQQLELPELPWFLLGTIGFITLYALHRQFNRKKIETAMLTP ->ARGMiner~~~pmrA~~~ALS38272.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~ALS38272.1~~~fluoroquinolone~~~unknown MRIEWKKNLMVAWLGCFFTGASISLVMPFIPVYVEQLGTPKSQVELFSGLAIAVTAFAAAIVAPIWGNLADRKGRKVMMIRAAAGMTITMGSLAFVPNVYWLLIMRFFNGILSGYIPNATAMIASQAPKEKSGWALGTLSTGAIAGNLIGPSIGGALAQWFGMENVFIITGIVLLITTLLTIFMVKEDFQPVEKKDLLSTKDIFSKMDHVSILIGLFVTTLILQIGVTSISPILTLYIRSLSHDTGNILFISGLIVSVAGVSAVISSPVLGKLGDKIGNHKVLLAGLMLSMICYIPMAFVKTPFQLGLLRFFLGFSTGALMPSINTLISKITPSEGVSRIYSYNQMFSNFGQVLGPMIGSTVAHGFGYSAVFIVTACFVLGNICLSLFNFRKILNKRL ->ARGMiner~~~arnA~~~WP_001551384.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001551384.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPEDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHSHAPAAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMHETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~macA~~~WP_045396263.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045396263.1~~~macrolide~~~unknown MTLNGKRRKVWWLLALVVVIAAIWGWRILNAPLPQYQTLVARKSDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAENQIKEVEATLMELRAQLKQAQAERKLAQVTLARQQQLAQRQLVSRQDLDTAATDVAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLAEVKNVITIPLSALGDAIGDNRYNVRLLRNGEVKEREIVIGARNDTDVAVAKGLEEGDEVIISESTPGAAK ->ARGMiner~~~MexA~~~WP_043089708.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_043089708.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTVRAVFPNPNNELLPGMFVHAQLQEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLVTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKADAAPAKTDSKG ->ARGMiner~~~mdtG~~~WP_032936221.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_032936221.1~~~fosfomycin~~~unknown MSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVESLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAVVMLLMGLAQNIWQFLILRALLGLLGGFIPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPLAGGLLADQYGLRPVFFITASVLLVCFILTLFFIRERFQPVSKKEMLHIREVVASLRNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALISAPKLGKLGDRIGPEKILIVALIVSVLLLIPMSFVQTPWQLGILRFILGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFCVTAGVVLFNALYSWNSLRRRRSTEVVE ->ARGMiner~~~FosB~~~WP_048566540.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_048566540.1~~~fosfomycin~~~unknown MVKGINHLCFSVSNLEKSITFYEKVLEGELLVKGRKLAYFNICGVWVALNEETHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHITFY ->ARGMiner~~~BcI~~~gi:109156902:pdb:1W7F:A~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~gi:109156902:pdb:1W7F:A~~~cephalosporin;penam~~~unknown MKLWFSTLKLKKAAAVLLFSCVALAGCANNQTNASQPAEKNEKTEMKDDFAKLEEQFDAKLGIFALDTGTNRTVTYRPDERFAFASTIKALTVGVLLQQKSIEDLNQRITYTRDDLVNYNPITEKHVDTGMTLKELADASLRYSDNTAQNLILKQIGGPESLKKELRKIGDEVTNPERFEPELNEVNPGETQDTSTARALATSLQAFALEDKLPSEKRELLIDWMKRNTTGDALIRAGVPEGWEVADKTGAGSYGTRNDIAIIWPPKGDPVVLAVLSSRDKKDAKYDDKLIAEATKVVVKALNMNGK ->ARGMiner~~~mdtN~~~WP_033557598.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_033557598.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALIFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~smeE~~~WP_054662856.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_054662856.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGALAMLKLPISMYPEVAPPAVSISANYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQASITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIGFVSEDGSMDEHDISDYVGSNVIDPLSRVPGVGSIQVFGGKYAMRIWLDPNKLQTYRVSVDEVTAAVRAQNAQVAVGQLGGAPAVKGQQLNATINAQDRLQTPQQFRDIVLRTEADGSTLKLGDVARVELGAETYDFVTRYNGKPASGLAVTLATGANALATAEGVRKTLDELAANYPHGLKAVIPYDTTPFVKVSIKGVVKTLLEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAALGFSINMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHRGLAGRFFNGFNNGFDRTSSTYQRGVRGILARPWRFMAVFAALAVAMGVLFMRLPSSFLPNEDQGILMALVQAPVGATQERTLESIYKLEDHFLQNEKDAVESVFSVQGFSFSGMGQNSGMAFVKLKDWHERTPDQGVGPITGRAMAALGQIKDAFIFAFPPPAMPELGTASGYTFFLKDNTGQGHDALVNARNQLLGMAGNSDKLANVRPNGLDDTPQLRLDIDVAKAGAHGLSLDAINSTLATAWGSSYVDDFIDRGRVKRVYMQADDGFRMNPEDFNLWTVKNSAGQMVPFSAFASQRWDYGSPRLERYNGVSALEIQGEAAPGVASGDAMAEVEKLASQLPPGFSIEWTAVSYQEREAGSQTPLLYTLSLLIVFLCLAALYESWSVPTAVLLVAPLGILGAVLANTFRGMERDVYFQVAMLTTVGLTSKNAILIVEFAKEHLEKGAGVIEATMHAVRDRLRPIVMTSLAFGLGVLPLAIASGAGSGAQRAIGTGVLGGMIAGTVLGLFFIPLFFVVVQRLFNRRRLAANGEPPQA ->ARGMiner~~~emrB~~~WP_049011713.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_049011713.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFMWSTVAFAIASWACGVSNSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIIILTVVAVIAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREAMHHSQLTESVNPYNPNAQEMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macB~~~WP_025368306.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_025368306.1~~~macrolide~~~unknown MTALLELRNIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTYTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_048222287.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_048222287.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKGVLRLDMTAQVHIQLTGLKNVLTIPLSALGESAGESRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~mgrA~~~WP_019468237.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_019468237.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEPLNLKDQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWADSPVNVKKVVTELALDTGTVSPLLKRMEQIDLIKRERSEVDQREVFIHLTDKSEAIRPELDTACQDVAVASSLSAEENKELNRLLSKVINAFTEEKAK ->ARGMiner~~~mepA~~~CCQ23538.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~CCQ23538.1~~~glycylcycline;tetracycline~~~unknown MINPVTHFLGADATSFLHTKNYTLALLICSPFIIANFALEQVVRAEGASRVSMNGMLIGTVVNLVFDPLLILYFDFNVVGAAVSVGLASLFSLIYYAWYLEKKSDYLSIRFKWFKAKEIVQNVFKIGVSELLLSLFLIVTTLVLNHYSMIYGEGVVAGFGVALRVVQLPEFICMGLYMGIIPLLAYNYGSGNIARFEKAIRFTAISIGLIVLLLSSLVFIFRFQVMHLFSDSQSVITLGVHIMVAMLISSLFSGFTGLFTSTFQAIGKAIPATIMSVSQGIIFIPVIILGQHYFGLMGVIWSLTATEILTCIIGVTLFTIHNIKIASSAKTKDLAV ->ARGMiner~~~vanRA~~~gi:343429998:dbj:BAK61685.1~~~glycopeptide unknown +>ARGMiner~~~vanRA~~~gi:343429998:dbj:BAK61685.1~~~glycopeptide~~~unknown MSDKILIVDDEHEIADLVELYLKNENYTVFKYYTAKEALECIDKSEIDLAILDIMLPGTSGLTICQKIRDKHTYPIIMLTGKDTEVDKITGLTIGADDYITKPFRPLELIARVKAQLRRYKKFSGVKEQNENVIVHSGLVINVNTHECYLNEKQLSLTPTEFSILRILCENKGNVVSSELLFHEIWGDEYFSKSNNTITVHIRHLREKMNDTIDNPKYIKTVWGIGYKIEK ->ARGMiner~~~Escherichia coli ampC~~~WP_020240420.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_020240420.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDDVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEETLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~vanA~~~WP_033585865.1~~~glycopeptide unknown +>ARGMiner~~~vanA~~~WP_033585865.1~~~glycopeptide~~~unknown MKVAILFGGCSEEHDVSVKSAIEIAANINKEKYEPLYIGITKSGVWKMCEKPCAEWENDNCYSAVLSPDKKMHGLLVKKNHEYEINHVDVAFSALHGKSGEDGSIQGLFELSGIPFVGCDIQSSAICMDKSLTYIVAKNAGIATPAFWVINKDDRPVAATFTYPVFVKPARSGSSFGVKKVNSADELDYAIESARQYDSKILIEQAVSGCEVGCAVLGNSAALAVGEVDQIRLQYGIFRIHQEVEPEKGSENAVITVPADLSAEERGRIQETAKKIYKALGCRGLARVDMFLQDNGRIVLNEVNTLPGFTSYSRYPRMMAAAGIALPELIDRLIVLALKG ->ARGMiner~~~FosB~~~WP_000943770.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000943770.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKSHMTFY ->ARGMiner~~~tolC~~~WP_001530884.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001530884.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRNANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macA~~~WP_001475184.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001475184.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEGVIGEAKPGAAQ ->ARGMiner~~~FosB~~~WP_049742677.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_049742677.1~~~fosfomycin~~~unknown MPQLTGINHLLFSVSDLERSFCFYRDVMGAKPLVRGRKLAYFDWNGYWLALNEEQDIPRNEIAHSYTHLAFSIDEASFDDWKSHLEKHDVIILPGRERDERDKRSLYFTDPDGHKFELHTGTLQDRLSYYRSEKGHMTFFD ->ARGMiner~~~macB~~~WP_023486375.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023486375.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANSVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtM~~~WP_061389690.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_061389690.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQRIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMGTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~OprN~~~WP_023088332.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_023088332.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEYRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~amrA~~~WP_043293359.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_043293359.1~~~aminoglycoside~~~unknown MKYEWARTRRLSAALAVAAFVAAGCGKHESEHDAAAPREASVVTVKKTSVPLSVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKARAAHLAALDKRRRYDELVRDRAVSERDHTEALADERQAKAAVASARAELARAQLQLDYATVTAPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVESLRRAVKSGRAVGIAQQDVEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAVARDAILVPRDALLRTADSATVKVVGQNGKIRDVTVEAAQMKGRDWIVTRGLAGGERVVVVDAAQFEAGTTVKALERGAAAQPASGAAAASAPGRRST ->ARGMiner~~~emrA~~~WP_036938621.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_036938621.1~~~fluoroquinolone~~~unknown MSVNEENTPPQAPIRNKKRTRRNVLLLLTILFILAGVAYTAYWFMVLRHHETTDNAYVTGNQIMVMPQISGSVTTVYVDNTDFVKAGEPLVLLDSSDEKLALEKAKTALANSVRQMHQQIINGRQLKANIVLRETELTKLQNDLRRREVLGERNVIGKEELQHAREAVSTARAALEVAKEQYNANQAIILNTPIAQQPSVLQASTDVRNAWLALERTKILSPTDGYVSRRSVQVGAQVSPGKPLMAIVPTTGMWIDANFKETQLANMRIGQPAKITTDFYGKKVIYHGTVLGLDMGTGSAFSLLPAQNASGNWIKVVQRLPVRISLDEKELAEKPLRIGLSSEVTVDTINLDGKVLSHSERQVPAYHTDALTIDMSEINKLINEIIEQNAGQ ->ARGMiner~~~tolC~~~EXH59573.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~EXH59573.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQINMMSAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~MexD~~~WP_003123405.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_003123405.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVSRAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~FosB3~~~WP_064201034.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_064201034.1~~~fosfomycin~~~unknown MIAKKVGDILPQLQGLNHLLFSVSDLEKSFCFYRDVLHAKPLVRGRKLAYFDLNGYWLALNEEPDIPRNEIAHSYTHMAFTITEESFDEWYAHLEKHGVTILHGRDRSERDKRSIYFTDPDGHKFELHTGTLQDRLAYYRDEKHHMTFFE ->ARGMiner~~~cphA7~~~WP_017764051.1~~~carbapenem unknown +>ARGMiner~~~cphA7~~~WP_017764051.1~~~carbapenem~~~unknown MKGWIKCGLAGALVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYIQENSMVYFGARGVTVVGATWTPDTARELHKLIKRVSRKPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHEGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEELIKAAPQS ->ARGMiner~~~norA~~~WP_057520729.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_057520729.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKIDWKVFITPAILTLVLALGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKQQDL ->ARGMiner~~~emrB~~~WP_063098254.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_063098254.1~~~fluoroquinolone~~~unknown MKTQTPFAELSGGRLILAAFVIALSNFMVVLDTTIANVSVPHITGNLAVSSTQGTWVVTSYAVAEAICVPLTGWLAGRFGTVRVFIFGLIGFTIFSFLCGLANSLGMLVFFRIGQGLCGGPLMPLSQTLLMRIFPQEKHAQAMGLWAMTTVVGPILGPILGGLISDNLSWHWIFFINLPVGIICVLAAMRLLRVAETETISLRIDTVGLGLLILWIGALQLMLDLGHERDWFNSTSIIVLALTAAIGFVVFLIWELTDKHPVVDVKVFRHRGFAISVLALSLGFGAFFGSIVLIPQWLQMNLSYTATWAGYLTATMGFGSLTMSPIVAKLSTKHDPRALASFGLILLGGVTLMRAFWTTDADFMALAWPQILQGFAVPFFFIPLSNIALGSVLQQEIASAAGLMNFLRTMAGAIGASIAVTVWDDHAKVARSEMVSNLHTTEVQNTLLQNGFTADSTLGIISNLVDKEAITMSANHVFLLFAMVFVFAGLVIWLCPKPKQVSGMPSH ->ARGMiner~~~tolC~~~WP_063963387.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063963387.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPISTSPDSVAPENPQQDAAVDNFTANSSAPVAQPAAARSTAPASSGTNPFRH ->ARGMiner~~~aadK~~~WP_041053663.1~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~WP_041053663.1~~~aminoglycoside~~~unknown MRSEQEMMDIFLDFALNDERIRLVTLEGSRTNRNIPPDNFQDYDISYFVTDVDSFKENDQWLEIFGKRIMMQKPEDMELFPPELGNWFSYIILFEDGNKLDLTLIPIREAEDYFANNDGLVKVLLDKDSFINYKVTPNDHQYWMKKPTAREFDDCCNEFWMVSTYVVKGLARNEILFAIDHLNEIVRPNLLRMMAWHIASQKGYSFSMGKNYKFMKRYLSNKEWEELMSTYSVNGYQEMWKSLFTCYALFRKYSKAVSESLAYQYPDYDEGITKYTEGIYCSVK ->ARGMiner~~~macB~~~WP_063104984.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063104984.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLWLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~FosB~~~WP_000911701.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000911701.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLENSILFYERVLEGELLVKGRKLAYFNICGVWIALNEEAHIPRKEIHQSYTHLAFSVEQKDFERLLHRLEENNVHILQGRERNVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREEKPHMTFY ->ARGMiner~~~mexH~~~WP_034065722.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_034065722.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGVAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~MexT~~~WP_023104399.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~WP_023104399.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNRNDLRRVDLNLLIVFETLMHERSVTRAAEKLFLGQPAISAALSRLRTLFDDPLFVRTGRSMEPTARAQEIFAHLSPALDSISTAMSRASEFDPATSTAVFRIGLSDDVEFDLLPPLLRRLRAEAPGIVLVVRRANYLLMPNLLASGEISVGVSYTDELPANAKRKTVRRSKPKILRADSAPGQLTLDDYCARPHALVSFAGDLSGFVDEELEKFGRKRKVVLAVPQFNGLGTLLAGTDIIATVPDYAAQALIAAGGLRAEDPPFETRAFELSMAWRGAQDNDPTERWLRSRISMFIGDPDSL ->ARGMiner~~~macA~~~WP_049103387.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_049103387.1~~~macrolide~~~unknown MKLNGKRRKVWWLLAIVVLALAVWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALKKVDVGAQVSGQLKTLRVEIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQLNQARAERKLSAATLARQQKLAQRQLVSRQDLDTAATDLAVKEAQIGTIEAQVKRNQATLDTAKTNLDYTKILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQLSEVKNVITIPLSALGEAIGDNRYHVRLLRTGEVKEREIVIGARNDTDVAVVKGLDEGDEVIIGEGVAGAAK ->ARGMiner~~~mdtB~~~WP_033560986.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_033560986.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKVEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALLIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPREAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~lsaB~~~OAS18676.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~OAS18676.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDPIFEDVSFQIDTDWKLGFIGRNGRGKTTFFNLLLEKYEYNGKIISSVEFNYFPYPVSDKSRLTYEIVEEICPKAEDWEFLREISYLDVDAEVMYRPFETLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTHGRKMVSTYLKRKKGFILISHDRNFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEKTNERLHKDIGRLQQSSKRSANWSNQVEASKNGTTNSGSKLDKGYVGHKAAKMMKRAKNLESRQNKAIEEKSTLLKNVEKTESLQLEPLAYKAKELIQLTDVVVRYEGEGVNSPISFTVAAGDRVVLDGKNGSGKSSILKLIVGDPIQHRGTLNVGSGLIISYVQQDTSHLKGKLADFIEENQIDEPIFKSILRKMDFERIQFEKDISQYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDVYSRMQVEELINSFNPTMVFVEHDQAFRENVATKMVTL ->ARGMiner~~~msbA~~~WP_031866869.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031866869.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTILTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~sul1~~~WP_031640202.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_031640202.1~~~sulfonamide;sulfone~~~unknown MEVAAEADARVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~tolC~~~AHB92919.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~AHB92919.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLKLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~acrB~~~WP_012968813.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_012968813.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLSILKLPVAQYPTIAPPAISITAMYPGADAETVQNTVTQVIEQNMNGIDHLMYMSSNGDSTGTATITLTFESGTDPDIAQVQVQNKLALATPLLPQEVQQQGISVEKASSSFLMVVGVINTNGTMNQDDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISALKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTNTEEFGNILLKVNQDGSQVRLRDVAKIELGGESYDVVAKFNGQPASGLGIKLATGANALDTANAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGSHGATTGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLVLYLIIVVGMAWLFVRLPSSFLPDEDQGVFLSMAQLPAGATQERTQKVLDEMTNYYLTKEKDNVESVFAVNGFGFAGRGQNTGIAFVSLKDWSQRPGEENKVEAITGRAMGYFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGMVAQHPDVLTGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYIMSEAKYRMLPEDIGKWYVRGSDGQMVPFSAFSTSRWEYGSPRLERYNGLPSLEILGQAAPGKSTGEAMSLMEELAGKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVMPLVISSGAGSGAQNAVGTGVMGGMVTATILAIFFVPVFFVVVRRRFSKKSEDIEHSHQVEHH ->ARGMiner~~~otr(B)~~~WP_014475734.1~~~tetracycline unknown +>ARGMiner~~~otr(B)~~~WP_014475734.1~~~tetracycline~~~unknown MDTTTAKQASTKFVVLGLLLGILMSAMDNTIVATAMGNIVADLGSFDKFAWVTASYMVAVMAGMPIYGKLSDMYGRKRFFLFGLIFFLIGSALCGIAQTMNQLIIFRAIQGIGGGALLPIAFTIIFDLFPPEKRGKMSGMFGAVFGLSSVLGPLLGAIITDSISWHWVFYINVPIGALSLFFIIRYYKESLEHRKQKIDWGGAITLVVSIVCLMFALELGGKTYDWNSIQIIGLFIVFAVFFIAFFIVERKAEEPIISFWMFKNRLFATAQILAFLYGGTFIILAVFIPIFVQAVYGSSATSAGFILTPMMIGSVIGSMIGGIFQTKASFRNLMLISVIAFFIGMLLLSNMTPDTARVWLTVFMMISGFGVGFNFSLLPAASMNDLEPRFRGTANSTNSFLRSFGMTLGVTIFGTVQTNVFTNKLTDAFSGMKGSAGSGAAQNMGDPQEIFQAGTRSQIPDAILNRIIDAMSSSITYVFLLALIPIVLAAVTILFMGKARVKTTAEMTKKAN ->ARGMiner~~~tetM~~~ADV76307.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~ADV76307.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDRGTTKTDNTLLERQRGITIQTAITSFQWKNTKINIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKIGIPTIFFTNKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELHPDMRVMNFTESEQWDMVIEGNDYLLEKYTSGKLLEALELEQEESIRFHNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLQDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLRYYVDSATHEIILSFLGKVQMEVTCALLQEKYHVEIEIKEPTVIYMERPLKKAEYTIHIEVPPNPFWASIGLSVAPLPLGSGVQYESSVSLGYLNQSFQNVVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tet(D)~~~EYI48463.1~~~tetracycline unknown +>ARGMiner~~~tet(D)~~~EYI48463.1~~~tetracycline~~~unknown MYIEQHSRYQNKANNIQLRYDDKQFHTTVIKDVLLWIEHNLDQSLLLDDVANKAGYTKWYFQRLFKKVTGVTLASYIRARRLTKAAVELRLTKKLSLRSH ->ARGMiner~~~novA~~~WP_053705806.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_053705806.1~~~aminocoumarin~~~unknown MPHDEPKWTPSKDPLDPTRPAPAEQPRELRRIVALFRPYRGRLAVVGLLVCASSLVGVASPFLLREILDVAIPQGRTGLLSLLALGMILTAVVTSVFGVLQTLISTTVGQRVMHDLRTAVYAQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTLVSLLLLPVFVWISRRVGHERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSESLTSAFSAESEKLVDLEVRSSMAGRWRMSTIGIVMAAMPALIYWAAGIALQAGAPSLSVGTLVAFVTLQQGLFRPAVSLLSTGVQIQTSLALFARIFEYLDLPVDITERAEPVRLDRAKGEVALEDVHFTYDSENGPTLSGIDITVPAGGSLAVVGPTGSGKSTLSYLVPRLYDVSGGRVAIDGVDVRDLDFDSLARSIGVVSQETYLFHASVADNLRFAKPDATEEEITEAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQQAIDHLSAGRTTITIAHRLSTVRDADQIVVLDGGRIAERGTHEELLKADGRYAALVRRDRDAALAPEPPEDAQLAPVNV ->ARGMiner~~~mdtN~~~WP_059320519.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_059320519.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVVLALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQASDTLRRTEPLLREGFVSAEEVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~smeE~~~WP_057663064.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_057663064.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGLLSLLRLPISMYPEVAPPAVEIRATYPGASAKVVEDSVTQIIEQNMKGLDGLLYFSSNSAANGMATITLTFASGTDPDIAQVQVQNKLQLAMPLLPQAVQQQGVNVAKSSSSFLQVVGFVSEDGSMNANDIADYVGSTIVDPMSRVPGVGNIQVFGGKYAMRIWLDPGKLHTYRLSVDEVVAAVRAQNAQVAIGQLGGAPSVEGQQLNATINAQERLQTPEQFRDIVVRSTADGGQLRLGDVARVELGAETYDFVTRYNGKPSTGIAITLATGANALDTAEGVKATLAELEKDFPAGLKAVVPYDTTPFVKVSIKGVVKTLIEAIVLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAALGFSINMLTMFAMVLAIGLLVDDAIVVVENVERIMAEEGLSPLEATRKSMEQITGALVGIGLVLSAVFVPMAFMDGSTGVIYRQFSATIVSAMLLSVLVAIVLTPALCATMLKPLPKGEHHVKHTGLAGRFFGWFNRSFDNTSGKYQRGVRGILARPGRFMAVFAALAVVMGVLFVRLPSSFLPNEDQGILMALVSTPVGATQERTLESIAKLENHFLENEAELVESVFSVQGFSFSGMGQNAGMAFIKLKDWEQRPDADQQVGAVAGRAMGALMQIKDAFIFAFPPPAMPELGIGSGYSFFLKDMTGQGHEALLNARNQLLGMAGQSPLLANVRPNGQEDTPQLRLDIDPAKAGAHGLSMAAINSTLSAAWGSSYIDDFIDRGRVKRVFVQADKDFRMTPEDFQLWSVKNNAGQMVPFSSFATYHWDYGSPRLERYNGVSAVEIQGEPAPGVASGDAMDEIEKLASQLPPGFAIEWTALSYQERAAGNQTPLLYALSLLIVFLCLAALYESWTVPTAVLLVAPLGILGAVLANTLRGMERDIYFQVAMLTTVGLTSKNAILIVEFAKENLEKGAGVIEATMHAVRDRLRPIIMTSLAFGLGVLPLAIASGAGSGAQRAIGTGVLGGMILGTALGVFFIPLFFVVINRMFNRKQKDASHD ->ARGMiner~~~MexD~~~WP_031693046.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_031693046.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGSAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTTLGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANQDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQTATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATAKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERYLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTIMAVSPPPIDGLGNSGGFALRLMDRGGVGREALLQARDTLLGEVQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVAGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVVPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSRPAPIEQAATAGE ->ARGMiner~~~mexH~~~WP_023108253.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_023108253.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHSRARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~FosB~~~WP_063263888.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_063263888.1~~~fosfomycin~~~unknown MVQSINHICFSVSNLEKAIEFYQNILQAKLLVKGRKLAYFDLNGLWIALNVEESIPRNEIQHSYTHIAFTVTDNEFDSLKETLIQNHANILPGRERDERDKRSIYFTDPDGHKFEFHTGTLQDRLQYYKEDKNHMTFYR ->ARGMiner~~~acrB~~~WP_023334733.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_023334733.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFQSGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDIIAKFNGKPASGLGIKLATGANALDTATAIRAELKKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSIFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGGHGEHKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYIIIVVGMAFLFVRLPSSFLPDEDQGVFLTMAQLPAGASQERTQKVLDEVTDYYLTKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGEENKVEAITGRAMGTFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQYKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAQYRMLPNDINSWFVRGSNGQMVPFSAFSTSRWEYGSPRLERYNGLPSMEILGQAAPGRSTGEAMNLMEELASKLPAGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDVEHSHSVEPH ->ARGMiner~~~mexY~~~WP_061198966.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_061198966.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATSLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPESIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~aadA6~~~ACN22617.1~~~aminoglycoside unknown +>ARGMiner~~~aadA6~~~ACN22617.1~~~aminoglycoside~~~unknown MRNTVPAEISVQLSQALNVIEHHLGSTLLAMHLYGSALDGGLKPYSDIDLLVTVTARLDESVRQALFVDLLGVSVFPGQSRVLRALEVTIVVHSDIVPWRYPARRELQFGEWQRKDILAGIFEPATTDVDLAILLTKARQHSLALAGSAAEDFFNPVPESDLFKALADTLKLWNSQPDWIGDERNVVLTLSRIWYSAATGKIAPKDVAANWVMERLPVQHQPVLLEARQAYLGQGEDCLASLTDQLEAFVHFVKHEATKLLGATPMMSNNSFKPTPLRGAA ->ARGMiner~~~emrB~~~WP_058115394.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_058115394.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGSGAH ->ARGMiner~~~mexH~~~WP_058184482.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058184482.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKNAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtM~~~WP_042101048.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_042101048.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHTATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSSSLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~macA~~~WP_000746475.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746475.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGELQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~hmrM~~~WP_023898859.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_023898859.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVIFAQIAQTSMGVVDTIMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRDRIAHQVQQGFVLAGLVSVLIMIVLWNAGHIIRAMHNIDPVLADTAVNYLRALLWGVPGYLFFQVMRNQCEGLAKTTPGMAMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFFCMRLWVKRARSMRDIRLLPGSRRFDWPVIRRLAQIGMPVALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSMSAAVTIRVGFRLGQGSTLEAQTSARTGIVVGICLAVGTALFTVVFREPIALLYNDNPEVVTLASHLMLLAAIYQISDSIQVIGSGVLRGYKDTRSIFFITFIAYWVLGLPSGYILGLTDWVVEPMGPAGFWFGFILGLTSAAIMMMWRMRYLQRLPSETILARAAR ->ARGMiner~~~FosB~~~WP_007409139.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_007409139.1~~~fosfomycin~~~unknown MLNEVGKINIKGINHLLFSVSNLEKSIEFYEKVFHAQLLVKGQKTAYFDLNGLWLALNLEADIPRNEIHKSYTHMAFTIDPKDFDAIHQRLKNLNVNILNGRPRDKQDQKSIYFTDPDGHKFEFHTGTLQDRLSYYKKDKPHMKFYI ->ARGMiner~~~acrE~~~WP_042200147.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_042200147.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIEHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~nalD~~~WP_058150234.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_058150234.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRTKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARNECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~mdtN~~~WP_053263379.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_053263379.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSVNATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALIKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~emrA~~~WP_049113239.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_049113239.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLMLTLLFIIVAVAYGIYWFLVLRHFEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAQTQLAASVRQTRQQMINSKQLQASIEVKKTALSQAQTDLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTKIVSPISGYVSRRSVQPGAQISSTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRGGEMLASQVRSSPVYESNAREIGLEPVNKLINDIIQANAG ->ARGMiner~~~emrA~~~WP_032281480.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_032281480.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRLPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~acrB~~~WP_060442904.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_060442904.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVIAIIVMLAGVLAIMKLPIAQYPTIAPPAVKISATYPGADAKTVQDTVTQIIEQNMNGIDNLMYMSSTSDSSGSVTITLTFDSGTDPDIAQVQVQNKLSLATPLLPQEVQQQGLKVEKSSSSFLMVAGFVSDDPNMTQDDIADYVASNIKDPISRSSGVGEVQLFGAQYAMRIWLDPNKLNNYQLTTTDVTSAITEQNNQITAGQLGGLPPVPGQQLNASIIAQTRLTSPEEFGKILLKVNTDGSQVRLRDVAHIERGAENYTVTARYNGKPAAGLGIKLATGANALNTAKGVKDELAKMAPFFPQGMKVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMVLSVLVALILTPALCATMLKPIPKGDHGVKTGFFGWFNRMFEKSTHHYTDSVGNILRSTGRYLIIYLLIVVGMGLLFLRLPSSFLPDEDQGMLLTMVQLPAGATESRTNKVLEEVSDYFLSKEKDNVVSVFTVSGFGYNGNGQNNGLAFISLKDWGERPGAVNKVEAIAGRAMGAFSQIKEGLVFPFNLPAIIELGTATGFDFELIDQGGLGHEKLTEARNQLLGMVAQHPDVLVGVRPNGLEDTPQFKLIVDQEKAKALGVSITTINSTLSTALGGSYVNDFIDRGRVKKVYVQAEAPFRMLPEDINKWYVRGTSGQMVPFSAFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMNLMEQLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISILVVFLCLAALYESWSVPFSVMLVLPLGVIGALLAATMRGMNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSKKNEDLEHSHPVEHH ->ARGMiner~~~mdtO~~~WP_032170292.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_032170292.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQIDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~hmrM~~~WP_048297648.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_048297648.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFIEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRERIAPQVRQGFWLAGLVSVLIMIVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARSMRDIRCAEGFSGPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMRKQIALLYNDNPEVVLLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDVIVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSTILQRAAR ->ARGMiner~~~lsaA~~~WP_033625468.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_033625468.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGILFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPLSFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~mdtP~~~WP_000610619.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610619.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSVWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRNVIDYAVKAHQSKVAHSLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~FosB~~~WP_049113509.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_049113509.1~~~fosfomycin~~~unknown MLNGINHLCFSVSNLENSIEFYEKVLEGELLVRGRKLAYFNICGVWVALNEELHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYKEDKPHMTFY ->ARGMiner~~~mdtM~~~WP_029393383.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_029393383.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFMWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~mdtF~~~WP_001721908.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001721908.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQGIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~Escherichia coli emrE~~~EHC39833.1~~~macrolide unknown +>ARGMiner~~~Escherichia coli emrE~~~EHC39833.1~~~macrolide~~~unknown MSSRPEKYRTKRCMTKEAVIFLFIAIVVEVIATISLKLSDSFTRLVPSLVTIIGYCIAFWCLTIPMRTIPAGIIYAIWSGVGIVLIGLIGWLFLGQKLDVPAIIGMLLIICGVIVINLFSKSVSH ->ARGMiner~~~tolC~~~WP_052935898.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_052935898.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMEQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~macB~~~WP_063809363.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063809363.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNSPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIVVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~adeG~~~YP_002235155~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~YP_002235155~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIATAAIVTLAVVGLGTFGAMRVSANAPEKAAAPLPEVDVATVVPQTVTDWQSYSGRLEAVEKVDVRPQVSGTIVAVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQTDWQRAQRLIGDNAIAKRDYDEKQNAAREANANLKAAEAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLQYINGARSGRKVPVELGLANETGYSRSGEIDSVDNRLDTSSGTIRVRARFDNADGTLVPGLYARVKVGGSAPHEALLVDDAAINTDQDKKFVFVVDQQGRVSYREVQQGMQHGNRRVIVSGLSAGDRVVVNGTQRVRPGEQVKPHMVPMTGGDAPSAPLASTAKPAAPAKADS ->ARGMiner~~~mdtH~~~WP_000092166.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000092166.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCAIFNVWLLPAWKLSTVRTPVREGMHRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~abeM~~~EGT91324.1~~~fluoroquinolone;acridinedye;triclosan unknown +>ARGMiner~~~abeM~~~EGT91324.1~~~fluoroquinolone;acridinedye;triclosan~~~unknown MLPILITQFAQAGFGLIDTIMAGHLSAADLAAIAVGVGLWIPVMLLFSGIMIATTPLVAEAKGARNTEQIPVIVRQSLWVAVILGVLAMLILQLMPFFLHVFGVPESLQPKASLFLHAIGLGMPAITMYAALRGYSEALGHPRPVTVISLLALVVLIPLNMIFMYGLGPIPALGSAGCGFATSILQWLMLITLAGYIYKASAYRNTSIFSRFDKINLTWVKRILQLGLPIGLAVFFEVSIFSTGALVLSPLGEVFIAAHQVAISVTSVLFMIPLSLAIALTIRVGTYYGEKNWASMYQVQKIGLSTAVFFALLTMSFIALGREQIVSVYTQDINVVPVAMYLLWFAMAYQLMDALQVSAAGCLRGMQDTQAPMWITLMAYWVIAFPIGLYLARYTDWGVAGVWLGLIIGLSIACVLLLSRLYLNTKRLSQT ->ARGMiner~~~tetM~~~CDO19407.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~CDO19407.1~~~tetracycline~~~unknown MEENHMKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSAEIVIKQKVELYPNMCVTNFTESEQWDTVIEGNDDLLEKYMSGKSLEALELEQEESIRFQNCSLFPVYHGSAKNNIGIDNLIEVITNKFYSSTHRGQSELCGKVFKIEYSEKRQRLAYIRLYSGVLHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRKKIENPHPLLQTTVEPSKPEQREMLLDALLEISDSDPLLRYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTIHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSQIDKVRYMFNKIT ->ARGMiner~~~novA~~~WP_030776974.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030776974.1~~~aminocoumarin~~~unknown MRHDEPTWTPPPQAPGQEPPRQMRRILRLFRPYRGRLAIVGLLVCAASLVSVATPFLLKEILDTAIPQGRTGLLSLLALGMILSAVVTSVFGVLQTLISTSVGQRVMHDLRTAVYGRLQAMSLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKKIATQRQKQMAAMAATVTESLSVSGIVLGRTMGRADSLTQSFADESERLVDLEIRSNMAGRWRMAVISIVMAAMPAVIYWTAGIAFQAGGPSVSIGTLVAFVSLQQGLFRPTVSLLSTGVQIQTSLALFQRIFEYLDLPVDISEPAEPVRLDTIRGEVRFEDVEFRYDEKSGPTLQGIDIAIPAGGSLAVVGPTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVSDNLRFAKPDATDEELVAAARAAQIHDHIASLPDGYDTVVGERGHRFSGGEKQRLAIARTVLRDPPVLILDEATSALDTRTERAVQEAIDALSADRTTLTIAHRLSTVRGADQIVVLDAGRAVERGTHEELLARDGRYAALVRRDAQLEPTT ->ARGMiner~~~macB~~~WP_006809137.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_006809137.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRCEHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQIWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~norA~~~WP_064129610.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_064129610.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADVSPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSVVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPAILTLVLAFGLSAFETLYSLYTSYKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLIYSVIVLVLLVIADGYWTIMVISFVVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVIIVLIEKQHRAKLKQQDL ->ARGMiner~~~tet(C)~~~WP_032153701.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_032153701.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATTPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWRNSSNSRCT ->ARGMiner~~~emrB~~~WP_023241411.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_023241411.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVIFSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPDMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macA~~~WP_053533384.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_053533384.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMLNAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKSNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~MexE~~~WP_058178276.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_058178276.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNSKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAATKDNATRNEPRG ->ARGMiner~~~mdtB~~~WP_001700900.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_001700900.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAVTLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSVALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVITRLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKVEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALMIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPRDAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~TEM-1~~~ANG24407.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG24407.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSVQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYVELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macA~~~WP_024792675.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_024792675.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNVERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~mdtH~~~WP_023315805.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023315805.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSEHRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRRMLEPGA ->ARGMiner~~~FosA2~~~WP_048241458.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_048241458.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLELHARWNTGAYLTCGELWVCLSYDEARRYVPPQESDYTHYAFTVAEEDFEPFSHRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYSGMVFASDEA ->ARGMiner~~~CRP~~~CP004022.1.gene2827.p01~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~CRP~~~CP004022.1.gene2827.p01~~~macrolide;fluoroquinolone;penam~~~unknown MAVLIKDEEGKEMILSYLNQGDFIGELGLFEEDQERSAWVRAKTACEVAEISYKKFRQLIQVNPDILMRLSAQMANRLQTTSEKVGNLAFLDVTGRIAQTLLNLAKQPDAMTHPDGMQIKITRQEIGQIVGCSRETVGRILKMLEDQNLISAHGKTIVVYGTR ->ARGMiner~~~vanHF~~~WP_036737307.1~~~glycopeptide unknown +>ARGMiner~~~vanHF~~~WP_036737307.1~~~glycopeptide~~~unknown MMKNIGITIYGCEQDEADVFKELSPRFGVIPAITSSAVSETNVMLAPGNQCISVGHKSEISESILLALKESGVKYISTRSIGCNHIDTTAAKRMGIAVGNVAYSPDSVADYTMMLMLMAVRNAKSIVRSVEKHDFRLDRVRGKVLRDMTVGVVGTGHIGKAVIERLQGFGCHVLAYGHNKEAAANDVSLNELLQKSDILTIHVPLSADTYHMIGREQIKAMKQGAFLINTARGGLIDTDMLVKALENGKLGGAALDVLEGEEGLFYFDCTQKPIDNQFLLKLQRMPNVIITPHTAYYTEQALRDTVENTIKNCLEFERRETLV ->ARGMiner~~~Bacillus subtilis mprF~~~WP_061390634.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_061390634.1~~~peptide~~~unknown MNQEVKNKVFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTLAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~SRT-2~~~WP_060440035.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_060440035.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAHAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFKDPASHYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTNNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLDQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQQVKVAQPWRDALAATHVGYYKAGAFTQDLMWENYPYPVKLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIIQALEKR ->ARGMiner~~~macB~~~WP_001607116.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001607116.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKENATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~Sed1 beta-lactamase~~~WP_043000431.1~~~cephalosporin;penam unknown +>ARGMiner~~~Sed1 beta-lactamase~~~WP_043000431.1~~~cephalosporin;penam~~~unknown MFKKRGHQTVFIAAVLAFFTASSPLLARTQGDPAQVQEKLAALEKQSGGRLGVALINTADRSQILYRGDERFAMCSTSKTMVAAAVLKQSETQHDILQQKMVIKKADLTNWNPVTEKYVDKEMTLAELSAATLQYSDNTAMNKLLEHLGGTSNVTAFARSIGDTTFRLDRKEPELNTAIPGDERDTTSPLAMAKSLHKLTLGDALAGAQRAQLVEWLKGNTTGGQSIRAGLPEGWVVGDKTGGGDYGTTNDIAVIWPEDRAPLILVTYFTQPQQDAKGRKDILAAAAKIVTEGL ->ARGMiner~~~tet(K)~~~WP_049887103.1~~~tetracycline unknown +>ARGMiner~~~tet(K)~~~WP_049887103.1~~~tetracycline~~~unknown MLSFFSVLNEMVLNVSLPDIANHFNTTPGITNWVNTAYMLTFSIGTAVYGKLSDYINIKKLLIIGISLSCLGSLIAFIGHNHFFILIFGRLVQGVGSAAFPSLIMVVVARNITRKKQGKAFGFIGSIVALGEGLGPSIGGIIAHYIHWSYLLILPMITIVTIPFLIKVMVPGKSTKNTLDIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFMLGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVATIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVASGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGVYSNILVAMAILIILCCLLTIIVFKRSKKQFE ->ARGMiner~~~arnA~~~WP_021554162.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021554162.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRKTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~Escherichia coli acrA~~~KMT76179.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~KMT76179.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGSLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGDKWLVTDGLKAGDRVVVSGLQKSTSWRTG ->ARGMiner~~~smeR~~~NC_011586.7046327.p01~~~aminoglycoside;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~smeR~~~NC_011586.7046327.p01~~~aminoglycoside;cephalosporin;cephamycin;penam~~~unknown MKHIMLVEDEVELAHLVRDYLEAAGFEVSMFHDGQDAYTNFQQRKPNLMILDLMVPRMDGLTICRKVREQSDLPIIMVTARTEEIDRVLGLNMGADDYVCKPFSPKELVARVQAVLRRLERKAEPEQNDSFRIDKAQQRIWYQQKSLSLTPTEFRLLELFLEHVGQVYSRAQLLDHINPDSFDVADRVIDSHIKNLRRKITEVAETGNRHEWIQAVYGVGYRFEYPEE ->ARGMiner~~~Escherichia coli acrA~~~WP_048668149.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_048668149.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGLTPLAVVLMLSGGLALTGCDDKQDQQGGQQMPEVGVVTLKTEPLQITTELPGRTVAYRIAEVRPQVSGIILKRNFVEGSDIEAGVSLYQIDPATYQATYDSAKGDLAKAQAAANIAELTVKRYQKLLGTQYISKQEYDQALADAQQATAAVVAAKAAVETARINLAYTKVTSPISGRIGKSSVTEGALVQNGQASALATVQQLDPIYVDVTQSSNDFLRLKQELANGSLKQENGKAKVDLVTSDGIKFPQSGTLEFSDVTVDQSTGSITLRAIFPNPDHTLLPGMFVRARLQEGTKPTALLVPQQGVTRTPRGDATVLVVGADNKVETRQIVASQAIGDKWLVTDGLKAGDRVVVSGLQKVRPGAQVKVQEITADNKQQAASGDQPAQPRS ->ARGMiner~~~vanC~~~EHG28002.1~~~glycopeptide unknown +>ARGMiner~~~vanC~~~EHG28002.1~~~glycopeptide~~~unknown MMKKIAVLFGGNSPEYSVSLASAASVIQAIDPLKYEVMTIGIAPTMDWYWYQGNLANVRNDTWLEDHKNCHQLTFSSQGFILGEKRIVPDVLFPVLHGKYGEDGCIQGLLELMNLPYVGCHVAASALCMNKWLLHQLADTMGIASAPTLLLSRYENDPATIDRFIQDHGFPIFIKPNEAGSSKGITKVTDKTALQSALTTAFAYGSTVLIQKAIAGIEIGCGILGNEQLTIGACDAISLVDGFFDFEEKYQLISATITVPAPLPLALESQIKEQAQLLYRNLGLTGLARIDFFVTNQGAIYLNEINTMPGFTGHSRYPAMMAEVGLSYEILVEQLIALAEEDKR ->ARGMiner~~~tolC~~~WP_003828407.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_003828407.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGVNSNATSASLQLTQSIFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRLAQDGHLPTLDLTASTGVSDTSYSGSKTHGGATGNQYDDSNMGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPVSTTPDTIAPENAQQDAAADGYTSNSATPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~lsaA~~~WP_033626720.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_033626720.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFTPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKL ->ARGMiner~~~sdiA~~~WP_032301906.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032301906.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQHLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFNEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~mexH~~~WP_058132783.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_058132783.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtH~~~WP_052974235.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_052974235.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRCRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSALLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDQGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRATRRLLERDA ->ARGMiner~~~mdtH~~~WP_042042456.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_042042456.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSADAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~pmrA~~~EET94988.1~~~fluoroquinolone unknown +>ARGMiner~~~pmrA~~~EET94988.1~~~fluoroquinolone~~~unknown MDKSMKIKWKQNLLVAWIGCFFTGASISLVMPFIPVYVEQLGTPKSQVELFSGLAISVTAFAAAIVAPIWGNLADRKGRKIMMIRAAAGMTITMGALAFVPNVYWLLIMRFMNGILSGYIPNATAMIASQAPKEKNGWALGTLSTGAIAGTLIAPSIGGALAQWFGMENVFIITGVILFITTLLTIFLVKEDFQPVEKKDLLTTKEIFSKMDHVSVLIGLFVTTLILQLGITSISPILTLYIRSLSGDTENVLFVSGLIVSIAGVSAIISSPTLGKIGDRIGNQKVLLGGLILSFICYIPMAFVQTPFQLGVLRFLLGFSTGALMPSINTLISKITPTEGVSRVYSYNQMCSNFGQVLGPMVGSTVAHGFGYSSVFLVTACFVLGNIGLSFFNFRKVLNKKL ->ARGMiner~~~mdtH~~~WP_016152619.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_016152619.1~~~fluoroquinolone~~~unknown MSQVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEKRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVKIPVREGMSHVMHDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMMPIGLVGNLQQLFTLICTFYIGSIIAEPARETLSASLANARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSLKRPTRGMLEPNA ->ARGMiner~~~mgrA~~~WP_021339407.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_021339407.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEPLNLKEQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWAESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEIDQREVFIHLTDKSEAIRPELDTACQDVAVASSLSSDESKELNRLLSKVITAFTEEKAK ->ARGMiner~~~macA~~~WP_002787335.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002787335.1~~~macrolide~~~unknown MKNRVVLIVVILALFGVGAYFIFFNDNEKITYLTQKVKKIDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGSHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIASKQYQREQKLYAAKASSLENLETQKNNFYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDQMEVRMEIAEADVGKIKIGTELDFSLLSDPQKTYQASIASIDPADTQISDSSTSSGSSSSSSSSSSSNNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKDVIAVPTYAIKNDAKGYYVEILQDQKAVKKYVKLGIKDSVNTQILEGLSEGELLVVSSSGDNAAPKLRLRF ->ARGMiner~~~MexB~~~WP_064586369.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_064586369.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKTAIAAQNVQVSSGQLGGLPAVQGQQLNATIIGKTRLQTAEQFKEILLKVNKDGSQVRLKDVADVGLGGENYAISAQFNGSPASGLAVKLANGANALDTAKALRKTIDDLKPFFPQGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVMVALIFTPALCATMLKAIPHGEHGTPKKGFFGWFNRNFDRGVRGYERGVGNILQRKAPYLLAYLLIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAQRTQVVVDEMREFLLRPTKDGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLKPWHERNADNSVFNLAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMAARNQFLGMAAQSKVLTQVRPNGLNDEPQFQLEIDDEKASALGITISDINNTLSIALGSSYVNDFIDRGRVKKVYIQGQPDSRMSPEDLKKWYVRNASGTMVPFSAFAKGEWIYGSPKLARYNGVEAVEILGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSSLGQRKVADQDETTETPKEAGQ ->ARGMiner~~~sdiA~~~WP_052961663.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_052961663.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVIQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRLEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~sdiA~~~WP_001154269.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154269.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALVRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~L1 beta-lactamase~~~WP_049455148.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049455148.1~~~cephalosporin~~~unknown MRCSLLAFALTAALPVAHASAAEAPLPQLRAYTVDTSWLQPMAPLQIADQTWQIGTENLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKVRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVVANAESAVLLARGGSNDLHFGDGITYPPTSADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFTTVRGLPCDLLLTPHPSASNWNYAAGSKAGAKALTCSAYADAAEKTFDAQLAKESAAHR ->ARGMiner~~~mdtP~~~WP_000610565.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610565.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~sul1~~~WP_047723567.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_047723567.1~~~sulfonamide;sulfone~~~unknown MVTVFGILNLTEDSFFDESRRLDPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGTATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~macA~~~WP_045403606.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045403606.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPAPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFNYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSETLPGAAK ->ARGMiner~~~FosB~~~WP_000938989.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000938989.1~~~fosfomycin~~~unknown MLQGINHICFSVSNLEKSIEFYQKILQAKLLVKGRKLVYFDLNGLWIALNVEEDIPRNEIKQSYTHMAFTVTNEALDHLKEVLIQNDVNILPGRERDERDQRSLYFTDPDGHKFEFHTGTLQNRLEYYKEDKKHMTFYI ->ARGMiner~~~TEM-1~~~ANG24468.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG24468.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFRVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLTKHW ->ARGMiner~~~sdiA~~~WP_041909389.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_041909389.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCSLRFQELTCAAEVYHELQRQTQALEFDYYSLCVRHPVPFTRPKIAVHATYPQQWLAQYQSENYFTIDPVLKPENFIQGHLPWTDELFADAQELWHRAQDFGLRSGITQCLMLPNHALGFLSVSRTRAQEGPFSGEEIELRLQMLVQMALTALLRFEDKMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~acrE~~~WP_000160340.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_000160340.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTKGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDRWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~mgrA~~~WP_002472550.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_002472550.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQLNLKEQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWSESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEIDQREVFIHLTEKSEQIRPELDSACNEVAEATSLTEDEFRELNRLLGKIITAFTEKDK ->ARGMiner~~~macB~~~WP_042100724.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_042100724.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIVFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_045330664.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045330664.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSLRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_032174292.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032174292.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSVLGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~msrA~~~WP_031865761.1~~~macrolide;streptogramin unknown +>ARGMiner~~~msrA~~~WP_031865761.1~~~macrolide;streptogramin~~~unknown MEQYTIKFNQINHKLTDLRSLNIGHLYAYQFEKIALIGGNGTGKTTLLNMIAQKTKPESGTVETVGEIQYFEQLNMDVENDFNTLDGSLMSELHIPMHTTDSMSGGEKAKYKLANVISNYSPILLLDEPTNHLDKIGKDYLKNILKYYYGTLIIVSHDRALIDQIADTIWDIQEDGTIRVFKGNYTQYQNQYEQEQLEQQRQYEQYISEKQRLSQASKAKRNQAQQMAQASSKQKNKSIAPDRLSASKQKGTVEKAAQKQAKHIEKRMEHLEEVEKSQSYHEFNFPQNKIYDIHNNYPIIAQNLTLVKGSQKLLTQVRFQIPYGKNIALVGANGVGKTTLLEAIYHQIEGIDCSPKVQMAYYRQLAYEDMRDVSLLQYLMDETDSSESFSRAILNNLGLNEALDRSCNVLSGGERTKLSLAVLFSTKANMLILDEPTNFLDIKTLEALEMFMNKYPGIILFTSHDTRFVKHVSDKKWELTGQSLHDIT ->ARGMiner~~~sdiA~~~WP_006811138.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_006811138.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDIDFFTWRRECFLRFQEMTCAEEVYQELQRQTQALEFDYYALCVRHPVPFTRPRTSVHSSYPQQWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFADAQQLWDGARDHGLRKGITQCLMLPNHALGFLSVSRNSLQANAISSEEVELRLQMLVQMALTTLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKIQIACYAAATGLI ->ARGMiner~~~tolC~~~WP_053064436.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_053064436.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKQAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTNKPSAVNALLKEAESRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNASSGVSNNRYSGPKSISQDADVGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKSIPTSPVSVAPENPQQDASADGYSNTAAAKPASARSTSGSNPFRQ ->ARGMiner~~~mdtP~~~WP_024225484.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_024225484.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRNVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQAQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~emrA~~~WP_004246087.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_004246087.1~~~fluoroquinolone~~~unknown MSVNEEKNPPQAPIRNKKRTRRNVLLLLTFIFILAGLAYTAYWFMVLRHHETTDNAYVTGNQIMVMPQISGSVTTVYVDNTDYVKAGEPLVQLDDSDEILALNKAKTALANSVRQMHQQIINGRQLKANIVLRETELAKLQNDLRRREVLGERNVIGKEELQHAREAVATAKAALDVAKEQYNANQAIILTTPIAQQPSVLQAATEVRNAWLALQRTKILSPAEGYVSRRSVQVGAQVAPGKPLMAVVPVTGMWIDANFKETQLANMRIGQPAKITTDFYGKKVIYHGRVQGLDMGTGSAFSLLPAQNASGNWIKVVQRLPVRISLDEKEVAEKPLRIGLSTEVTVDTVNLDGKVLSQSERQAPAYHTDALTIDMSEINSLINEIIEQNAG ->ARGMiner~~~tetX~~~AMP52928.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP52928.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLSFVGLATRIFPLDKSWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~macB~~~WP_042024023.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_042024023.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAGEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~blaF~~~WP_044517721.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_044517721.1~~~penam~~~unknown MAGLSRRNVLIGSLVAAAAVGAGVGNAAPALAAPVDDQIAELERRDNVLIGLYAANLDSGRILTHRPDEMFAMCSTFKGYAAARVLQMVGRRQISLDNRMFVDPEAIVPNSPVTETHAGAEMTLAELCQAALQRSDNTAGNLLLKTIDGPAGITAFARSIGDERTRLDRWEVELNSAIPGDPRDTSTPAALAVGYRAVLAGDALSPPQRRQLEDWMRANQTSSVRAGLPEGWTTADKTGSGDYGSTNDVGIAYGPNGQRLLLAVMSRSQADDPKADNLRPLIGELTALVLPSLL ->ARGMiner~~~FosB3~~~WP_029378876.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_029378876.1~~~fosfomycin~~~unknown MIQSINHITYSVSDIKTSIAFYKDILKAKILVESDKTAYFTVGGLWLALNEEKDIPRNEIQYSYTHMAFTINENEFDEWYQWLKVNNVNILEGRSRDLRDKQSIYFNDPDGYKLELHTGTLQDRLDYYKEEKPHMKFYI ->ARGMiner~~~cmeB~~~WP_002868680.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002868680.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNDDGSFLRLKDIADVEIGSQQYSSQGRLNGNDAVPIIINLQSGANALHTAELVQAKIQELSKNFPKGLTYNIPYDTTKFVIESIKEVIKTFIEALVLVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGDPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLNKAVPSSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVTAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDTLKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLVGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~bcr-1~~~WP_000085975.1~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~WP_000085975.1~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVIGHLIMLKFPWQSLFWTMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~L1 beta-lactamase~~~WP_064238644.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_064238644.1~~~cephalosporin~~~unknown MRLCLTPLALAATLVFDVAAADAPLPQLRAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTTEGAVLLDGGMPQMAGHLLDNMKARGVAPQDLRLILLSHAHADHAGPVAELKRRTGATVVANAETAVLLARGGSNDLHFGDDITYPPASADRIIMDGERVTVGGMAFTAHFMPGHTPGSTAWTWTDSRDGKPVRIAYADSLSAPGYQLQHNARYPRLVEDYRRSFTTVRGLPCDLLLTPHPGASRWNYAAGAEAGANVLTCKAYADAAEKAFDAQLAKEAAGAR ->ARGMiner~~~mdtM~~~WP_032293782.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032293782.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYVPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNKLPKGTVSASLNMVILMVMSVSVEIGRWMWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEEQ ->ARGMiner~~~Bacillus subtilis mprF~~~NC_002745.1124031.p01~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~NC_002745.1124031.p01~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~TEM-207~~~ANG09494.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-207~~~ANG09494.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGGRGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQVAEIGASLIKHW ->ARGMiner~~~lsaA~~~WP_048737885.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_048737885.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDPLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLETLILSVKPAMLVIEHDAHFMKKITDKKIALKS ->ARGMiner~~~tet(A)~~~AHW74384.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tet(A)~~~AHW74384.1~~~glycylcycline;tetracycline~~~unknown MEKSEMNSSTKIALVITLLDAMGIGLIMPVLPTLLREFIASEDIANHFGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIVGPIIGGFAGEISPHSPFFIAALLNIVTFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLTNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~MexF~~~WP_015476766.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_015476766.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLIILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKMTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAILNIKDELARLDGVGDVQLFGMGDYSLRVWLDPNKVASRNLTASDVVQAIREQNRQVAAGSLGAPPAPSATSFQLSINTQGRLVTEEEFENIIIRSGPDGEITRLKDIARIELGSNQYALRSLLNNQPAVALPIFQRPGSNAIAISNEVREKMAELKKNFPQGVDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVVLFLQTWRASIIPLAAVPVSLIGTFAVMHFFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLTPIEATKRAMKEVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALSAVLLKGHHEPKDRFSVLLDKLLGSWLFRPFNRFFDRASHGYVGTVTRVLRGSSIALILYAGLIGLTYLGFSSTPTGFVPQQDKQYLVAFAQLPDAATLDRTEDVIKRMSEIAGKHPGIESTVAFPGLSINGFTNSPNSGIVFTTLKDFGQRKGPGMSAGEIAAELNQQFTDIQDAYIAIFPPPPVQGLGTIGGFRLQVEDRGNLGYEELYSQTQNILAKARELPELDPMSLFTSYQVNVPQVDAAIDREKAKTHGVAISDIFDTLQVYLGSLYTNDFNRFGRTYQVNVQADQRFRLEPEQIGQLKVRNNLGEMVPLATFIKISDTSGPDRVMHYNGFITAEINGAAAPGYSSGQAEAAIERLLKQELPNGMTFEWTDLTYQQILAGNSAIYVFPLCVLLAFLVLAALYESWGLPLAVILIVPMTLLSAIAGVILSGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQDEGMDRISAVLEACRLRLRPILMTSIAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRRFMEAREAKKQARAALSHTTHNEAHQA ->ARGMiner~~~emrA~~~WP_049103497.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_049103497.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIVAVAYGIYWFLVLRHFEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAQTQLAASVRQTRQQMINSKQLQASIDVKKTALSQAQTDLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTKLEQQPAVLQAATEVRNAWLALQRTKIVSPISGYVSRRSVQPGAQISSTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRGGEMLASQVRNSPVYESNAREIGLEPVNKLINDIIQANAG ->ARGMiner~~~tolC~~~WP_047352641.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047352641.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTSQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMKAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPESVAPENPDQVAAVDNFNANGSTPAAQPAAARSTAPASSGSNPFRH ->ARGMiner~~~norA~~~WP_001041285.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041285.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMTAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~TEM-1~~~ANG37114.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG37114.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTRSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macB~~~WP_058914924.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_058914924.1~~~macrolide~~~unknown MTPLLEVKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDYFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtM~~~WP_001731757.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001731757.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFPRHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~ErmX~~~CAO72215.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmX~~~CAO72215.1~~~macrolide;lincosamide;streptogramin~~~unknown MSAYGHGRHEYGQNFLTNHKIINSIIDLVKQTSGPIIEIGPGSGALTHPMAHLGRAITAVEVNAKLAAKITQETSSAAVEVVHDDFLNFRLPATPCVIVGNIPFHLTTAILRKLLHAPAWTDAVLLMQWEVARRRAGVGASTMMTAQWSPWFTFHLGSRVPRTAFRPQPNVDGGILVIRRVGDPKIPIEQRKAFQAMVHTVFTARGRGIGEILRRAGLFSSRSETQSWLRSRGIDPATLPPRLHTNDWIDLFQVTGSSLPHHRPISPSGSSQRPPQRKNRSRRR ->ARGMiner~~~acrB~~~WP_033633987.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_033633987.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVIAIIVMLAGVLAIMKLPIAQYPTIAPPAVSISATYPGADAKTVQDTVTQIIEQNMNGIDNLMYMSSTSDSSGSVTITLTFESGTDPDIAQVQVQNKLSLATPLLPQEVQQQGLKVEKSSSSFLMVAGFVSDDPNMTQDDIADYVASNIKDPISRSSGVGEVQLFGAQYAMRIWLDPNKLNNYQLTTTDVTSAITEQNNQIAAGQLGGLPPVPGQQLNASIIAQTRLTSPEEFGKILLKVNTDGSQVRLRDVAHIERGAESYAVTARYNGKPAAGLGIKLATGANALNTAKGVKDELAKMEPFFPQGMKVVYPYDTTPFVKISINEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATLLKPIPKGDHGVKTGFFGWFNRMFEKSTHHYTDSVGNILRSTGRYLIIYLLIVVGMGLLFLRLPSSFLPDEDQGILLTMVQLPAGATESRTNKVLEEVSDYFLNKEKDNVVSVFTVAGFGFNGNGQNNGLAFVSLKDWGERPGAGNKVEAIAGRAMGAFSQIKEGLVFPFNLPAIIELGTATGFDFELIDQGGLGHEKLTEARNQLLGMVAQHPDVLVGVRPNGLEDTPQFKLIVDQEKAKALGVSITTINSTLSTALGGSYVNDFIDRGRVKKVYVQAEAPFRMLPEDINKWYVRGTSGQMVPFSAFSSAKWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMNLMEQLASKLPSGIGYDWTGMSYQERLSGNQAPALYAISILVVFLCLAALYESWSVPFSVMLVLPLGVIGALLAATMRGMNNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSKKNEDLEHSHPVEHH ->ARGMiner~~~lmrB~~~WP_010787543.1~~~lincosamide unknown +>ARGMiner~~~lmrB~~~WP_010787543.1~~~lincosamide~~~unknown METAAPSKQYKVMPIMISLLLAGFIGMFSETALNIALTDLMKELNITASTVQWLTTGYLLVLGILVPVSGLLLQWFTTRQLFIVSLAFSILGTLIAAISPSFSFLLIARIVQALGTGLLLPLMFNTILVIFPPHKRGAAMGTIGLVIMFAPAIGPTFSGLVLEHLNWHWIFWISLPFLVLALIFGIAYMQNVSEITKPKIDVLSIILSTIGFGGVVFGFSNAGEGSGGWSSPIVISSLAVGVIALILFSIRQLTMKQPMMNLRAFRYPMFVLGVLMVFICMMVILSSMLLLPMFLQGGMALTAFTAGLVMLPGGILNGFMSPVTGRLFDKYGPKWLVIPGFVIVTAVLWFFSNVTTASTAAVIIVLHTCLMIGISMIMMPAQTNGLNQLPPKFYPDGTAIMNTLQQMAGAIGTAVAVSIMAAGQQDYMSGVKNPADPSIIPKALTAGVQHAFIFAMIVAILGLVCAFFIKRVKVDQ ->ARGMiner~~~BLA1~~~WP_048530006.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_048530006.1~~~penam~~~unknown MILKNKRMLKIGICVGILGLSLTSLEAFTGGPLQVEAKEKKGQIKHKNQATHKEFSQLEKKYDARLGVYAFDTGTNETISYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITFTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFNKIGGPKGYEKALRQMGDRVIMSDRFETELNEAIPGDNRDTSTAKAIATNLKAFTVGNALPNHKRNILTEWMKGNATGDKLIRAGVPTDWIVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEAAEVIVNALR ->ARGMiner~~~MexF~~~gi:1044868049:dbj:BAV27457.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~gi:1044868049:dbj:BAV27457.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVAAPLEQAITGVENMLYMSSQSTADGKITLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAILNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVTAIREQNRQVAAGALGAPPAPNAQSFQLSVNTQGRLVSEEEFENIIIRSGDNGEITRLKDIARVELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIEISNEVRAKMAELKKGFPEGMDFSIVYDPTIFVRGSIEAVVHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHLFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLNPFDATKKAMGEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSKVLDKVFGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLLYAGLMVLTFFGFSSTPTGFVPGQDKQYLVAFAQLPDASSLDRTEDVIKRMSDLALKQPGVESAVAFPGLSINGFTNSPNAGIVFVTLKPFDERKDPSMSAGAIAGALNGQYANIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYEELYKETMNIIAKSHNVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQIYLGSLYANDFNRFGRTYQVNVQAEQQFRLESDQIGQLKVRNNKGEMIPLATFIKVSDTSGPDRVMHYNGFITAEINGAAAPGYSSGQAEKAIEKLLKDELPNGMTYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVIASGGDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQLEGMNPLAAVLEACRLRLRPILMTSFAFIMGVVPLVFSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNFVERGEKRKAAKTLKLESH ->ARGMiner~~~mdtH~~~WP_023300854.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023300854.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWFSCILSGLGGTLFDPPRAALVVKLVRSHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSAGAALFIACAAFNAWYLPAWKLSTVKTPIREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEATISLTLLYPIARWSEKRFRLEHRLMAGLLVMTLAMLPIGMTSSLQQLFTLICLFYIGSIIAEPARETLGASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKAVGQPELPWLMLGAIGVITFLALWWQFSPKRSASGMLEPRT ->ARGMiner~~~vanD~~~WP_016295754.1~~~glycopeptide unknown +>ARGMiner~~~vanD~~~WP_016295754.1~~~glycopeptide~~~unknown MYKLKIAVLFGGCSEEHDVSVKSAMEVAANINKEKYQLFYIGITKSGAWKLCDKPCRDWENYAGYPAVISPDRRTHGLLIQKDGGYESQPVDVVLPMIHGKFGEDGTIQGLLELSGIPYVGCDIQSSVTCMDKSLAYMVVKNAGIEVPGFRVLQKGDSLEAETLSYPVFVKPARSGSSFGVNKVCKAEELQAAIEDARKYDSKILIEEAVTGSEVGCAILGNGNDLIAGEVDQIELKHGFFKIHQEAQPEKGSENAVIRVPTALPDEVREQIQETAKKIYRVLGCRGLSRIDLFLQEDGSIVLNEVNTMPGFTSYSRYPRMMTAAGFTLSEILDRLIGLSLRR ->ARGMiner~~~macB~~~WP_001748306.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001748306.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLAGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_061378400.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_061378400.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGATLFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~sdiA~~~SAD15775.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~SAD15775.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLNVLGYIHHNNDYLYQRLHSASMRDIDFFTWRRECVLRFQQMTCADEVYQELQRQTQALEFDYYALCVRHPVPFTRPRTSVHSSYPQQWMAQYQSENYFAIDPVLKPENFIQGHLPWTDELFTDAQQLWDGARDHGLRKGITQCLMMPNHALGFLSVSCTSLQAHSISSEEVELRLQMLVQMALTTLLRFEHETVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKIQIACYAAATGLI ->ARGMiner~~~FosB~~~WP_049663180.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_049663180.1~~~fosfomycin~~~unknown MTIQNINHLLFSVSNLEESIAFYEKVFDAKLLVKGRSTAYFDVNGLWLALNVEKDIPRNDIHQSYTHIAFSIHEDDFDDMYEKLVHLNVNILDGRQRDVRDKKSIYFTDPDGHKFEFHTGTLQDRLDYYKKEKVHMEFFDV ->ARGMiner~~~CTX-M-12~~~AIC64374.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-12~~~AIC64374.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATAAVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPAGVTALARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDILASAAKIVTDGL ->ARGMiner~~~mtrE~~~EHP14818.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~EHP14818.1~~~macrolide;penam~~~unknown MPSERPRVFRRHQDFFMDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAVLNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREATYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGSVGTGSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYESAVQSAFQDVANALTAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYSAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~macA~~~WP_024145424.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_024145424.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMVSWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTGIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVIIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~tolC~~~WP_047046709.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047046709.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKNQNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTSKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASTGVSNNRYSGSKNISQDADVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPTSPDSVAPENPQQDAAADGYANTASAQPAAARTMKTSGSNPFSH ->ARGMiner~~~msbA~~~WP_029754048.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_029754048.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLMPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~FosB3~~~EHS79435.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~EHS79435.1~~~fosfomycin~~~unknown MKQMLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNNVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~macB~~~WP_021313340.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_021313340.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGQEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~vanYA~~~AKE81065.1~~~glycopeptide unknown +>ARGMiner~~~vanYA~~~AKE81065.1~~~glycopeptide~~~unknown MVPFKGKILEIFHTSNYIVKEETENEEVVFLLLLLFLIYLGYDYVNEALFSQEKVEFQNYDQNPKEHLENSGTSENTQEKTITEEQVYQGNLLLINSKYPVRQESVKSDIVNLSKHDELINGYGLLDSNIYMSKEIAQKFSEMVNDAVKGGVSHFIINSGYRDFDEQSVLYQEMGAEYALPAGYSEHNSGLSLDVGSSLTKMERAPEGKWIEENAWKYGFILRYPEDKTELTGIQYEPWHIRYVGLPHSAIMKEKNFVLEEYMDYLKEEKTISVSVNGEKYEIFYYPVTKNTTIHVPTNLRYEISGNNIDGVIVTVFPGSTHTNSRR ->ARGMiner~~~ykkC~~~WP_015383469.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkC~~~WP_015383469.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MKWGLVVLAAVFEVVWVIGLKHADSALTWSGTAIGIIFSFYLLIKATHSLPVGTVYAVFTGLGTAGTVLSEIVLFHEPVGWPKLLLIGVLLIGVIGLKLVTQDETEEKGGEA ->ARGMiner~~~mdtM~~~WP_001670078.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001670078.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFPLAGLLNRVRQHQAAELAEER ->ARGMiner~~~emrB~~~WP_001187306.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001187306.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAIGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTRQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~MexF~~~WP_044345422.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_044345422.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGNVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCAVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDSSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMIYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARAQRLQNLPAEMH ->ARGMiner~~~mdtM~~~WP_032292597.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032292597.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIRLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAIMGFISFVGLLLAMPETVKRGAVSFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDADGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIIPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGLIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~BcI~~~WP_033665770.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_033665770.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGMCVGILGLSVTSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRHNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFHKIGGPKGYEKALRQIGDRVTMSDRFETELNEAIPGDIRDTSTAKAIASNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVLVKALR ->ARGMiner~~~blaF~~~WP_064875386.1~~~penam unknown +>ARGMiner~~~blaF~~~WP_064875386.1~~~penam~~~unknown MTGLSRRNVLIGSLVAVAAVGAGVGNTAPAFAAPIDDQIAELERRDNALIGLYATNLDSGRTITHRPDEMFAMCSTFKGYAAARVLQMVGRKQISLDNRVFVDPDAIVEYSPVTEPRAGGEMTLGELCRAALQQSDNTAGNLLLKTIDGPPGITAFARSIGDQRTRLDRWETELNSAIPGDPRDTSTPAALAGGYREILAGDALSPPQRRQLQDWMRANETSSVRAGLPEGWTTADKTGSGDFGSTNDVGIAYGPDGQQLLLAVMTRSQADDPKADNLRPLIGELAALMLPSLL ->ARGMiner~~~acrB~~~WP_023310600.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_023310600.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFQSGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTNGTMTQEDISDYVGANMKDAISRTSGVGDVQLFGSQYAMRIWMDPNKLNNFQLTPVDVISAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSADEFSKILLKVNQDGSQVRLRDVAKVELGGENYDIIAKYNGKPASGLGIKLATGANALDTATAIRAELKRMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLIEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAILSIFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIQKGGHGEHKGFFGWFNRMFDKSTHHYTDSVGNILRSTGRYLLLYIIIVVGMAYLFVRLPSSFLPDEDQGVFLSMAQLPAGASQERTQKVLDEMTDYYLTKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWSERPGKENKVEAITGRAMGTFSQIKDAMVFAFNLPAIVELGTATGFDFQLIDQGGLGHEKLTQARNQLFGEVAKHPDLLVGVRPNGLEDTPQYKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAQYRMLPNDINSWFVRGSNGQMVPFSAFSTSRWEYGSPRLERYNGLPSMEILGQAAPGRSTGEAMNLMEELASKLPAGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMEKEGKGLIEATLEAVRMRLRPILMTSLAFILGVLPLVISSGAGSGAQNAVGTGVMGGMITATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHSVEPH ->ARGMiner~~~L1 beta-lactamase~~~EJP80785.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~EJP80785.1~~~cephalosporin~~~unknown MKEAHASFWASFKRTWIMRFTLLAFALAVALPAAHASAAEAPLPQLRAYTVDASWLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASAEALTCNAYADAAEKKFDAQLARETAGTR ->ARGMiner~~~tolC~~~WP_001653392.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001653392.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYPYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~acrB~~~WP_013024911.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_013024911.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MAKFFIDRPIFAWVLAIIVMLAGALSILKLPIEQYPNVAPPAIEIQANYPGADAKTLQDSVTQVIEQNMNGIDGLMYMSSSSDSSGALTLTISFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGIQVKKSSSSFLMVAGFISDDGSMNQNDISDYVASNIKDPISRTPGVGDTQVFGAQYAMRIWMDPSKLNNYQLTPVDVINALKTQNAQVAAGQLGGTPPVPGQQLNASIIAQTRLTSTKEFGNILLKVNADGSQVRLRDVAQIELGGENYEIIARYNGKPASGIGIKLATGANALNTAEAVKAELAKLQPTFPAGMKVVYPYDTTPFVKISIFEVVKTLMEAILLVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAIISAFGYSINTLTMFGMVLAIGLLVDDAIVVVENVERVMVEEGLPPKEATKRSMEQIQGALVGIALVLSAVFIPMAFFGGSTGVIYRQFSITIVSAMALSVLVALILTPALCATMLKPVKKGDHGKTTGFFGWFNRLFDKSTHHYVDSVGHIVRGTGRYLLLYLLIVLGMAFLFLRLPSSFLPEEDQGLLLAQAQLPAGATQERTQKVLDQVSDYFLTKEKDSVKSVFTVAGFGFAGRGQNTGIAFVSLKPWDERTSSDMKVPAIQGRAMQALGQIKDGMVFAFNLPAIIELGNATGFDFELIDQANLGHDKLTQARNQLFGMIAQHPDTLVGVRPNGLEDTPQFKLDIDQEKAQALGVSLSDINTTLAASWGGSYVNDFIDRGRVKKVYVMGKADARMLPDDINKWFVRNSNGEMVPFSAFSSAHWQYGSPRLERYNGLPAMEILGQAGPGKSSGEAMNLMEELASKLPTGIGFDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVVGALLFTTLRGLSNDVYFVVGLLTTVGLSAKNAILIVEFAKDLMEKEGKGLVEATLEACRMRLRPILMTSLAFILGVLPLAISTGAGSGAQNAVGTGVMGGMVTATALAIFFVPVFFVVVRRRFGKKADIEKDHPADNHSH ->ARGMiner~~~macA~~~WP_001124207.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001124207.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~tetX~~~AMP50907.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP50907.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKIRSFVTDTQVEETGTFNIQADILQPEINCPGFFQLCNGNRLMAGHQGIFLFANPNNNGALYLGISFKTPDEWKNKIPLDFQDRNSVADFLLKRFSKWSEVYKQLIRSVSTFQCLPTRKFPLNNDWKSNRPLPITMIGDAAHLMSPFAGQGVNTGLLDALILSENLTNGEFTSIENAIENYEQQMFVYAKDTQDESTENETEMFSPNFSFQKLLNL ->ARGMiner~~~mepA~~~WP_000651041.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_000651041.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVALPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMSNKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGVVIIPVLFIMNTLFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~mdtO~~~WP_021578217.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021578217.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQVLNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLANDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAITEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDLLHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~acrE~~~WP_044862880.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_044862880.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDTIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~macB~~~WP_059219858.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059219858.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGVERKRRLARAQELLQRLGLEERVDYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMTILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPATENMNVVAGTESIVKMSSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYHQALKYDDLIAIQRQPWVASATPAVSQNLRLRYSNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNSRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRIMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFALQLFLPGWEIGFSPLALLLAFLCSTVTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~patB~~~WP_012681429.1~~~fluoroquinolone unknown +>ARGMiner~~~patB~~~WP_012681429.1~~~fluoroquinolone~~~unknown MSQFDEVIPRIGTNSEKWDGAEELFGRKNIIPMWVADMDFRAPQPVLDAFQRQIDHGIFGYSTKSAALVEAIIDWNKEQHQFEIDPSTLFFNGAVVPTISLAIRSLTNEGDAVLMVSPIYPPFFNVTKATERKVVMSPLIYENRQYRMDFNDLEKRMKEEKVKLFLLCNPQNPGGRCFTKEELVELAKLCEKYQIPIVSDEIHADLVMKNHKHVPMMVAAPFYQDQIITLMAATKTFNLAAIKASYYIITNKDYQAKFAAEQKYATTNGLNVFGIVGTEAAYRHGVPWLKELKEYIYSNYEYVKAALEKEVPEVGVTDLEATYLMWLDCRALPKDEKTIYADLIEAGVGVQMGSGFGHSGKGFVRLNIACPKETLEKGVKLLIQGLKK ->ARGMiner~~~emrA~~~WP_016531940.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_016531940.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKGDPLVTLDQTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATMISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAEHPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~emrB~~~WP_051420210.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_051420210.1~~~fluoroquinolone~~~unknown MTIALSLATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPVTGWLARRIGEVRLFLWSTGLFALTSWLCGISNSLEMLILFRVLQGLVAGPLIPLSQSLLLNNYPPAKRSMALALWSMTIVVAPICGPILGGYISDNYHWGWIFFINVPFSIAIIFAIMRTLKGRETKISIKPIDTVGLVLLVVGIGALQIMLDQGKELDWFNSTEIIVLTVIAVVAIAFLIVWELTDEHPVIDLSLFKERNFTIGCLALSLAYMLYFGTIVLLPQLLQEVYGYTATWAGLASAPVGLLPLLITPIIGRFGNRIDMRYLVTFSFIMYAVCYYWRAYTFEPGMGFAAAAWPQFVQGLAIACFFMPLTTITLSGLPPERMASASSLSNFTRTLAGAIGTSITTTLWTQREAMHHENLTGFVNPYNPNAQHMYSELAQIGMNEQQSAAYIARSITEQGLIISANEIFWMSAGVFILLMIIVWFAKPPFGAGSKEGGGGAH ->ARGMiner~~~amrA~~~WP_038776944.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_038776944.1~~~aminoglycoside~~~unknown MKYEWARTRRLSAALAVAAFVAAGCGKHESEHDAAAPREASVVTVKKTSVPLSVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKAQAAHLAALDKRRRYDELVRDRAVSERDHTEALADERQAKAAVASARAELARAQLQLDYATVTAPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVESLRRAVKSGRAAGIAQQDVEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAVARDAILVPRDALLRTADSATVKVVGQNGKIRDVTVEAAQMKGRDWIVTRGLAGGERVVVVDAAQFEAGTTVKALERGAAAQPASGAVAASAPGRRST ->ARGMiner~~~ykkD~~~WP_016936404.1~~~aminoglycoside;tetracycline;phenicol unknown +>ARGMiner~~~ykkD~~~WP_016936404.1~~~aminoglycoside;tetracycline;phenicol~~~unknown MHWISLIAAGLCEMLGVILMNQFQKEKKVKWILFIIAGFAASFSLLSYAMETIAMGTAYAIWTGIGTAGGALAGILFYGEQKDAKRIFFIALILCAAAGLKILS ->ARGMiner~~~macB~~~WP_001751648.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001751648.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRCEHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERMREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALVRE ->ARGMiner~~~mdtH~~~WP_038419097.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_038419097.1~~~fluoroquinolone~~~unknown MSRLSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGALLFILCALFNALFLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALSQPELPWVMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~macB~~~WP_059308384.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_059308384.1~~~macrolide~~~unknown MTALLELIDIRRSYPSGDGPVEVLKGITLQVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVATLDSDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAQALLTRLGLGERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAGRIVEIHDGELVSNPPATLNATRRQEVALPPPSGWRQFASSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLSDIRSIGTNTIDIYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGGTFNAEQLAGRAQVVVLDANSRRQLFPNKSKVVGEIVLVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSAQAEQQLERLMTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAIISLLVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLLIAFTLQLFLPGWEIGFSPMALLTAFLCSTFTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~sdiA~~~SAJ84754.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~SAJ84754.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MLQQFQSTRDGDSVYNLLQQQTEELEYDYYALCVRHPVPFTRPKLTLQSTYPQAWMSHYQAENYFAIDPVLRRENFLRGHLPWNDQLFCDTPELWNGARDHGLNKGVTQCLTLPNHALGFLSVSAKNAQPGPYQEDELELRLRTLTELSLLALLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~tolC~~~WP_023323753.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023323753.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGINSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDNNMGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPQQDAAVDNFTANSSTPVAQPAAARSTSPASSGMNPFRN ->ARGMiner~~~macA~~~WP_050551089.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_050551089.1~~~macrolide~~~unknown MELKNRYGIQRTLTQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~msbA~~~WP_031865851.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031865851.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSVQNL ->ARGMiner~~~mdtF~~~WP_033868126.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_033868126.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITISATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTNSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPNQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRGKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~FosB~~~ZP_04096221~~~fosfomycin unknown +>ARGMiner~~~FosB~~~ZP_04096221~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEDSITFYEKVLEGELLVRGRKLAYFNICGVWIALNEEIHIPRKEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQERLNYYREDKPHMTFY ->ARGMiner~~~macB~~~WP_044307665.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044307665.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDPEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIVAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_043875570.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_043875570.1~~~macrolide~~~unknown MKVKGKRRTIWWLLAIVVLGLAVWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVAIGARNDTDVAVVQGLDEGDEVIVGESGAAK ->ARGMiner~~~EXO beta-lactamase~~~WP_047143005.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_047143005.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAATLVRGTAHASSGSRGRGHGSASDAERRLAGLERASGARLGVYAYDTGSGRTVAYRADELFPMCSVFKTLSSAAVLRDLDRNGEFLSRRIFYTQDDVEQAGGAPETGKAENLANGMTVEELCEVSITASDNCAANLMLRELGGPAAVTRFARSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLVLGDALNPRDRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRPPIVLTVLTAKTEQDATRDDGLVADAARVLAETLG ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071139.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071139.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILNIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~macA~~~WP_047916816.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_047916816.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLDMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~emrB~~~WP_023891064.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_023891064.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLNSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~macA~~~WP_001201748.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201748.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTLAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~tolC~~~WP_045261912.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_045261912.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNTRSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPEQDAAVDNFNANGSAPVAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~mecR1~~~WP_061822031.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_061822031.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVFVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLRQDEVKSYLDLIEYGNEEISGNENYWNESSLKISAIEQVNLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~MexB~~~WP_056856092.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_056856092.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVAYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGTMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGSQYAMRIWLDPAKLNNFNLTPIDVKTAIAAQNVQVSSGQLGGLPALPGTQLNATIIGKTRLQTAEQFDKILLKVNKDGSQVRLKDVAEVGLGGENYSINAQFNGAPASGLAVKLATGANALDTAKALRTTIDSLKPFFPQGMEVVFPYDTTPVVTESIKGVVHTLVEAVALVFLVMFLFLQNFRATIITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKPIRKGEHHTPKRGFFGWFNRNFDRGVKSYERGVGNMLTHKAPYLLAYLIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSTSQRTQVVVDQMREFLLRPSKDGGEGDAVNSVFTVTGFNFAGRGQSSGMAFIMLRPWDERNADNSVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKILTQVRPNGLNDEPQYQLEIDDEKASALGITIADINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMSPEDLKKWYVRNSVGTMVPFSAFAKGEWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLREAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSAMGQRKNVDEQDAPETPKEAG ->ARGMiner~~~macB~~~WP_000125881.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000125881.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQENKREQGVDAAVANTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~bcrA~~~WP_011867743.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_011867743.1~~~peptide~~~unknown MNTVIKTTNLSKIYGEQKSVDQLSITVNQGEIYGFLGRNGAGKTTTIRMLLGLIRPTYGQIEIFGENLFKNQKRILRRIGSIVEVPGFYENLTAKENLLINAKIIGVHKKNAIDEALEIVGLQNENKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRRLIKSLAAERNITILISSHILSEIEQLADHMGIIHEGKLLEEIGIDHLRKRNRKYLEFQVSNDNKATMLLEEHFNIFDYEVYDEGMVRVYSHLGEQGTINRMFVQNDINVLKIKMSEDRLEDYFERLVGGGTIG ->ARGMiner~~~BLA1~~~WP_049112183.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_049112183.1~~~penam~~~unknown MIVLKNKKMLKIGMCVGILGLSITSLVTFTGGALQVEAKEKTGQVKHNHQATHKEFSKLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQYSTEKLNDVITYTKDDLVEYSPVTEKYVDTGMKLGEIAEAAVRYSDNTAGNILFHQIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTAGNVLPVHKRNILIEWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKGATYDNQLIKEAAEVVIDAIK ->ARGMiner~~~macA~~~WP_045126264.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_045126264.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIVIIALLAWKFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTRSTAQTNIGYTRILAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGEDTKRYATLRQIEPAPDSISSESTNTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKASSTPEAAKKSQGNGARLERLNLTAEQKQLIEQGKLTLSVVRVLQADGSTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~mecR1~~~gi:302562986:dbj:BAJ14613.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~gi:302562986:dbj:BAJ14613.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSSVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDIIKSSSLESPYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGIVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQIYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEQIHYGESILKCSILKSHHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISLLIQAPLLSAHVQQDKYETNVSYKKLNQLAPYFKGFDGSFVLYNEREQAYSIYNEPESKQRYSPNSTYKIYLALMAFDQNLLSLNHTEQQWDKHQYPFKEWNQDQNLNSSMKYSVNWYYENLNKHLKQDEVKSYLDQIEYGNEEISGNENYWNESSLKISAIEQVKLLKNMKQHNMHFDNKAIEKVENSMTLKQKDTYKYVGKTGTGIVNHKEANGWFVGYVETKDNTYYFATHLKGEDNANGEKAQQISERILKEMELI ->ARGMiner~~~novA~~~WP_030704355.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030704355.1~~~aminocoumarin~~~unknown MKPDEPTWMPPPDAAADRPPAEVRRILRLFHPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRGFAEESERLVDLEVRSSMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEEPVRLEKIRGEIAFEDVDFSYDEKNGPTLTGIDVTVPAGSSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTIDGIDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATEEEIEAAARAAQIHEHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQQAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRAAERGTHEELLDRDGRYAALIRRDSHPAPVPAP ->ARGMiner~~~macB~~~WP_044596899.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044596899.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDISTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSAMAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sdiA~~~WP_032641394.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032641394.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDSDFFTWRRDCFLRFQELTCADEVYQELERQTQALEFDYYALCVRHPVPFTRPKISLQTTYPKPWMAQYQSANYFAIDPVLKPENFMQGHLPWTDALFADAQELWHGAQDHGLRAGITQCLMLPNHALGFLSVSRTSVLEGPYTHEEIELRLQMLVQMALTSLMRFEDGMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~macA~~~WP_025210345.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_025210345.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVRNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~MexF~~~WP_058531469.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_058531469.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALLLVFIGLIAILVLPVSQYPAIVPPTIQVTTTYPGADAKTLINTVALPIEQQVNGVENMLYMQSTSSNNGAYTLIVTFAIGTDLNFAQVLVQNRVQAAMAQLPMDVQKQGVVVQQKSTAILQFITLTSENGDYDGVFLNSYATINMQDELARLPGVGNVLVFGSGSYAMRVWLDPKKMLAYSLNPSDVLNAISYQNKDVSAGQIASPPVLGSHSYQLTVNVPGQLADPDEFGNIIIKTVDTQPDENANASSSAQVVRIKDVGRVELGSSSYSQLANLNGKPTAAIGIFQLPGANALQVADEVKKTVAKMAKKFPPGLTYSIPFDTTVFVKASISEVYKTLYEAGILVLLVIVVFLQNFRASLVPATTVPVTIIGTFFALMLLGYTINLLTLFALVLAIGIVVDDAIVIVEGVTQHIEKGLSPKEASIQAMKELFGPILGITLVLMAVFVPAGFMPGLTGAMYAQFALVIAATALISAINAMTLKPTQCALWLKPIDKNKPKNVFFRTFDNLYNPIEARYIRFMDKLVHHSGTVCLLGAALVALAIFGLTRIPTGFIPIEDQGYLILSVQLPDAATLGRTDKVMSELTKKVSQIDGIENVIAIDGISLLDNNASLANAGVLYIMFKDWSVRGKSENLLALYTKINDIAKNTLDAKVLTVVPPPIQGLGLSGGFQMQVELQDGTFDYQKLQRVTDGLISEGNREPDLQNLMTSFRASVPQVAAPIDRTKAESLGVRIGDAFGTLQTYLGSSYVNLFTKFGQVFPVYVQADASSRGQVDDLRNYYVKNQQGSMVSLGTLTDIKPDVGPAIISLYNLYPSGNVNGMAARGYSSGEGIETMEELAKKLPPGFSYEWTSTAYQEKVAGNMSYYIFAMSLVLVYLILAGQYENWLIPSAILFSVPLTLIGTVLALSLLGLDNNMYTQIGLLLLIALATKNAILIVEVAHEQHHIHKKSVMESAVIGAKTRFRPILMTSFAFIMGVMPLVFATGAGANARRSIGVAVCSGMLASTCLAVVFVPVFYVLLQTWQDKRKAKKETAVLS ->ARGMiner~~~mdtH~~~WP_016158049.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_016158049.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRATGFATMGIAQEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFVLCAAFNAWLLPAWKLSTVRTPVREGMARVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLFYPIARWSEKRFRLEHRLMAGLLVMSLSMMPVGMVSSLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAQQPELPWMMLGIIGIITFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~macA~~~WP_002255779.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002255779.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~arnA~~~WP_000648758.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000648758.1~~~peptide~~~unknown MKAVIFAYHDMGCQGVQAVLDAGYEIAAIFTHADNPAENTFFGSVSRLAAELGIPVYAPDNVNHPIWVDRIAEFAPDIIFSFYYRNLLSEEILHLAPAGAFNLHGSLLPAYRGRAPLNWVLVNGESETGVTLHRMVKRADAGEIVASQRVAIAQDDVALTLHHKLCQAARQLLNSILPTMKCGDIPSVPQRESDATYYGRRRPEDGLIDWHKPVSTVHNLVRAVAAPWPGAFSYNGSQKFTIWSSRICPDAQGALPGSVISVSPLRVACADGALEIITGQAGDGITVQGSQLAQTLGLVAGARLNRPPATSGKRRIRVLILGVNGFIGNHLTERLLNEENYEVYGMDIGSNAISRFLLHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRVVFPSTSEVYGMCTDASFDEDKSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQQKRCFTDIRDGIEALFRIIVNEGDRCDGKIINIGNPDNEASIQELATLLLDSFDKHPLRCHFPPFAGFQVVESRSYYGKGYQDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLRSVDVAERAS ->ARGMiner~~~mdtG~~~WP_048332568.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_048332568.1~~~fosfomycin~~~unknown MSSAETPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMMLMGMAQNIWQFLLLRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGTVSGALLGPLAGGFLADHWGLRTVFFMTAAVLFICFLFTLFLIRENFVPIARKEMLSAREVFSSLQNPKLVLSLFVTSLIIQVATGSIAPILTLYVRDLAGNVSNIAFISGMIASVPGIAALMSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSTSQISGRIFSYNQSFRDIGNVTGPLIGASVSANYGFRAVFLVTAGVVLFNAIYSTLSLRRPAADTSHSVN ->ARGMiner~~~sdiA~~~WP_023209999.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_023209999.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPPAWVTHYQPENYFAIDPVLKPENFRQGHLHWDDVLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~macB~~~WP_040196642.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_040196642.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANIIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtF~~~WP_000024885.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_000024885.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATGANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLCTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEIDGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~macA~~~CP004022.1.gene757.p01~~~macrolide unknown +>ARGMiner~~~macA~~~CP004022.1.gene757.p01~~~macrolide~~~unknown MAFFLTKKQGKIIAAILIFIAIGLFFFWPKEHLPSYQTQKITRGELSKEVTATGKLDAVRKVDVGAQVSGQLQTLYVKEGDVVKKGDLLAIIDPKKAQNEVAESQETNNELTANLQQAKAELRLAQLTYQRQLKLIGTHVIAQEELDRTKTDVEVKKARVATYEAQIRKNQATLDTARTNLQYTRITAPMDGVVTFIKTLEGQTVIAAQEAPTILTLADLDTMLVKAEVSEADVIYLKPDLKASFTVLGAPDKAFNGKLKDILPTPEKINDAIFYYARFEVPNEQHLLRLQMTAQVKILLEHKKDILLVPLSALGEDVGINEYQVEVLVNGQPEKRVVKIGIRTDVYAEVISGLNENDDVILGEIAGES ->ARGMiner~~~cmeB~~~WP_002899260.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~WP_002899260.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSNGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALILVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGEPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLYKAVPNSLVPEEDQGLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLQDWKDRNVSADQIIAELNKKFAFDRNASSVFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVAVANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDALKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMVFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLIGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~EreA2~~~WP_048822905.1~~~macrolide unknown +>ARGMiner~~~EreA2~~~WP_048822905.1~~~macrolide~~~unknown MTWRTTRTLLQPQKLDFNEFEILTSVIEGARIVGIGEGAHFVAEFSLARASLIRYLVERHEFNAIGLECGAIQASRLSEWLNSTAGAHELERFSDTLTFSLYGSVLIWVKSYLRESGRKLQLVGIDLPNTLNPRDDLAQLAEIIQVIDHLMKPHVDALTQLLTSIDGQSAVISSAKWGELETAQQEKAISGVTRLKLRLASLAPVLKNHVNSDFFRKASDRIESIEYTLETLRVMKAFFDGTSLEGDTSVRDSYMAGVVDGMVRANPDVRIILLAHNNHLQKTPVSFSGELTAVPMGQHLAEREEGDYRAIAFTHLGLTVPEMHFPSPDSPLGFSVVTTPADAIREDSVEQYVIDACGKEDSCLTLTDDPMEAKRMRSQSASVETNLSEAFDAIVCVPSAGKDSLVAL ->ARGMiner~~~macA~~~WP_061708557.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_061708557.1~~~macrolide~~~unknown MNLKGKRRKLWLLLAIVVLVGGYWVWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIKEVEATLMELRAQRGQAEAERKLAQVTLARQQALARTQAVSRQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLSGVKDVLTVPLSALGDPTGNNRYKVKILRNGEVREREVEPGARNDTDVVIVKGLEEGDEVITGEAQPGAAK ->ARGMiner~~~mdtE~~~WP_021550028.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_021550028.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRKRILLIPLLFCGAILTACDDKSTENAAAMTPEVGVVTLSPGSVNVMSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLRTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYSQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIDATKAIGNQWVVTSGLQAGDRVIVSGLQRIHPGIKARAISSSQENASTESKQ ->ARGMiner~~~cphA2~~~WP_042008783.1~~~carbapenem unknown +>ARGMiner~~~cphA2~~~WP_042008783.1~~~carbapenem~~~unknown MKGWIKCGLAGALVLMASFWGGSVRAAGMSLTQVSGPVYVVEDNYYVKENSMVYFGTKGVTVVGATWTPDTARELHKLIKRVSRQPVLEVINTNYHTDRAGGNAYWKSIGAKVVSTRQTRDLMKSDWAEIVAFTRKGLPEYPDLPLVLPNVVHEGDFTLQEGKLRAFYAGPAHTPDGIFVYFPDEQVLYGNCILKEKLGNLSFADVKAYPQTLERLKAMKLPIKTVVGGHDSPLHGPELIDHYEELIKAVPQS ->ARGMiner~~~emrA~~~WP_016947584.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_016947584.1~~~fluoroquinolone~~~unknown MSANAESQTPQQPGSKKGKRKGALLLLTLLFIIIAVAYGIYWFLVLRHYEETDDAYVAGNQVQIMAQVAGSVTKVWADNTDYVQKGDPLVTLDRTDAQQAFEKAKTQLAASVRQTRQQMINSKQLQANIDVKKTALAQAQADLNRRIPLGAANLIGREELQHARDTVASAQAELDVAIQQYNANQAIVLGTRLEQQPAVLQAATEVRNAWLALQRTQIVSPISGYVSRRSVQPGAQIGTTTPLMAVVPATNLWIDANFKETQLAHMRIGQPATVISDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDEKQLAERPLRIGLSTLVEVNTTDRDGEMLASQVRSSPVYESNAREIALDPVNKLIDEIIQANAG ->ARGMiner~~~emrB~~~WP_046877643.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_046877643.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFAIASWACGVSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVVMTLQTLRNRETRTEQRRIDGVGLALLIIGIGSLQVMLDRGKELDWFASNEIIILTIVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPYNPNAQQMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWFSAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~macA~~~WP_004247613.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004247613.1~~~macrolide~~~unknown MAFFLTKKQGKIIAAILIFIAIGLFFFWPKEHLPSYQTQKITRGELSKEVTATGKLDAVRKVDVGAQVSGQLQTLYVKEGDVVKKGDLLAIIDPKKAQNEVAESQETNNELMANLQQAKAELRLAQLTYQRQLKLIGTHVIAQEELDRTKTDVEVKKARVATYEAQIRKNQATLDTARTNLQYTRITAPMDGVVTFIKTLEGQTVIAAQEAPTILTLADLDTMLVKAEVSEADVIYLKPDLKASFTVLGAPDKAFNGKLKDILPTPEKINDAIFYYARFEVPNEQHLLRLQMTAQVKILLEHKKDILLVPLSALGEDVGINEYQVEVLVNGQPEKRVVKIGIRTDVYAEVISGLNENDDVILGEIAGES ->ARGMiner~~~emrB~~~WP_042326043.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_042326043.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFMWSTVAFAIASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLQTLRGRETRTEQRRIDAIGLALLVIGIGSLQIMLDRGKELDWFASQEIVILTVVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPYNPNAQAMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~FosB~~~WP_063261334.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_063261334.1~~~fosfomycin~~~unknown MLKGINHLCFSVTNLEDSITFYEKVLEGELLVKGRKLAYFNICGVWVALNEETHIPRNEIHESYTHIAFSVEQKDFERLLQRLEENDVHVLQGRERNVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMTFY ->ARGMiner~~~macA~~~WP_001201729.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_001201729.1~~~macrolide~~~unknown MRAKEKKFKKRYLVIILILLVGGMAIWRMLNAPLPNYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPEQAENQIKEVEATLMELNAERQQAAAELKLARVTLTRRQQLAKTQSVSQQDLDTAATELAVKQARIGTIDAQIKRNQASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRPGQKAWFTIPGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVDNNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGEGRPGATP ->ARGMiner~~~acrB~~~WP_001132470.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_001132470.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMTSLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRTNEDIEHSHTVDHH ->ARGMiner~~~Serratia marcescens Omp1~~~WP_000977920.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_000977920.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEAQPLGNGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNTSGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~MexE~~~WP_058019717.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_058019717.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESAELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~mdtH~~~WP_014169547.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_014169547.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLHDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEAALSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~tet(C)~~~WP_052454986.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_052454986.1~~~tetracycline~~~unknown MKPNRPLIVILSTVALDAVGIGLIMPVLPGLLRDLVHSNDVTAHYGILLALYALMQFACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGYREVTLPAAGPLAPLSMVKDTF ->ARGMiner~~~adeG~~~WP_031989706.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_031989706.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANATLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGYRIVVNGLQRIRPGDPVTPHLVPMPNSQITASATPPQPQPTDKTSTPAKG ->ARGMiner~~~mdtH~~~WP_045630086.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045630086.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNTLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYAGGGWLFDAGKALNQPELPWMMLGVVGIMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~lsaB~~~WP_053488586.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_053488586.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIQVQNLTFSYPSSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFFNLLLENYEYSGEIISSVEFNYFPYPVSDKNNYTHEILEEICPQVEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAGLFLKEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRMFLDGCVDHILSINRANIEVQNGNYSSWKLNFDRQQEHEEAKNHRLQKDIGRLKQSSKRATGWSNQVESSKNGTTNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEPLECQSNELINLTDVSVKYNDQIVNKPISFKVEQGDRIVLDGKNGSGKSSILKLILGKPIQYTGSMNLSSGLIISYVQQDTSHLKGRLSDFIEEYEINETLFKSILRKMDFDRIQFEKDISYYSGGQKKKLLIAKSLCEKAHLYIWDEPLNFIDIYSRMQIEELIQSFSPTMVIVEHDQAFQQTIATKIISM ->ARGMiner~~~macA~~~NC_011595.7058613.p01~~~macrolide unknown +>ARGMiner~~~macA~~~NC_011595.7058613.p01~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGVRLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~msbA~~~WP_031775762.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_031775762.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINYVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELMSKQGAYEHLYSIQNL ->ARGMiner~~~TEM-1~~~ANG28032.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG28032.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKVLESFRPEERFPIMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macB~~~WP_029592374.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_029592374.1~~~macrolide~~~unknown MSALLELKAIRRSYPSGEEQVEVLKGISLSIEAGEMVAIVGASGSGKSTLMNILGCLDNPTSGSYRVAGVDVSTLSGDELARLRREHFGFIFQRYHLLSHLTATQNVEVPAIYSGLGRKLRQQRARDLLARLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMATLKQLRDRGHTVIIVTHDPTVAAQAERVIEIRDGEIISNPSPRKPVARAKALNEETQASGAWQQFVSSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLQDIRSIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTAIAKQPWVRSATPALSQNVRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNEEQLKGRAQVVVLDQNARRQLFPNKASVTGEIVLVGNMPATVIGVAEEKQSMFGSSKILRAWLPYDTMAGRMMGQSWLNSITVRVNEGYDSAQAEQQLTRLLTLRHGKKDFFTMNMDGLLKTAEKTTRTLQLFLTLVAVISLLVGGIGVMNIMLVSVTERTREIGIRMAVGARAGDVLQQFLIEAVLVCLVGGALGITLSLLIAFLLQLFLPGWEIGFSPLALATAFLCSTATGVIFGWLPARNAARLNPVDALARE ->ARGMiner~~~mgrA~~~WP_002464904.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_002464904.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSESIRPELSNASEKVATASSLSQDEVKELNRLLGKVIYAFDESKEK ->ARGMiner~~~emrB~~~WP_032691019.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032691019.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFAIASWACGMSNSLTMLIFFRVIQGIVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVVMTLQTLRSRETRTEQRRIDGVGLALLVIGIGSLQVMLDRGKELDWFASNEIIVLTIVAVIAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREALHHAQLTESVTPYNPNAQQMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWFSAAIFILLLGLVWFARPPFSAGGGGGGAH ->ARGMiner~~~mepA~~~WP_020976941.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_020976941.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVALPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMISMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLILNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMSNKGRMKDVIKAVIMSIGVIFVVCMIAVFTIGHHMVGLFTTDQDIVEMATFILKVTMTSLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~tolC~~~WP_048271086.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048271086.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYNNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLSLSASSGISNTSYSGSKTHNNPQQYQDNDAGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~hmrM~~~WP_032291418.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032291418.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAGYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~qacA~~~WP_002449598.1~~~fluoroquinolone unknown +>ARGMiner~~~qacA~~~WP_002449598.1~~~fluoroquinolone~~~unknown MISFFTKTTDMMTSKKRWTALVVLAVSLFVVTMDMTILIMALPELVRELEPSGTQQLWIVDIYSLVLAGFIIPLSAFADKWGRKKALLTGFALFGLVSLAIFFAESAEFVIAIRFLLGIAGALIMPTTLSMIRVIFENPKERATALAVWSIVSSIGAVFGPIIGGALLEQFSWHSAFLINVPFVIIAVVAGLFLLPESKLSKEKSHSWDIPSTILSIAGMIGLVWSIKEFSKEGLADIIPWVVIVLAITMIVIFVKRNLSSSDPMLDVRLFKKRSFSAGTIAAFMTMFAMTSVLLLASQWLQVVEELSPFKAGLYLLPMAIGDMVFAPIAPGLAARFGPKIVLPSGIGIAAIGMFIMYFFGHPLSYSTMALALILVGAGTASLAVASALIMLETPTSKAGNAAAVEESMYDLGNVFGVAVLGSLSSMLYRVFLDISSFSSKGIVGDLAHVAEESVVGAVEVAKATGIKQLANEAVTSFNDAFVATALVGGIIMIIISIVVYLLIPKSLDITKQK ->ARGMiner~~~emrK~~~WP_038987858.1~~~tetracycline unknown +>ARGMiner~~~emrK~~~WP_038987858.1~~~tetracycline~~~unknown MEQINSNKKHSNRRKYFSLLAVVLFIAFSGAYAYWSMELEDMISTDDAYVTGNADPISAQVSGSVTVVNHKDTNYVRQGDILVSLDKTDATIALNKAKNNLANIVRQTNKLYLQDKQYSAEVASARIQYQQSLEDYNRRVPLAKQGVISKETLEHTKDTLISSKAALNAAIQAYKANKALVMNTPLNRQPQVVEAADATKEAWLALKRTDIKSPVTGYIAQRSVQVGETVSPGQSLMAVVPARQMWVNTNFKETQLTDVRIGQSVNIISDLYGENVVFHGRVTGINMGTGNAFSLLPAQNATGNWIKIVQRVPVEVSLDPKELMEHPLRIGLSMTATIDTKNEDIAEMPELASTVTSMPAYTSKALVIDTSPIEKEISNIISHNGQL ->ARGMiner~~~mexY~~~WP_023103818.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_023103818.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGTSPRIPTEQA ->ARGMiner~~~mdtG~~~SCA71330.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~SCA71330.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSGNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~mexY~~~WP_058350802.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_058350802.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAMATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~MexE~~~WP_034032003.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_034032003.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLPFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~mdtM~~~WP_052895379.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_052895379.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MERIFYATDYSVFLPTRHNVIFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~macA~~~WP_049236948.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_049236948.1~~~macrolide~~~unknown MAFSLTKKQGKIIAAILIFIAIGLFFFWPKEHLPSYQTQKITRGELSKEVTATGKLDAVRKVDVGAQVSGQLQTLYVKEGDVVKKGDLLAIIDPKKAQNEVAESQETNNELMANLQQTKAELRLAQLTYQRQLKLIGTHVIAQEELDRTKTDVEVKKARVATYEAQIRKNQATLDTARTNLQYTRITAPMDGVVTFIKTLEGQTVIAAQEAPTILTLADLDTMLVKAEVSEADVIYLKPDLKASFTVLGAPDKAFNGKLKDILPTPEKINDAIFYYARFEVPNEQHLLRLQMTAQVKILLEHKKDILLVPLSALGEDVGINEYQVEVLVNGQPEKRVVKIGIRTDVYAEVISGLNENDDVILGEIAGES ->ARGMiner~~~OXA-119~~~AGY30826.1~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-119~~~AGY30826.1~~~cephalosporin;penam~~~unknown MVIRFFTILLSTFFLTSFVYAQEHVVVRSDWKKFFSDLRAEGAIVIADERLPKHTLSVFGQERAAKRYSPASTFKIPHTLFALDADAARDEFQVFRWDGVKRSFAGHNQDQDLRSAMRNSAVWVYELFAKEVGEDKARRYLKQIDYGNADPSTIKGDYWIDGNLEISAHEQISFLRKLYRNQLPFKVEHQRLVKDLMITEAGRNWILRAKTGWEGRFGWWVGWVEWPTGPVFFALNIDTPNRTDDLFKREAIARAILRSIDALPPN ->ARGMiner~~~macB~~~WP_032177097.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032177097.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLLGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~sdiA~~~WP_032432428.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_032432428.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRRDMLHQFQSMATGEEVYNLLQRETEALEYDYYTLCVRHPVPFTRPRVTFQSTYPRAWMSHYQAENYFAIDPVLRPENFMRGHLPWNDSLFRDAPALWDGARDHGLQKGVTQCLTLPNHAQGFLSVSANNRLPGGYPEDELELRLRTLTEQSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~mexH~~~WP_049263996.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_049263996.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRGVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~TEM-1~~~ANG10619.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG10619.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGVIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tetX~~~WP_015345556.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~WP_015345556.1~~~glycylcycline;tetracycline~~~unknown MTMRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGVDATVYERDKDRDARIFGGTLDLHRDSGQEAMKRAGLLQTYYDLALPMGVNIADEKGNILTTKNVKPENRFDNPEINRNDLRTILLNSLQNDTVIWDRKLVTLEPDKEKWTLTFEDKPSETADLVIIANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEVNCPGFFQLCNGNRLMAAHQGNLLFANPNNNGALHFGISFKTSDEWKSKTLVDFQDRNSVVDFLLKKFSDWDERYKELIRVTSSFVGLATRIFPLGKSWKSKRPLPITMIGDAAHLMPPFAGQGVNSGLMDALILSDNLTNGKFNSIEEAIENYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~BcI~~~WP_064469609.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_064469609.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSVTSLEAFTGGSLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTHQTIAYRPNERFAFASTYKALAAGVLLQQNSTDKLNEVITYTKDDLVEYSPVTEKHVDTGMTLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRITMADRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAHKRNILTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVCPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKALK ->ARGMiner~~~mefA~~~WP_009246943.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_009246943.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPIWMVMVVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVWGAVIASITVAIVRIPKLGDQVQSLKPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMEYFNGTPMYISITEIAYASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADRIGVNHWFLLSGILIICIAIVCPMITEIRKFDLK ->ARGMiner~~~tolC~~~WP_063614861.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063614861.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTLYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~TEM-1~~~ANG13700.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG13700.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKILLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~acrE~~~WP_004115928.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_004115928.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTRHVRVTLLSSLIIPALLLSGCDDSGDRQPHAQIPQVSVYVVNSAPLSVTTELPGRTSAYRVAEVRPQVSGIILHRNFVEGSDVAAGQSLYQIDPATYQAAYNSAKGDEAKAEAAAAIAHLTVKRYAPLLGTKYISQQEYDQAVATARQADADVIATKAAVESARIDLAYTKVTSPIGGRIGKSSVTEGALVTNGQADAMATVQQLDPIYVDVTESSNDFMRLKQESLQQGSGTKSVQLIMENGQPYALRGTLQFSDVTVDESTGSITLRAIFPNPQHALLPGMFVRARIDEGISPDAILVPQQGVTRTPRGDASVMLVNDKNQVETRPVTASQAIGDKWLITSGLKAGEKVIVSGLQKVRPGVTVKAEEDTATTVAQ ->ARGMiner~~~TEM-189~~~ANG11880.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-189~~~ANG11880.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGKRGSRGIIAALGPDGKPSRIVVIYTTGSQAAMDERNRQIAEIGASLIKHW ->ARGMiner~~~tolC~~~WP_063438595.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063438595.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNGANSQGYNDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANGSAPAAQPAAARSTAPASSGSNPFRN ->ARGMiner~~~cmeB~~~gi:633259724:dbj:BAO79425.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid unknown +>ARGMiner~~~cmeB~~~gi:633259724:dbj:BAO79425.1~~~macrolide;fluoroquinolone;cephalosporin;fusidicacid~~~unknown MFSKFFIERPVFASVVAIIISLAGAIGLTNLPIEQYPSLTPPTVKVSATYTGADAQTIASTVASPIEDAINGADNMIYMDSTSSSSGTMSLTVYFDIGTDPDQATIDVNNRISAATAKMPDAVKKLGVTVRKTSSTTLAAISMYSSDGSMSAVDVYNYITLNVLDELKRVPGVGDANAIGNRNYSLRIWLKPDLLNKFGITATDVISAVNDQNAQYATGKIGEEPVTQKSPYVYSITMQGRLQNPSEFENIILRTNNDGSFLRLKDVADVEIGSQQYSSQGRLNGNDAVPIMINLQSGANALHTAELVQAKMQELSKNFPKGLTYKIPYDTTKFVIESIKEVVKTFVEALVLVIIVMYMFLKNFRATLIPMIAVPVSLLGTFAGLYVLGFSINLLTLFALILAIGIVVDDAIIVVENIDRILHENEQISVKDAAIQAMQEVSSPVISIVLVLCAVFVPVSFISGFVGEIQRQFALTLAISVTISGFVALTLTPSLCALFLRRNEGDPFKFVKKFNDFFDWSTSVFSAGVAYILKRTIRFVLIFCIMLGAIFYLNKAVPSSLVPEEDQDLMISIINLPSASALHRTISEVDHISQEVLKTNGVKDAMAMIGFDLFTSSLKENAAAMFIGLKDWKDRNVSADEIAMELNKKFAFDRNASSIFIGLPPIPGLSITGGFEMYVQNKSGKSYDEIQKDVNKLVTAANQRKELSRVRTTLDTTFPQYKLIIDRDKLKHYNLNMQDVFNTMNATIGTYYVNDFSMLGKNFQVNIRAKGDFRNTQDTLKNIFVRSNDGKMIPLDSFLTLQRSSGPDDVKRFNLFPAAQVQGQPAPGYTSGQAIEAIAQVAKETLGDDYSIAWSGSAYQEVSSKGTASYAFALGMIFVFLILAAQYERWLIPLAVVTAVPFAVFGSFLLVYLRGFSNDIYFQTGLLLLIGLSAKNAILIVEFAMEERFKKGKGVFEAAVAAAKLRFRPIIMTSLAFTFGVLPMIFATGAGSASRHSLGTGLVGGMIAASTLAIFFVPLFFYLLENFNEWLDKKRGKVHE ->ARGMiner~~~Escherichia coli ampC~~~WP_005114784.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_005114784.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPPEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLPPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAIKPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPERVAAACQILNALQ ->ARGMiner~~~MexF~~~WP_004417486.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_004417486.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTDLDNAQVQVQNRVTRSEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDKRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVSEEEFENVVVRAGADGEITRLKDIARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVAATHKAMTEVTGPIIATALVLCAVFVPAAFISGLSGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRMLGSWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWMGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVQDAIAFPGLSINGFTNSPNNGVVFVTLKPFDERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAAPGYSSGQAQAAVEKLLREELPTGMIYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARVNSQQNLPAEMH ->ARGMiner~~~mdsC~~~EMR54545.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;phenicol;penem unknown +>ARGMiner~~~mdsC~~~EMR54545.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;phenicol;penem~~~unknown MISKHNDGNGIMKITFTGYRQTATLATLAFVTTLAGCTMAPKHERPTSPTAVVYPYATSTVSGAPDAADIGWRDFFHDPLLQELIAIALRNNRDLRKAGLNVEAARALYRIQRAEMLPTLGIATAMDAGRTPADLSVTDEPEINRRYEMAGATTAWELDLWGRVRSLSDQALAAYMALDETYIAARMSLVSEVASAWLTLRADRELLRLTEDTLAAQKSSYTLTTQLARTGNATQLDLRMAEIALRSAEINRAAYTRQLARDRNALELLLGQPLTPELSRRLNEAVTLTEGAIPTTLPGGLPSDLLVRRPDIRAAEYRLRGANARIGAARAAFFPTISLTGAAGTASASLSGLFEPGSGSWRFLPQITLPLFHGGALRADLDRAHVQKQIEIARYENVIQQAFRDVADGLAGQRTLSDQVQSEQRAVEASQIAYELAGLRFQEGVDDYLTLLDTHRMLYGAQQRLVRTRLMQQLNIINLYKALGGGWREYSEKKQG ->ARGMiner~~~emrB~~~WP_047255785.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_047255785.1~~~fluoroquinolone~~~unknown MIKTPLQGAQLAWMTIALSLATFMQVLDSTIANVAIPTIAGNLGASNSQGTWVITSFGVANAISIPITGWLAKRIGEVRLFLWSTGLFALTSWLCGISDSLGMLILFRVLQGLVAGPLIPLSQSLLLNNYPPAKRNMALALWSMTIVIAPICGPILGGYISDNYHWGWIFFINVPFSLIIIAIITKVLKGRETKIAIKPIDTIGLALLVLGIGALQIMLDQGKELDWFNSTEIIVLAVVALVAIAFLIVWELTDEHPVIDLSLFKQRNFTIGCLSLSLAYMLYFGTIVLLPQLLQQVFGYTATWAGLASAPVGLLPLLITPIIGRFGNRIDMRYLVTFSFIIYAVCYYWRAYTFEPGMSFAAVAWPQFVQGLAIACFFMPLTTITLSGLPPEKMASASSLSNFMRTLAGAIGTSITTTLWTQRESMHHENFAAFVDPYNPNAQEIYTQLSEVGMDEQQSLGYLAKNITDQGLIISANEIFWISAGIFILLMVLVWFAKPPFSPGSKEDGGGAH ->ARGMiner~~~tolC~~~WP_032653438.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032653438.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLNQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANSTTPAAQPAAARTTAPASKSNNPFRN ->ARGMiner~~~mdtA~~~NC_002695.1.916584.p01~~~aminocoumarin unknown +>ARGMiner~~~mdtA~~~NC_002695.1.916584.p01~~~aminocoumarin~~~unknown MKGSYKSRWVIVIVVVIAAIAAFWFWQGRNDSQSAAPGATKQAQQSPAGGRRGMRSGPLAPVQAATAVEQAVPRYLTGLGTITAANTVTVRSRVDGQLMALHFQEGQQVKAGDLLAEIDPSQFKVALAQAQGQLAKDKATLTNARRDLARYQQLAKTNLVSRQELDAQQALVSETEGTIKADEASVASAQLQLDWSRITAPVDGRVGLKQVDVGNQISSGDTTGIVVITQTHPIDLLFTLPESDIATVVQAQKAGKPLVVEAWDRTNSKKLSEGTLLSLDNQIDATTGTIKVKARFNNQDDALFPNQFVNARMLVDTEQNAVVIPTAALQMGNEGHFVWVLNSENKVSKHLVTPGIQDSQKVVIRAGISAGDRVVTDGIDRLTEGAKVEVVEAQSATTPEEKATSREYAKKGARS ->ARGMiner~~~acrE~~~WP_023143042.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_023143042.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSRIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~macA~~~WP_004860011.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_004860011.1~~~macrolide~~~unknown MKLNGKRRKVWWLLVVVVLALAFWGWRILNAPLPQYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLRVNIGDKVQKDQLLGVIDPEQAQNQIKEVDATLMELRAQLNQARAERQLAAVTLARQQQLAQRQLVSRQELDTAATDLAVKEAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMSGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGSLKDILPTPEKVNDAIFYYARFEVPNPQGILRLEMTAQVHIQMAEVKNVITIPLSALGDAIGDNRYHVRLLRTGEVKEREVTIGARNDTDVAVVKGLEEGDEVIVGESRSGTAK ->ARGMiner~~~mdtN~~~WP_001578558.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_001578558.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFGADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLMLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~macB~~~WP_042003386.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_042003386.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPAVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~hmrM~~~KDF60313.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~KDF60313.1~~~fluoroquinolone;acridinedye~~~unknown MNEARQLLALAIPVIVAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMVVLWNAGHIIRAMHNIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYVFIYGHFGMPELGGVGCGVATAAVYWVMFFSMLTFVKRARSMRDIRNENRFSTPDWNIMTRLVQLGLPIALALFFEVTLFAVVALLVSPLGIVNVAGHQIALNFSSLMFVLPMSLAAAVTIRVGFRLGQGSTLDAQTAARTGLGVGVCMAICTALFTVALREQIALLYNDNPEVVALASHLMLLAAIYQISDSIQVIGSGVLRGYKDTRSIFFITFIAYWVLGLPCGYILALTDLVVDRMGPAGFWMGFIIGLTSAAIMIMLRMRFLQRQPSTIILQRAAR ->ARGMiner~~~FosB~~~WP_003221202.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_003221202.1~~~fosfomycin~~~unknown MKIKGINHLLFSVSHLYTSIDFYQKVFDAKLLVKGKTTAYFDLNGIWLALNEEPGIPRNDIMASYTHIAFTIEDHEFEEMSARLKRLHVNILPGRERDERDRKSIYFTDPDGHKFEFHTGTLQDRLRYYKQDKTHMDFYD ->ARGMiner~~~mdtH~~~WP_000752520.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_000752520.1~~~fluoroquinolone~~~unknown MACVSQARNLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLLRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLLYDFRLVCATGAILFVMCAAFNAWLLPAWKLSTVRAPVREGMSRVMGDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGTPSAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMLPVGLVGSLPHLFTLICIFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAVGYIGGGWLFDMGKALQQPELPWMMLGIIGIITFLGLGWQFSHKHTASRMLGPDARS ->ARGMiner~~~vanZF~~~WP_017153050.1~~~glycopeptide unknown +>ARGMiner~~~vanZF~~~WP_017153050.1~~~glycopeptide~~~unknown MHTGVLISYLYTYFFTIIFCIVFQIGFYFKAQKNISIRHFLWVYVFLFYLSLVYKVTQIATIWDIRRYETWIRASEINLIPFASEGITTYALNILLFMPLGFLLPTIWPQFRTMKNTAYAGFFFSLAIELSQLLNHRITDIDDLLMNTLGAIIGYLLYRALFKMIYKRDEKKLDNNSSLVIKYEAIFYLVCSLIGMMLIYYPAFFFRKII ->ARGMiner~~~novA~~~WP_006350564.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_006350564.1~~~aminocoumarin~~~unknown MRQETSTWTPPARTPEQPPAQVRRILRLFRPYRGRLALVGLLVGASALVSVASPFLLKAVLDTAIPQGRTGLLGLLALGMILAAVLNSVFGVLQTLISTTVGQRVMHDLRTAVYARLQQMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALEWRLTVVSLLLLPVFVWISRRVGRERKKITTHRQKQMAAMAATVTESLSVSGILLGRTMGRADSLTRSFARESEQLVDLEVRSSMAGRWRMSVIGIVMAAMPAVIYWAAGLALTHGGAAISIGTLVAFVSLQQGLFRPAVSLLSTGVDMQTSLALFQRIFEYLDLPVDITEPERPVRLEKARGEVRFEGVRFGYEAGQPPTLDGIDITVPAGRSLAVVGPTGSGKSTLSYLVPRLYDVTDGRVTLDGVDVRDLDFDSIARSVGVVSQETYLFHASVAENLRFAKPDATDAELETAARAAQIHEHIAGLPDGYDTLVGERGYRFSGGEKQRLALARTILRDPPVLVLDEATSALDTRTEHAVQQAIDALSAGRTTITIAHRLSTVRDADEIVVLDGGRIAERGTHDELLALEGRYAELIRGHAREAVPAVP ->ARGMiner~~~mdtH~~~EGB86650.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~EGB86650.1~~~fluoroquinolone~~~unknown MLIFYFPGGEMSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGCSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~Escherichia coli ampC~~~YP_672241~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~YP_672241~~~cephalosporin;penam~~~unknown MGFLRSGCYPDSCHADWCRYNLTHRQCKSGPPMAGRFVWKPDLMLKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~mdtM~~~WP_023207486.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_023207486.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MQWIIQFFSQRATTLFFPMALILYDFAAYLTTDLIQPGIINVVRDFNADVSLAPASVSLYLAGGMALQWLLGPLSDRIGRRPVLIAGALIFTLACAATLLTTSMTQFLVARFVQGTSICFIATVGYVTVQEAFGQTKAIKLMAIITSIVLVAPVIGPLSGAALMHFVHWKVLFGIIAVMGLLALCGLLLAMPETVQRGAVPFSAVSVLRDFRNVFRNPIFLTGAATLSLSYIPMMSWVAVSPVILIDAGGMSTSQFAWAQVPVFGAVIVANMIVVRLVKDPTRPRFIWRAVPIQLSGLATLLLGNLLLPHVWLWSVLGTSLYAFGIGMIFPTLFRFTLFSNNLPKGTVSASLNMVILTVMAVSVEVGRWLWFHGGRLPFHLLAAVAGVIVVFTLATLLQRVRQHEAAELAAEK ->ARGMiner~~~Erm(41)~~~WP_012296532.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~WP_012296532.1~~~macrolide;lincosamide;streptogramin~~~unknown MSGQRSRRQWGWYPLTDDWAARIVAESGVRSGEFVVDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRIAEADLLAFRWPRRPFRVVASPPYQVTSALIRSLLTPESRLLAADLVLQRGAVHKHAKRAPVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~tolC~~~WP_052989234.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_052989234.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVSLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~tolC~~~WP_047959478.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047959478.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDNFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLTASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNADSSAPAAQPAAARTTTSASKGNNPFRN ->ARGMiner~~~tolC~~~WP_058679989.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_058679989.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPDQDAAADGYNAHSAAPAVQPTAARANSNPFRH ->ARGMiner~~~mdtH~~~WP_064672272.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_064672272.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAQEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLRDRRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKAFNQPELPWMMLGAVGFMTLLALWWQFSPKRSASGMLEPGA ->ARGMiner~~~mdtM~~~WP_032299553.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_032299553.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRVGHRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPLQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNSGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~acrB~~~WP_050190148.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_050190148.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTSEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~sdiA~~~WP_045356857.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_045356857.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCSLRFQELTNTDEVYRELERQTQALEFDYYALCVRHPVPFTRPKISLQTTYPKPWMAQYQSANYFAIDPVLKAENFIQGHLPWTDALFAEAQELWHSAQDHGLRAGITQCLMLPNHALGFLSVSRTSVQEGPFAREEIELRLQMLVQMALTSLMRFEDGMVMPPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~SRT-2~~~WP_055329626.1~~~cephalosporin unknown +>ARGMiner~~~SRT-2~~~WP_055329626.1~~~cephalosporin~~~unknown MTKMNRLAAALIAALILPTAHAAQQQDIDAVIQPLMKKYGVPGMAIAVSVDGKQQIYPYGVASKQTGKPITEQTLFEVGSLSKTFTATLAVYAQQQGKLSFKDPASHYLPELRGSAFDGVSLLNLATHTSGLPLFVPDDVTNNAQLMAYYRAWQPKHPAGSYRVYSNLGIGMLGMIAAKSLGQPFIQAMEQGMLPALGMSHTYVQVPAAQMANYAQGYSKDDKPVRVNPGPLDAESYGIKSNARDLIRYLDANLQVKVAQPWRDALAATHVGYYKAGAFTQDLMWENYPYPVQLSRLIEGNNAGMIMNGTPATAITPPQPELRAGWYNKTGSTGGFSTYAVFIPAKNIAVVMLANKWFPNDDRVEAAYHIIQALEKR ->ARGMiner~~~macB~~~WP_008461101.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_008461101.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGEEQVEVLKGISLTIEAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVSGLDNDALATLRREHFGFIFQRYHLLSHLNASQNVEVPAVYAGTTRAQRQRRAHELLGRLGLKERVEYLPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMATLKQLRERGHTVIIVTHDPAVAAQAQRIIEIRDGEIISNPPPVHQPAARMVENQTLRSGSSIQQVISSFREALGMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDNPQFQQALKYDDLLAIGQQPWVSSATPSISSNLRLRYGNVDAAASVNGVSGDYFDVYGMTMSQGASFNDEQMKGRAQVVVLDANSKRQLFPNKSDVVGEVVLVGNMPATVIGVAEEKQSMFGSSKVLRVWLPYNTMAGRVMGQSWLNSITVRVKEGYDSHEAEQQLNRLLSLRHGKKDFFTYNMDGLLKTAEKTTRTLQMFLTLVAVISLLVGGIGVMNIMLVSVTERTKEIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSLVIAFTLQLVLPGWQIGFSPVALLTAFGCSTATGVLFGWLPARNAARLNPIDALARE ->ARGMiner~~~Escherichia coli ampC~~~WP_001409256.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001409256.1~~~cephalosporin;penam~~~unknown MLKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTSKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNTLQ ->ARGMiner~~~mdtC~~~WP_024237136.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_024237136.1~~~aminocoumarin~~~unknown MKFFALFIYRPVATILLSVAITLCGILGFRMLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVSEMTSSSSLGSTRIILQFDFDRDINGAARDVQAAINAAQSLLPSGMPSRPTYRKANPSDAPIMILTLTSDTYSQGELYDFASTQLAPTISQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDDVRTAISNANVRKPQGALEDGTHRWQIQTNDELKTAAEYQPLIIHYNNGGAVRLGDVATVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDSIRAKLPELQETIPAAIDLQIAQDRSPTIRASLEEVEQTLIISVALVILVVFLFLRSGRATIIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVLSMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLLVSLTLTPMMCGWMLKASKPREQKRLRGFGRMLVALQQGYGKSLKWVLNHTRLVGVVLLGTIALNIWLYISIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVDNVTGFTGGSRVNSGMMFITLKPRDERSETAQQIIDRLRVKLAKEPGANLFLMAVQDIRVGGRQSNASYQYTLLSDDLAALREWEPKIRKKLATLPELADVNSDQQDNGAEMNLVYDRDTMARLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYTQDISALEKMFVINNEGKAIPLAYFAKWQPANAPLSVNHQGLSAASTISFNLPTGKSLSDASAAIDRAMTQLGVPSTVRGSFAGTAQVFQETMNSQVILIIAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRHGNLTPQEAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKPKQTVTE ->ARGMiner~~~acrB~~~gi:146387264:pdb:2HQG:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~gi:146387264:pdb:2HQG:A~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISASYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDAISRTSGVGDVQLFGSQYAMRIWMNPNELNKFQLTPVDVITAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTEEFGKILLKVNQDGSRVLLRDVAKIELGGENYDIIAEFNGQPASGLGIKLATGANALDTAAAIRAELAKMEPFFPSGLKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFVPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPIAKGDHGEGKKGFFGWFNRMFEKSTHHYTDSVGGILRSTGRYLVLYLIIVVGMAYLFVRLPSSFLPDEDQGVFMTMVQLPAGATQERTQKVLNEVTHYYLTKEKNNVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEENKVEAITMRATRAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLLAEAAKHPDMLTSVRPNGLEDTPQFKIDIDQEKAQALGVSINDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDIGDWYVRAADGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMELMEQLASKLPTGVGYDWTGMSYQERLSGNQAPSLYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLIEATLDAVRMRLRPILMASLAFILGVMPLVISTGAGSGAQNAVGTGVMGGMVTATVLAIFFVPVFFVVVRRRFSRKNEDIEHSHTVDHHHHHH ->ARGMiner~~~macA~~~WP_041422998.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_041422998.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPFNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKENATSKEDLESAQDAFAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~macA~~~WP_051431021.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_051431021.1~~~macrolide~~~unknown MLILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTLAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~macB~~~WP_044612790.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_044612790.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHDLLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIEIRDGEIVRNPPGSRQGGGLRARQQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~lsaA~~~WP_010828356.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_010828356.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEVLLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWELERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGDFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLGDFSEDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~Serratia marcescens Omp1~~~WP_000977927.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_000977927.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEESSLGKGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNTSGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~macB~~~WP_042307417.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_042307417.1~~~macrolide~~~unknown MTALLELSNIRRSYPSGEGQVEVLKDVSLSIQAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDSDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGTERKQRLARAQELLQRLGLGDRVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPPHKSPGGREISVPTVKTVSGWSQFISGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDIYPGKDFGDDDPQYQQALKYDDLTALQKQPWVSSVTPAVSKNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGTTFNAEQLAGRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTMSGRIMGQSWLNSITVRVKDGFDSAQAEQQLTRLLSLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSMLIAFTLQLFLPGWEIGFSPVALLTAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_024244391.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_024244391.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNIENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~CTX-M-14~~~ADU56217.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-14~~~ADU56217.1~~~cephalosporin~~~unknown MVTKRVQRMMFAAAACIPLLLGSAPLYAQTSAVQQKLAALEKSSGGRLGVALIDTADNTQVLYRGDERFPMCSTSKVMAAAAVLKQSETQKQLLNQPVEIKPADLVNYNPIAEKHVNGTMTLAELSAAALQYSDNTAMNKLIAQLGGPGGVTAFARAIGDETFRLDRTEPTLNTAIPGDPRDTTTPRAMAQTLRQLTLSHALGETQRAQLVTWLKGNTTGAASIRAGLPTSWTVGDKTGSGDYGTTNDIAVIWPQGRAPLVLVTYFTQPQQNAESRRDVLASAARIIAEGL ->ARGMiner~~~lsaB~~~WP_000061786.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061786.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEGVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYPVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLKKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEQATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTEPLKLEALKFKSNELVILADVSVKYDDQVVNEPISFIVEQGDRIVLDGKNGSGKSSILKLILGQSIQYTGLVTLGTGLTISYVQQDTSHLKGSLSDFIEEQKIDETLFKSFLRKMDFDRIQFEKDISHYSSGQKKKLLIAKSLCKKAHLYIWDEPLNFIDIYSRMQIEELIQEFNPTMVIVEHDKVFQQTVATKTISM ->ARGMiner~~~smeF~~~NC_011595.7058890.p01~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeF~~~NC_011595.7058890.p01~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MSFSMTKLSVALLLTSSLVGCAAVVKTPYQAPAVQVPGSFQYDKAKAKTASVEQYSDHWWTLFGDAQLNQLVTNVLERNSDLAVAGITLKQARLQADLTANKQGLRTSSSVSTGHSFDLNSGDDSAKGLSMSAGVSYELDLFGKLARQTEASKWEALATEQDLQATGQSLIATTAKLYWQLGYLNERYATAQQSLATSQKLYQLVQTQYKAGAVSGLDLTQAEQSVQSQKASLSQIEQQLVETRTAIAVLLHEPVQQLNIQEPQRLPRTALPAIGAGLPADILSRRPDLQAAELRLRKALATKDATKASYYPSISLTSSLGSSSTSLTELLRNPALTLGASLSLPFLQYNDMKKDIAISNLDYEKAIIQYRQTLYQAFADVENALSSRTELDKQVAIQERNVELAEKTERLTEVRYRYGAVALKTLLDAQQTTRTARLSLVETKQSQYNAYVTLMQALGGSPVKELPQ ->ARGMiner~~~mdtM~~~WP_001472885.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001472885.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFPRHAATLFFPMALILYDFAAYLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFMHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGSLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLSLLIVGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNKLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELVEEQ ->ARGMiner~~~tolC~~~WP_052940725.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_052940725.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTALNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNSTQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~mexY~~~WP_016263549.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_016263549.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPVRWMLVYALVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVADVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEQLMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~sul2~~~WP_015431543.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_015431543.1~~~sulfonamide;sulfone~~~unknown MGNVSSHTYTYAGKRSFACNCEENNGKCGLAPPNNRFERTHGTSPFAAQPKVRLTPSARRKMEYTSKSQIARNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~lsaB~~~WP_029442980.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_029442980.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYGGKILASVEFNYFPYSVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVIYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNERLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELITLVDVSVKYDNQIVNDSISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVILGTGLIISYVQQDTSHLRGSLSDFIEQHKIDETLFKSILRKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~mgrA~~~WP_031786248.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_031786248.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSKVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLLGKVIHAFDETKEK ->ARGMiner~~~emrB~~~WP_046485582.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_046485582.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFMWSTVAFAIASWACGVSNSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIVVVLMTLQTLRGRETRTEQRRIDAIGLALLVVGIGSLQIMLDRGKELDWFASQEIVILTVVAVVAISFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPYNPNAQAMYDQLQGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~Escherichia coli ampC~~~WP_001522354.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001522354.1~~~cephalosporin;penam~~~unknown MFKMTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLIDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDDVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFHPLKLTHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDITEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~macB~~~WP_032608952.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032608952.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAAKEALPVSTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_008805838.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_008805838.1~~~macrolide~~~unknown MKVKGKRRTIWWLLAIVVLGLAVWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGTLKDILPTPEKVNDAIFYYARFEVPNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVAIGARNDTDVAVVQGLDEGDEVIVGESASGAAK ->ARGMiner~~~macB~~~WP_017899433.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_017899433.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLARLGLGDRANYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_029423669.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_029423669.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCFAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQGQSADKASSTPSAERKHQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRSGPMGM ->ARGMiner~~~mdtP~~~WP_000610605.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610605.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKRREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPVVEKK ->ARGMiner~~~mecI~~~WP_047214530.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecI~~~WP_047214530.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MDNKTYEISSAEWEVMNIIWMKKYASANNIIEEIQMQKDWSPKTIRTLITRLYKKGFTDRKKDNKIFQYYSLVEESDIKYKTSKNFINKVYKGGFNSLVLNFVEKEDLSQDEIEELRNILNKK ->ARGMiner~~~tolC~~~WP_000735304.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735304.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNIEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGVSDTSYSGSKTNSAQYDDSNMGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYEAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNAHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~mecR1~~~WP_047511997.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecR1~~~WP_047511997.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MLSSFLMLSIISSLLTICVIFLVRMLYIKYTQNIMSHKIWLLVLVSTLIPLIPFYKISNFTFSKDMMNRNVSDTTSSVSHMLDGQQSFVTKDLAINVNQFETSNITYMILLIWVFGSLLCLFYMIKAFRQIDVIKSSSLESSYLNERLKVCQSKMQFYKKHITISYSSNIDNPMVFGLVKSQIVLPTVVVETMNDKEIEYIILHELSHVKSHDLIFNQLYVVFKMIFWFNPALYISKTMMDNDCEKVCDRNVLKILNRHEHIRYGESILKCSILKSQHINNVAAQYLLGFNSNIKERVKYIALYDSMPKPNRNKRIVAYIVCSISSFT ->ARGMiner~~~BcI~~~WP_061663162.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_061663162.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGESLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKYVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILKEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAVVWPPNREPIIIVILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~macB~~~SBY03087.1~~~macrolide unknown +>ARGMiner~~~macB~~~SBY03087.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVVDEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_047065623.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_047065623.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKNQNSNVTSGSLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLNQDLAREQIRQAQDGHLPTLDLNASTGVSNNRYSGSKNISQDADVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLIALNNTLGKAIPTSPDSVAPENPQQDAAADGYANTASAQPAAARTTKTSGSNPFSH ->ARGMiner~~~tolC~~~WP_064143474.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064143474.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGYRDSNGVNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEGLRQVTGNYYPELASLNVNGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLSASTGVSNTRYNGSKTNTPLAYNDSDNGQNQIGLNFSLPLYQGGAVTSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSLNAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTQSSGSNPFRQ ->ARGMiner~~~emrA~~~WP_046598123.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_046598123.1~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFERAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSNLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTIITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLTSQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~mexH~~~WP_009313275.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_009313275.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~nalC~~~WP_031805927.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_031805927.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSHLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~mgrA~~~WP_048682595.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_048682595.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLSILWEESPVNVKKVVTELALDTGTVSPLLKRMENVDLIKRERSEIDQREVFIHLTEKSENIKPELSDASQKVAIASSLSNNEVHELNRLLGKIITAFTENK ->ARGMiner~~~norA~~~WP_001041278.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_001041278.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFTGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTLVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~mexH~~~WP_023095171.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_023095171.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~novA~~~WP_030292859.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_030292859.1~~~aminocoumarin~~~unknown MKPDTPPWTPPPDARSVTDRQPGEVRRILRLFHPYRARLALVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYDRLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVVATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKKITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTRSFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEIAFEGVDFSYDEKNGPTLTGIDLTVPAGSGLAVVGSTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATAEEIETAARAAQIHDHIASLPDGYDTLVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEHAVQAAIDALSAGRTTLTIAHRLSTVRDADQIVVLEGGRIAERGTHEDLLARDGRYAALIRRDAHPVPAPAT ->ARGMiner~~~FosB~~~WP_000943761.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_000943761.1~~~fosfomycin~~~unknown MLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRELAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEQEDFKCLIQRLEENDVHILQGRERDVRNCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~tetW~~~AY485126.gene.p01~~~tetracycline unknown +>ARGMiner~~~tetW~~~AY485126.gene.p01~~~tetracycline~~~unknown MKIINLGILAHVDAGKTTLTESLLYTSGAIAELGSVDEGTTRTDTMNLERQRGITIQTAVTSFQWEDVKVNIIDTPGHMDFLAEVYRSLAVLDGAILVISAKDGVQAQTRILFHALRKMNIPTVIFINKIDQAGVDLQSVVQSVRDKLSADIIIKQTVSLSPEIVLEENTDIEAWDAVIENNDALLEKYIAGEPISQEKLAREEQRRVQEASLFPVYHGSAKKGLGIQPLMDAVTGLFQPIGEQGSATLCGSVFKVEYTDCGQRRVYLRLYSGTLRLRDTVALAGREKLKITEMRIPSKGEIVRTDTAYPGEIVILPSDSVRLNDVLGDQTRLPRKRWREAPLPMLRTTIAPKTAAQRERLLDALTQLADTDPLLRCEVDSITHEIILSFLGRVQLEVVSALLSEKYKIETVVKEPTVIYMERPLKAASHTIHIEVPPNPFWASIGLSVTPLPLGSGVQYESRVSLGYLNQSFQNAVRDGIRYGLEQGLFGWNVTDCKICFEYGLYYSPVSTPADFRSLAPIVLEQALKESGTQLLEPYLSFTLYAPQEYLSRAYHDAPKYCATIETAQVKKDEVVFTGEIPARCIQAYRTDLAFYTNGQSVCLTELKGYQAAVGQPVIQPRRPNNRLDKVRHMFQKVM ->ARGMiner~~~amrA~~~WP_043303240.1~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~WP_043303240.1~~~aminoglycoside~~~unknown MKYEWARTRRLSAALAVAAFVAAGCGKHESEHDAAAPREASVVTVKKTSVPLSVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKARAAHLAALDKRRRYDELVRDRAVSERDHTEALADERQAKAAVASARAELARAQLQLDYATVTAPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVESLRRAVKSGRAAGIAQQDVEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAVARDAILVPRDALLRTADSATVKVVGQNGKIRDVTVEAAQMKGRDWIVTRGLAGGERVVVVDAAQFEAGTTVKALERGAAEQPASGAAAASAPGRRST ->ARGMiner~~~macB~~~WP_058222782.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_058222782.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRHLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAEEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~iri~~~WP_042923498.1~~~rifamycin unknown +>ARGMiner~~~iri~~~WP_042923498.1~~~rifamycin~~~unknown MSDVIIVGAGPTGLMLAGELRLQGVDVVVVDKDEEPTQFVRALGIHVRSIEIMEQRGLLDKFLAHGHKYPLGGFFAGISKPAPAHLDTAHGYVLGIPQPEIDRILAEHATEVGADIQRGKRVVAIRQDTDSVTAELSDGTTLHARYLVGCDGGRSTVRKLIDVGFPGEPSSADTLIGEMDVTMPAGELAAVVAEIRETHKRFGVGPTGNGAFRVVVPAAEVADGRAVPTTLDDIKQQLLAIAGTDFGVHSPRWLSRFGDATRLAEHYRRDRVFLAGDAAHIHPPMGGQGLNLGVQDAFNLGWKLAAEFNGWAPDGLLDTYESERRPVAADVLDNTRAQAELISTAAGPQALRRLISELMEFEDVKRYLTEKITAISIRYDFGEGDDLLGRRLRNIALTRGNLYDLMRSGRGLLLDQGGQLSVDGWSDRADHIVDTSTELNAPAVLLRPDGHVAWVGDSQAELDTQLSTWFGRPATGPV ->ARGMiner~~~macA~~~CRL59467.1~~~macrolide unknown +>ARGMiner~~~macA~~~CRL59467.1~~~macrolide~~~unknown MAFLSLKKRGRILTLILIFAAIATYFFWPKEKAPIYQTQQITRGDLSKEVTATGKLDAVRKVDVGAQVSGQLQTLFVKEGDAVKKGDLLAIIDPKKAQNDVTESQETNNELRANLQQAQAELRLAQLTYQRQLKLIGTHAIAQDELDRTKTDVEVKKARIITYQAQIKKNQATLDTAKTNLQYTRITAPMDGIVTFIKTLEGQTVIAAQEAPTILTLADLDTMLVKAEVSEADVIYLKPDLSASFTVLGAPDKAFSGKLKDILPTPEKINDAIFYYARFEVPNEQHLLRLQMTAQVKILIENKKNVLLVPLSVLGDNVGINEYYVDVLVNGQPEKRTVKIGMRTDVYAEVLSGLKENDEVILGETSGEA ->ARGMiner~~~Escherichia coli ampC~~~AIW80382.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~AIW80382.1~~~cephalosporin;penam~~~unknown MAGRFVWKPDLMFKTTLCAFLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPPEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMARWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAAHPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~FosB~~~WP_003248442.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_003248442.1~~~fosfomycin~~~unknown MLIGGINHLTFSVSDLEKSICFYQHVFGAKLLVKGRNLAYFDLNGIWLALNVQQDIPRNEIQHSYTHIAFSVKEEDFDSAVQKLKELGVNILPGRQRDERDKRSVYFTDPDGHKFEFHTGSLNDRLSYYRSEKPHMQFFD ->ARGMiner~~~macB~~~WP_065696754.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_065696754.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLLRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSLSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mepA~~~WP_006191797.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~mepA~~~WP_006191797.1~~~glycylcycline;tetracycline~~~unknown MKDEQLYYFEKSPVFKAMMHFSLPMMIGTLLSVIYGILNIYFIGFLEDSHMISAISLTLPVFAILMGLGNLFGVGAGTYISRLLGAKDYSKSKFVSSFSIYGGIALGLIVILVTLPFSDQIAAILGARGETLALTSNYLKVMFLSAPFVILFFILEQFARAIGAPMVSMIGMLASVGLNIILDPILIFGFDLNVVGAALGTAISNVAAALFFIIYFMKNSDVVSVNIKLAKPNKEMLSEIFKIGIPAFLMSILMGFTGLVLNLFLAHYGNFAIASYGISFRLVQFPELIIMGLCEGVVPLIAYNFMANKGRMKDVIKAVIMSIGVIFIVCMIAVFTIGHHMVGLFTTDQAIVEMATFILKVTMASLLLNGIGFLFTGMLQATGQGRGATIMAILQGAIIIPVLFIMNALFGLTGVIWSLLIAESLCALAAMLIVYLLRDRLTVDTSELIEG ->ARGMiner~~~mdtH~~~WP_045285009.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045285009.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFVLCALFNGLFLPAWKLSRVKAPVREGLGRVLHDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEAALSLTLLYPIARWSERRFRLEHRLMAGLFLMTLSMMPIGLVNSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALQQPELPWMMLGVVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~BcI~~~WP_000438272.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_000438272.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTDVENLKGMMILKNKRMLKIGICVGILSLSLTSLEAFKGGPLQVEAKEKTGQVKHKHQATYKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSTEKLNEVITYTKEDLVDYSPVTEKHIDTGMTLGEIAEAAVRNSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPLHKRNILTGWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEMIVKALK ->ARGMiner~~~MexF~~~WP_054071736.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_054071736.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSKFFISRPIFAAVLSLLILIAGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVEGMLYMSSQATADGKLTLTITFALGTELDNAQVQVQNRVTRTEPKLPEEVTRIGITVDKASPDLTMVVHLTSPDQRYDMLYLSNYAVLNIKDELARLGGVGDVQLFGMGDYSLRVWLDPNKTASRNLTATDVVNAIREQNRQVAAGQLGSPPSPNATSFQMSINTQGRLVTEEEFENVVVRAGADGEITRLKDVARIELGSSQYALRSLLNNQPAVAIPIFQRPGSNAIDISNDVRARMAELKKSFPEGMDYSIVYDPTIFVRGSIEAVIHTLFEALILVVLVVILFLQTWRASIIPLVAVPVSLIGTFAVMHMFGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIELGLEPVQATHKAMAEVTGPIIATALVLCTVFVPAAFISGLTGQFYKQFALTIAISTVISAFNSLTLSPALAAVLLKGHDAPKDRFSRFLDRILGGWLFRPFNRFFEKASHGYVGTVARVIRSSGIALLVYAGLMVLTWLGFASTPTGFVPSQDKQYLVAFAQLPDAASLDRTEDVIKRMSELALKQPGVENAIAFPGLSINGFTNSPNNGVVFVALKPFEERKDPSLSANAIAGALNGQFASIQEAYMAIFPPPPVQGLGTIGGFRLQIEDRGNLGYDELYKETQNIIAKSRSVPELAGLFTSYTVNVPQVDAAIDREKAKTHGVAVSDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRQDADQIGQLKVRNNLGEMIPLATFVKVSDTAGPDRVMHYNGFITAEINGAAGPGFSSGQAQAAVEKLLREELPNGMVYEWTDLTYQQILSGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAIAGVMIAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQAEGMSPLDAVLEACRLRLRPILMTSFAFIMGVVPLVLSSGAGAEMRHAMGVAVFSGMLGVTFFGLLLTPVFYVLIRNYVERQEARKAARAQRLQNLPAEMH ->ARGMiner~~~sul2~~~WP_001043262.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_001043262.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARITALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~macA~~~WP_023335107.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_023335107.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISQQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~tolC~~~WP_001416883.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_001416883.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKKAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macA~~~WP_032104760.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032104760.1~~~macrolide~~~unknown MKVKGKRRTVWWLLAIVVLGLAIWGWRILNAPLPNYQTLVVRKGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLHVNIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQLNQARAESKLAQVTLARQQQLAQRQLVSRQDLDTAATDLAVKQAQIGTIEAQIKRNQATLDTAKTNLDYTRILAPMAGEVTQITTLQGQTVIAAQQAPNILTLADLSTMLVKAQVSEADVIHLRPGQKAWFTVLGDPLTRYEGKLKDILPTPEKVNDAIFYYARFEVSNPQGILRLDMTAQVHIQLAEVKNVITIPLSALGDAVGDNRYHVRLLRTGEVKEREVIIGARNDTDVAVVQGLEEGDEVIVGESASGAAK ->ARGMiner~~~MexF~~~WP_058528450.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_058528450.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MISKFFIERPVLANVIALLLVFLGAVAIVFLPVSQYPAIVPPTIQVTTNYPGADAKTLINTVALPIEQQVNGVENMLYMQSTSTNAGNYNLIVTFEIGTDLNYAQVLVQNRVQAAMAQLPDSVQRQGVVVQQKSTAILQFITLTSENNEYDGLFLNNYAVINMQDELSRLPGVGNVIIFGEGTYAMRIWLDPQKMMAYSLNPSDVINAISYQNKEVAAGQIGAPPTAGKEAYQFTVNVPGQLADPEEFANIIIKTENTKPDESGNASSSAQVVRIRDVARVELGSANYSQLAKLNNKPTAAIGIFQLPGANALEVAQNVRETVAKMAKQFPPGMTYSIPFDTTIFVKASVNEVYKTLFEAGILVLLVIVVFLQNFRATLVPATTVPVTIIGTFFVMMLLGFSINLLTLFALVLAIGIVVDDAIVIVEGVTQHIEKGLPPRESAIQAMKELFGPIIGITLVLMAVFIPAGFLPGLTGQMYAQFALIIAATALISAINAMTLKPTQCALWLKPLNASKPKNMFFRAFDRIYNPIEARYVNFIDRLVHHSKTVALIGAALVALAIFGLTRIPTGFIPLEDQGYLILNVLLPDGANLTRTEQVVDRLSQQVSKIDGVANVIGIDGISLLDNNASLANAGVLYVMFKDWSERGKGEDLLSLYTKLNDIAAHTLDAKVLVVVPPPIQGLGLSGGFQMQVELQDGTFDYGKLQNITDNIIHHGQQLPELQKLMTSFRAFVPQLLAPIDRYKAESLGVPIKDAFSTLQTYLGSSYVNLFTKFGQVFQVYVQADAPYRMSVEDIRNYYVKNQFGSMVPLGTLADMQPALGPSLISLYNLYPSSSINGVAAQGYSSGQAINAMEQLADKLLPKGMGFEWTSTAYQEKVAGNMSYYIFLMSLILVYLILAGQYENWLTPASIIFSVPLTLIGTVLALSILGIANNMYTQIGLLLLIALASKNAILIVEVANEQREIHQTSITEAAVIGAKTRFRPILMTSFAFIMGVMPLVFATGAGANARRSIGIAVSSGMLASTCLAVVFVPVFYVLLKNWQEKRLEKSASASKVAAGNK ->ARGMiner~~~sdiA~~~WP_017465550.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_017465550.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQENDFFTWRRAMLLRFQEMAAAEDVYTELQYQTQRLEFDYYALCVRHPVPFTRPKISLRTTYPQAWVTHYQSENYFAIDPVLKPENFRQGHLHWDDMLFHEAQAMWDAAQRFGLRRGVTQCVMLPNRALGFLSFSRSSLRCSSFTYDEVELRLQLLARESLSALTRFEDDMVMAPEMRFSKREKEILKWTAEGKTSSEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~Escherichia coli acrA~~~WP_053878783.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~Escherichia coli acrA~~~WP_053878783.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MNKNRGFTPLAVVLMLSGSLALTGCDDKQAQQGGQQMPAVGVVTVKTEPLQITTELPGRTSAYRIAEVRPQVSGIILKRNFKEGSDIEAGVSLYQIDPATYLATYDSAKGDLAKAQAAANIAQLTVNRYQKLLGTQYISKQEYDQALADAQQANAAVTAAKAAVETARINLAYTKVTSPISGRIGKSNVTEGALVQNGQATALATVQQLDPIYVDVTQSSNDFLRLKQELANGTLKQENGKAKVSLITSDGIKFPQDGTLEFSDVTVDQTTGSITLRAIFPNPDHTLLPGMFVRARLEEGLNPNAILVPQQGVTRTPRGDATVLVVGADDKVETRPIVASQAIGDKWLVTEGLKAGDRVVISGLQKVRPGVQVKAQEVTADNNQQAASGAQPEQSKS ->ARGMiner~~~macB~~~WP_004387938.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_004387938.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGETDVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLNDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVGYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDAARLPAQPQDAPALGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSSEAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~CTX-M-3~~~AIC64380.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64380.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDCAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~msbA~~~WP_042856953.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_042856953.1~~~nitroimidazole~~~unknown MIKRYLQLVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~EXO beta-lactamase~~~WP_023417831.1~~~penam unknown +>ARGMiner~~~EXO beta-lactamase~~~WP_023417831.1~~~penam~~~unknown MHPSTSRPSRRTLLTATAGAALAAATLVPGTAHASSDGRGRGRGSGSASDAERRLARLERASGARLGVYAYDTGSGRAVAYRADELFPMCSVFKTLSSAAVLRDLDRNGEFLSRRIFYTQDDVDRAGGAPETGKAENLANGMTVEELCEVSITASDNCAANLMLRELGGPTAVTRFARSLGDRVTRLDRWEPELNSAEPGRVTDTTSPRAITRTYGRLALGDALNPRHRRLLTSWLLANTTSGDRFRAGLPDDWTLGDKTGAGRYGTNNDAGVTWPPGRPPIVLTVLTAKTGEDAVRDDGLVADAARVLAETLG ->ARGMiner~~~Serratia marcescens Omp1~~~WP_021558857.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_021558857.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MMKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYVRLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEAQPLGNGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNTSGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVGSDDTVAVGIVYQF ->ARGMiner~~~mefA~~~WP_033826817.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_033826817.1~~~macrolide~~~unknown MEKYNNWKLKFYTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVALYMELPIWMVMVVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWELNAIIAIDVLGAMIASITVAIVRIPKLGDQVQSLKPNFIREMKEGMAVLRQNKGLFALLLVGTLYMFVYMPINALYPLITMEYFNGTPMHISITEIAYASGMLIGGLLLGLFGNYQKRILLITASIFMMGISLTISGLLPQSGFFIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFIADRIGVNHWFLLSGILIIGIAIVCPMITEIRKLDLKQNS ->ARGMiner~~~vanRD~~~WP_023042748.1~~~glycopeptide unknown +>ARGMiner~~~vanRD~~~WP_023042748.1~~~glycopeptide~~~unknown MNEKILVVDDEKELADLVEVYLKNDGYTVYKFYNGKDALKCIESVELDLAILDIMLPDVDGFQICQKIREKFYFPVIMLTAKVEDGDKIMGLSVADDYITKPFNPLEVVARVKAQLRQYMRYKQPSLKQEAECTEYDIREMTISKSSHKCILFGKEIQLTPTEFSILWYLCERQGTVVSTEELFEAVWGERFFDSNNTVMAHIGRLREKMKEPSRNPKFIKTVWGVGYTIEK ->ARGMiner~~~macB~~~WP_063435808.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_063435808.1~~~macrolide~~~unknown MTALLELKDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLNAAQNVEVPAVYAGVERKKRLERAQMLLTRLGLAERVEYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRESRAAAPKEVLPASTGWGQFTSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRVGNVDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKANVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYTTISGRIMGQSWLNSITVRVKEGYDSAMAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPVAIITAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~TEM-1~~~ANG09531.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG09531.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVVLIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~hmrM~~~WP_001362592.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001362592.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAGAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~acrB~~~WP_010835863.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_010835863.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~OXY-5-1~~~ADI82848.1~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~OXY-5-1~~~ADI82848.1~~~monobactam;cephalosporin;penam~~~unknown MLKSSWRKTALMAAAVPLLLASGSLWASADAIQQKLADLEKHSGGRLGVALINTADDSQTLYRGDERFAMCSTGKVMAAAAVLKQSESNPEVVNKRLEIKKADLVVWSPITEKHLQSGMTLAELSAAALQYSDNTAMNKIIGYLGGPEKVTAFAQSIGDVTFRLDRMEPALNSAIPGDERDTTTPLAMAESLRKLTLGNALGEQQRAQLVTWLKGNTTGGQSIRAGLPASWAVGDKTGAGDYGTTNDIAVIWPENHAPLVLVTYFTQPQQDAKSRKEVLAAAAKIVTEGL ->ARGMiner~~~norB~~~WP_003728682.1~~~fluoroquinolone unknown +>ARGMiner~~~norB~~~WP_003728682.1~~~fluoroquinolone~~~unknown MTSTAYKGTNKLIVGIVFGVITFWLFAQSMVNIVPAVQSDLGISSDLLSIAISLTALFSGIFIVVAGGMADKFGRVKLTYIGLILSIIGSLLLVVTQGSTLLIIGRIIQGLSAACIMPATLALMKTYFEGADRQRALSYWSIGSWGGSGICSFAGGAIATYMGWRWIFIISIVFALLGMLLIKGTPESKVVQNTKAKFDSFGLVLFVIAMVCLNLIITRGATFGWTSPITITMLVVFLISAGLFFRVELRQANGFIDFSLFKNKAYTGATLSNFLLNAAAGTLVVANTYVQIGRGFTAFQSGLLSIGYLVCVLGMIRIGEKILQRVGARKPMILGSGITAVGIALMALTFIPGTLYTVLVFVGFALFGIGLGMYATPSTDTAISNAPEDKVGVASGIYKMASSLGGSFGVAISATIYGVIALSGNIDLAAMVGLLTNVGFCVVSLISVAITTPSAKKALELKAAKE ->ARGMiner~~~macB~~~WP_001614493.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001614493.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMALLHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~nalC~~~WP_033984356.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_033984356.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFQSFYEQGPQQSYLLLSERLAAVAPHMDDETLYAVACQFLEMLKADLFLKALSVADFQPTMTLLETRLKLSVDIIACYLEHLSQSPAQG ->ARGMiner~~~hmrM~~~WP_001682922.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001682922.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTISLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~tetX~~~AIW80589.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AIW80589.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADEKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLTFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMQPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~macB~~~WP_024231081.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024231081.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPHVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_032233162.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032233162.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVPHDPQVAAQAERVIEIRDGEIVRNPPAVEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_007792257.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_007792257.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGETDVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLSDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAKIRPWRARRGQARARELLARLGLEARVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDAGGLPAQPQDAPAFGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRNKVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAGEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSGEAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIALALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~MexB~~~WP_033060930.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_033060930.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSRFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAIQVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLMVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKTAIAAQNVQVSSGQLGGLPAVAGQQLNATIIGKTRLQTAEQFKAILLKVNKDGSQVRVGDVADVGLGGENYSISAQFNGSPASGLAVKLANGANALDTAKALRKTIETLKPFFPEGMEVVFPYDTTPVVTESIKGVIETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGAPKRGFFGWFNRNFDRGVKSYERGVGNMLANKAPYLLAYLIILVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSAQRTQVVVDDMREFLLRPSKDGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLRPWDERNADNSVFKVAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHDKLMAARNQFLGMAAQSKVLSQVRPNGLNDEPQYQLEIDDEKASALGITLTDINNTLSIALGSSYVNDFIDRGRVKKVYVQGQPGARMSPEDVKKWYVRNKAGTMVPFSAFAKGEWIYGAPKLSRYNGVEAMEILGAPAPGYSTGEAMLEVEALAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAVEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATILAIFWVPLFFVTVSAMGQRKTADQDDAIEPSKEAG ->ARGMiner~~~BcI~~~WP_025150561.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_025150561.1~~~cephalosporin;penam~~~unknown MEQTCYLECLLYVRIKTGVQMGYSVLNLIFIFSIKNLKGMIFLKNKRLLKIGICAGILGLGLSSLEIFTGGSLQVEAKEKTGQVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAYASTYKALAAGVLLQQNSINKLNEVITYTKEDLVEYSPITEKNVGTGMKLGEIAEAAVRSSDNTAGNLLFNKIGGPKGYEKALRQIGDRVTMAERFETELNEATPGDIRDTSTAKTLATNLKEFTVGNALPADKRKILTDWMKGNATGDKLIRAGVPTDWEVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSRDEKEATYNNELIAQAAEVVTNALR ->ARGMiner~~~sdiA~~~WP_001154286.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001154286.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMETAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIAPVLNPENFSQGHLMWNDDLFNEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILRWTAEGKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~macB~~~WP_029038923.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_029038923.1~~~macrolide~~~unknown MTALLELRAIRRSYPSGETDVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVAGVDVATLNDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVGYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDAARLPAQPQDAPALGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQLWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAEEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSSEAEQQLTRLLTLRHGKKDFFTWNMDGVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIAFALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~BcI~~~WP_002189645.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_002189645.1~~~cephalosporin;penam~~~unknown MEQTCFLDGLLCVRIKTGFQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGLCVGILGLSLTSLEVFTGGALQVEAKEKTGPVKHKNHATYKEFSQLEKKFDARLGVYAIDTGTNRTIAYRPNERFAFASTYKALAAGVLLQQNSIDKLNEVITYTKDDLVEYSPITEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQMGDRVTMADRFEPELNEATPGDIRDTSTAKAIATNLKAFTVGNALPADKRKVLTEWMKGNATGDKLIRAGVPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEAAYDNQLIAEATEVIVKALK ->ARGMiner~~~sul1~~~WP_031975674.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul1~~~WP_031975674.1~~~sulfonamide;sulfone~~~unknown MLRSRVTVFGILNLTEDSFFDESRRLAPAGAVTAAIEMLRVGSDVVDVGPAASHPDARPVSPADEIRRIAPLLDALSDQMHRVSIDSFQPETQRYALKRGVGYLNDIQGFPDPALYPDIAEADCRLVVMHSAQRDGIATRTGHLRPEDALDEIVRFFEARVSALRRSGVAADRLILDPGMGFFLSPAPETSLHVLSNLQKLKSALGLPLLVSVSRKSFLGATVGLPVKDLGPASLAAELHAIGNGADYVRTHAPGDLRSAITFSETLAKFRSRDARDRGLDHA ->ARGMiner~~~mdtH~~~WP_012367855.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_012367855.1~~~fluoroquinolone~~~unknown MALVTQARTLGKYFLLLDNMLVVLGFFVVFPLISIRFVEQLGWAGVIVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIITGMLLRALGFALMALADKPWILWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLLYDFHLVCWVGAGIFVLAAIFNAWLLPAYRISTTRTPIKEGLKRVILDKRFVQYVLTLTGYFVLSVQVMLMFPIVVNEIAGTPSAVKWMYAIEALLSLTLLYPIARWSEKHFRLEQRLMAGLFLMSISMFPVGITHSLHAIFLIITLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWMYDIGKQLELPELPWFLLGSIGFITLYALHRQFNRKKIETAMLTP ->ARGMiner~~~adeA~~~WP_002036787.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~WP_002036787.1~~~glycylcycline;tetracycline~~~unknown MTAQRFIIKSLFEGDPETGDVTFRIEVNNTERKLLPGMYVRVNIDRASIPQALLVPAQAIQPNISGEPQVYVINAQGTAEIRPIEIGQQYEQFYIANKGLKVGDKVVVEGIERIKPNQKLALAAWKAPAVANHASSVETKTSIAEGAQP ->ARGMiner~~~BcI~~~WP_000438269.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_000438269.1~~~cephalosporin;penam~~~unknown MEQTCFLDCQLCVRIKTGVQIGYSVLNLLLVFSIENLKGMMILKNKRMLKIGICVGILGLSLTSLEAFTGGSLQVEAKEKNGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRHNERFAFASTYKALAAGVLLQQNSIDTLNEVIKFTKEDLVEYSPVTEKHVDTGMTLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRQIGDRVTMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPDHKRKVLTEWMKGNATGDKLIRAGMPTDWIVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATEVIVKSLK ->ARGMiner~~~mdtH~~~WP_023332685.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_023332685.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAVAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCAAGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERHFRLEHRLMAGLFLMTLSMMPIGLVSSLQQLFTLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAFGGALGYTGGGWLFDAGKALNQPELPWMMLGAVGFMTLLALWWQFSQKRSASGMLEPGA ->ARGMiner~~~FOX-4~~~WP_059111513.1~~~cephalosporin;cephamycin unknown +>ARGMiner~~~FOX-4~~~WP_059111513.1~~~cephalosporin;cephamycin~~~unknown MDLIYACARIDCASHGVGVTYIHHETHQMEKATTRSLLVLSTLLLSPLSHAKEAEPLTAVVDGAIQPLLKEHRVPGMAVAVLKEGKAHYFNYGVAARESGARVSEQTLFEIGSVSKTLTATLGAYAAVKGGFQLEDKASQHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVDSTDKMHAYYRQWTPAYPAGTHRQYSNPSIGLFGHLAANSLGQPFEQLMSQTLLPKLGLHHTYIQVPESAMANYAYGYSKEDKPVRVSPGMLAAEAYGIKTGSADLLKFVEANMGYQGDAAVTSAIALTHTGFHSVGGMTQGLGWESYAYPVTEQVLLAGNSPVVSFQANPVTRFAVPKAMGEQRLYNKTGSTGGFGAYVAFVPAKGIGIVMLANRNYPNEARIKAAHAILEALAAE ->ARGMiner~~~mdtH~~~WP_006809273.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_006809273.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQFVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWLLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLFLPAWKLSTVKAPVREGLDRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPAAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLLMTLSMMPIGLVSSLQQLFMLICTFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWLFDAGKALHQPELPWVMLGMVGFMTLIALWWQFSDKRSTRGMLEPGA ->ARGMiner~~~AAC(6')-Ib7~~~M23634.1.gene1.p1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib7~~~M23634.1.gene1.p1~~~aminoglycoside~~~unknown MSIQHFQRKLGITKYSIVTNSNDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQLLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRRFA ->ARGMiner~~~smeE~~~WP_049421391.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_049421391.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGGLALFKLPVSMYPNVAPPAVEISATYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIAFVSENGSMDANDIADYVGSNVVDRLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYGLSVAEVTAAVKAQNAQVAIGQLGGAPSIKGQQLNATINAQSRLQTPEQFRNIIVRGAQDGAELRLGDVARVELGAESYDFVTRYNGQPASGLAVTLATGANALDTAAGVDAALQDMKGFFPAGLKAEIPYDTTPFVRVSIKGVVQTLIEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAMLGFSVNMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHKGWSGRFFNGFNRGFDRTSESYQRGVRGIIHRPWRFMGIVAALFVLMGVLFVRLPSSFLPNEDQGVLMALVQAPVGATQERTLESIAALENHFLQNEKDAVDSVFSVQGFSFAGMGQNAGMAFVKLKDWSERDADNGVMPITGRAMAALGQIKDAFIFAFPPPAIPELGTASGYTFFLKDNSGQGHEALVAARNQLLGLAAGSKKLANVRPNGQEDTPQFRIDIDAAKATSLGLSIDQINGTLAAAWGSSYIDDFVDRGRVKRVFVQADQPFRMVPEDFDLWSVKNDKGEMVPFSAFATKHWDYGSPRLERYNGVSAMEIQGEPAPGVASGDAMAEIEQLAKQLPAGFGIEWTAMSYQERQAGSQTPLLYTLSLMIVFLCLAAMYESWSVPTAVLLAAPLGILGAVLANTFKGLERDIYFQVAMLTTVGLTSKNAILIVEFAKENLEKGASLIESIMHAVRDRLRPIVMTSLAFGMGVVPLAISTGAGSGAKQAIGTGVLGGMIVGTVLGVFFVPLFFVVVQRVFKRKSTT ->ARGMiner~~~macA~~~WP_044305897.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_044305897.1~~~macrolide~~~unknown MKKKVILIILIAILGSVGAYFIFFNNDEKISYLTQKIQKKDISQTIEAVGKVYAKDQVDVGAQVSGQIIKLYVDVGTHVKQGDLIAQIDKDKQQNDLDITKAQLESAKANLESKKVALEIANKQYQREQKLYAAKASSLENLETQKNNYYTLKANVAELNAQVVQLEITLKNAQKDLGYTTITAPMDGVVINVAVDEGQTVNANQNTPTIVRIANLDEMEVRMEIAEADVSKIKVGTELDFSLLNDPQKTYHAKIASIDPADTEVSDSSTSSSSSSSSSSSSSSSNAIYYYAKFYVANKDDFLRIGMSIQNEIVVASAKAVLAVPTYAIKSDPKGYYVEILENQKAVKKYVKLGIKDSINTQILEGVNENEELIVSSSADGLAPKMKLRF ->ARGMiner~~~tolC~~~WP_023291030.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023291030.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDYKDQNSNVTSGSLQLTQVLFDMSKWRALTLQEKTAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTSKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLNASSGVSNNRYSGSNSITQDADIGQNKIGLSFSMPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKPISTSADSVAPENPQQDATADGYGNTTAAVKPASARTTTQSSGSNPFRQ ->ARGMiner~~~AAC(6')-31~~~WP_057228652.1~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-31~~~WP_057228652.1~~~aminoglycoside~~~unknown MHTNHGSVTLRLMTEQDLPMLHDWLNRPHIVEWWGGEEARPTLAEVQQEYRPSALAKESVTPYIAMLDNEPMGYAQSYVALGSGNGWWEDETDPGVRGIDQSLADPSQLGKGLGTKLVRALVEMLFNDPEVTKIQTDPSPDNLRAIRCYEKAGFVPQQTIDTPDGPAVYMVQTRRAFELARGAV ->ARGMiner~~~emrA~~~WP_024748482.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_024748482.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQQMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTMPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~mgrA~~~WP_031771070.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_031771070.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSDQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLTILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTDKSETIRPELSNASDKVASASSLSQDEVKELNRLLGKVIHAFDETKVKIINFCHDN ->ARGMiner~~~mefA~~~WP_024832225.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_024832225.1~~~macrolide~~~unknown MEKYNWKLKFFTIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFLPYAVFGPAIGVLVDRYDRKKIMIGADLIIAAAGAALAIVDLYMELSVWMVMVVLFIRSLGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYILSPAVAALLYSVWELNAIITIDVLGAVIASLTVALVNIPKLKVEEKSLKQNFIGEMKEGFLVLKDNKGLFALLLIGTLYMFVYMPINALYPLITMEYFNGTPMHISITEIAYASGMMAGGLILGLFGNYKKRILLITASIFMMGISLTISGLLPPSGFLIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLTGSIMSLAMPIGLILSGFFADRIGVNHWFLLSGILIICIAIVCPMITEIRKLDAK ->ARGMiner~~~emrB~~~WP_046595174.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_046595174.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSSVAFAAASWACGVSSGLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASVPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESVTAYNPNAQAMYDKLEGLGMTHQQASGWIPQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mfpA~~~WP_057316162.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_057316162.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLTLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTASLVGGRVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~macB~~~WP_064180366.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_064180366.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSINAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLNGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHDLLVRLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIIEIRDGEIVRNPPGSRQGGGLRARQQPEPSAWSQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKANVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSILKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~tet(C)~~~WP_059443281.1~~~tetracycline unknown +>ARGMiner~~~tet(C)~~~WP_059443281.1~~~tetracycline~~~unknown MNKTKGCLIANFATVPACAPVLGALSDRFGRRPVLLVSLAGAAVDYAIMATAPFLWVLYIGRIVAGITGATGAVAGAYIADITDGDERARHFGFMSACFGFGMVAGPVLGGLMGGFSPHAPFFAAAALNGLNFLTGCFLLPESHKGERRPLRREALNPLASFRWARGMTVVAALMAVFFIMQLVGQVPAALWVIFGEDRFHWDATTIGISLAAFGILHSLAQAMITGPVAARLGERRALMLGMIADGTGYILLAFATRGWMAFPIMVLLASGGIGMPALQAMLSRQVDEERQGQLQGSLAALTSLTSIVGPLLFTAIYAASITTWNGWAWIAGAALYLLCLPALRRGLWSGAGQRADR ->ARGMiner~~~OprN~~~WP_031669776.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_031669776.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHAQSIRSGLASALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVVTADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~mdtM~~~WP_001662551.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol unknown +>ARGMiner~~~mdtM~~~WP_001662551.1~~~fluoroquinolone;lincosamide;nucleoside;acridinedye;phenicol~~~unknown MPRFFARHAATLFFPMALILYDFAASLSTDLIQPGIINVVRDFNADVSLAPAAVSLYLAGGMALQWLLGPLSDRIGRRPVLITGALIFTLACAATMFTTSMTQFLIARAIQGTSICFIATVGYVTVQEAFGQTKGIKLMAIITSIVLIAPIIGPLSGAALMHFVHWKVLFAIIAVMGFISFVGLLLAMPETVKRGAVPFSAKSVLRDFRNVFCNRLFLFGAATISLSYIPMMSWVAVSPVILIDAGGLTTSQFAWTQVPVFGAVIVANAIVARFVKDPTEPRFIWRAVPIQLVGLALLIIGNLLSPHVWLWSVLGTSLYAFGIGLIFPTLFRFTLFSNNLPKGTVSASLNMVILMVMSVSVEIGRWLWFNGGRLPFHLLAVVAGVIVVFTLAGLLNRVRQHQAAELAEER ->ARGMiner~~~Escherichia coli ampC~~~WP_001531742.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001531742.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLLFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~acrE~~~WP_017145332.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_017145332.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTRHVRVTLLSSLIIPALLLSGCDDSGDRQPHAQIPQVSVYVVNSAPLSVTTELPGRTSAYRVAEVRPQVSGIILHRNFVEGSDVAAGQSLYQIDPATYQAAYNSAKGDEAKAEAAAAIAHLTVKRYAPLLGTKYISQQEYDQAVATARQADADVIATKAAVESARIDLAYTKVTSPIGGRIGKSSVTEGALVTNGQADAMATIQQLDPIYVDVTESSNDFMRLKQESLQQGSGTKSVQLIMENGQPYALRGTLQFSDVTVDESTGSITLRAIFPNPQHALLPGMFVRARIDEGISPDAILVPQQGVTRTPRGDASVMLVNDKNQVETRPVTASQAIGDKWLITSGLKAGEKVIVSGLQKVRPGVTVKAEEDTATTVAQ ->ARGMiner~~~macA~~~WP_013198959.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_013198959.1~~~macrolide~~~unknown MPKIKPIKLVIIIVCIVIIALLAWKFFKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGEDTKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKASSTPEAAKKSQGNGARLERLNLTNEQKQLIEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~mdtB~~~WP_033545482.1~~~aminocoumarin unknown +>ARGMiner~~~mdtB~~~WP_033545482.1~~~aminocoumarin~~~unknown MQVLPPSSTGGPSRLFIMRPVATTLLMVAILLAGIIGYRALPVSALPEVDYPTIQVVTLYPGASPDVMTSAVTAPLERQFGQMSGLKQMSSQSSGGASVITLQFQLTLPLDVAEQEVQAAINAATNLLPSDLPNPPVYSKVNPADPPIMTLAVTSTAMPMTQVEDMVETRVAQKISQISGVGLVTLSGGQRPAVRVKLNAQAIAALGLTSETVRTAITGANVNSAKGSLDGPSRAITLSANDQMQSAEEYRQLIIAYQNGAPIRLGDVATVEQGAENSWLGAWANKEQAIVMNVQRQPGANIISTADSIRQMLPQLTESLPKSVKVTVLSDRTTNIRASVDDTQFELMMAIALVVMIIYLFLRNIPATIIPGVAVPLSLIGTFAVMVFLDFSINNLTLMALTIATGFVVDDAIVVIENISRYIEKGEKPLAAALKGAGEIGFTIISLTFSLIAVLIPLLFMGDIVGRLFREFAITLAVAILISAVVSLTLTPMMCARMLSQESLRKQNRFSRASEKMFDRIIAAYGRGLAKVLNHPWLTLSMALSTLLLSVLLWVFIPKGFFPVQDNGIIQGTLQAPQSSSFANMAQRQRQVADVILQDPAVQSLTSFVGVDGTNPSLNSARLQINLKPLDERDDRVQKVIARLQTAVDKVPGVDLFLQPTQDLTIDTQVSRTQYQFTLQATSLDALSTWVPQLMEKLQQLPQLSDVSSDWQDKGLVAYVNVDRDSASRLGISMADVDNALYNAFGQRLISTIYTQANQYRVVLEHNTENTPGLAALDTIRLTSSDGGVVPLSSIAKIEQRFAPLSINHLDQFPVTTISFNVPDNYSLGDAVQAIMDTEKTLNLPVDITTQFQGSTLAFQSALGSTVWLIVAAVVAMYIVLGILYESFIHPITILSTLPTAGVGALLALMIAGSELDVIAIIGIILLIGIVKKNAIMMIDFALAAEREQGMSPREAIYQACLLRFRPILMTTLAALLGALPLMLSTGVGAELRRPLGIGMVGGLIVSQVLTLFTTPVIYLLFDRLALWTKSRFARHEEEA ->ARGMiner~~~macB~~~WP_001412903.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001412903.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPWKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~fexA~~~WP_000711098.1~~~phenicol unknown +>ARGMiner~~~fexA~~~WP_000711098.1~~~phenicol~~~unknown MKKDSKSKEMIQSEKRGSTRLLMMVLSLSVLVAAITVDLVNPVLPLISKDLEASKSQVSWIVSGIALVLAIGVPIYGRISDFFELRKLYIFAIMILASGSLLCAIAPNLPLLVLGRMVQGAGMSAIPVLSVIAISKVFPQGKRGGALGIIAGSIGVGTAAGPIFGGVVGQYLGWNALFWFTFLLAIMIVIGAYYALPTIKPAESVGSNKNFDFIGGLFLGLTVGLLLFGITQGETSGFSSFSSLTSLIGSVVALVGFIWRIVTAENPFVPPVLFNNKDYVNTVIIAFFSMFAYFAVLVFVPLLVVEVNGLSSGQAGMILLTGGVAVAILSPFVGRLSDRFGDKRLIITGMTLMGLSTLFLSTYASGASPLLVSVGVLGVGIAFAFTNSPANNAAVSALDADKVGVGMGIFQGALYLGAGTGAGMIGALLSARRDATEPINPLYILDAMSYSDAFLAATGAILIALIAGLGLKKRG ->ARGMiner~~~mdtG~~~WP_045717936.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_045717936.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGMADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYDFRAVFCVTAGVVLFNAIYSWNSLRRRRLAIE ->ARGMiner~~~MexE~~~WP_033982913.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_033982913.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MEQSSHFSWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKSEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMQVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~macB~~~WP_032230971.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_032230971.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVTGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPAGNAARLDPVDALARE ->ARGMiner~~~emrA~~~WP_054445817.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_054445817.1~~~fluoroquinolone~~~unknown MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNVWLALERTRIISPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG ->ARGMiner~~~arnA~~~WP_000860311.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_000860311.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLSAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKALPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~lsaA~~~WP_048947239.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaA~~~WP_048947239.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSKIELKQLSFAYDNQEALLFDQANITMDTNWKLGLIGRNGRGKTTLLRLLQKQLDYQGEILHQVDFVYFPQTVAEEQQLTYYVLQEVTSFEQWKLERELTLLNVDPEVLWRPFSSLSGGEKTKVLLGLLFIEENAFPLIDEPTNHLDLAGRQQVAEYLKKKKHGFILVSHDRAFVDEVVDHILAIEKSQLTLYQGNFSIYEEQKKLRDAFELAENEKIKKEVNRLKETARKKAEWSMNREGDKYGNAKEKGSGAIFDTGAIGARAARVMKRSKHIQQRAETQLAEKEKLLKDLEYIDSLSMDYQPTHHKTLLLTVEELRLGYEKNWLFAPISFSINAGEIVGITGKNGSGKSSLIQYLLDNFSGDSEGEATLAHQLTISYVRQDYEDNQGTLSEFAEKNQLDYTQFLNNLRKLGMERAVFTNRIEQMSMGQRKKVEVAKSLSQSAELYIWDEPLNYLDVFNHQQLEALILSVKPAMLVIEHDAHFMKKITDKKIVLKS ->ARGMiner~~~bacA~~~CDN83808.1~~~peptide unknown +>ARGMiner~~~bacA~~~CDN83808.1~~~peptide~~~unknown MMSDMHSLLIAAILGVVEGLTEFLPVSSTGHMIIVGHLLGFEGDTAKTFEVVIQLGSILAVVVMFWRRLFGLIGIHFGRPLQHEGENKGRLTLIHILLGMIPAVVLGLLFHDTIKSLFNPINVMYALVVGGLLLIAAECLKPKEPRAPGLDDMTYRQAFMIGCFQCLALWPGFSRSGATISGGMLMGVSRYAASEFSFLLAVPMMMGATALDLYKSWGFLTTGDIPMFAVGFITAFVVALIAIKTFLQLIKRISFIPFAIYRFIVAAAVYVVFF ->ARGMiner~~~nalC~~~WP_034024589.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_034024589.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIGEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQG ->ARGMiner~~~mdtH~~~WP_045340730.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045340730.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIHFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCFLSGLGGTLFDPPRSALVVKLIRPEQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAILFILCALFNAWLLPAWKLSTVRTPVREGMRRVMSDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDIAGSPAAVKWMYAIEACLSLTLLYPIARWSEKRFRLEHRLMAGLLVMSLSMIPIGMVGNLQQLFTLICAFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDMGKALAQPELPWMMLGIIGFITFLALGWQFSHKRTPRRMLEPGA ->ARGMiner~~~emrB~~~WP_054177682.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_054177682.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPITGWLAKRVGEVKLFLWSTVAFVIASWACGVSNSLTMLIFFRVIQGVVAGPLIPLSQSLLLSNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVVVVLMTLQTLRNRETRTEQRRIDGIGLALLVVGIGSLQIMLDRGKELDWFNSTEVVTLTIVAVVAISFLVVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGVIPVLLSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNREAMHHAQLTESVNPFNPNAQQTYDQLQGMGMTQQQASGWIAQQITDQGLIISANEIFWISAGIFLVLLGLVWFARPPFGAGGGGGGAH ->ARGMiner~~~hmrM~~~WP_001174935.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174935.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRACSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSVIILQRASR ->ARGMiner~~~tolC~~~CDV58218.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~CDV58218.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MQMKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNETSASLQLTQTLFDMSKWRGLTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLTASTGISDTSYSGSKTNAAQYDDSNMGQNKIGLNSSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYDAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAADGYNVHSAAPAVQPTAARANSNNGNPFRH ->ARGMiner~~~mdtH~~~WP_032314406.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_032314406.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVRTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAIGYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~tolC~~~WP_000735340.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735340.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPLFVSAALGTLSSAVWAENLAEIYNQAKENDPQLLSVAAQRDAAFEAVTSSRSALLPQINLTAGYNINRSNRDSRDSDTLSAGVGFSQELYQRSSWVSLDTAEKKARQADSQYAATQQGLILRVAKAYFEVLRAQDNLEFVRAEKAAVGRQLEQTKQRFEVGLSAITDVHDAQAQFDGVLADEVLAENSLTNSYEALREITGQEYSKLAVLDTKRFAASRTTESSEALIEKAQQQNLSLLAARISQDVARDNISLASSGHLPSLTLDGGYNYGNNSNDNAKNTSGEEYNDFKIGVNLKVPLYTGGNTTSLTKQAEFAYVAASQDLEAAYRSVVKDVRAYNNNINASIGALRAYEQAVISAKSALEATEAGFDVGTRTIVDVLDATRRLYDANKNLSNARYDYILSVLQLRQAIGTLSEQDVMDVNAGLKVAKK ->ARGMiner~~~Escherichia coli ampC~~~WP_001395401.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001395401.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYVWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVDAAWQILNALQ ->ARGMiner~~~patB~~~WP_003734834.1~~~fluoroquinolone unknown +>ARGMiner~~~patB~~~WP_003734834.1~~~fluoroquinolone~~~unknown MSQFDEVIPRIGTNSEKWDGAEELFGRKNIIPMWVADMDFRAPQPVLDAFQRQIDHGIFGYSTKSAALVEAIIDWNKEQHQFEIDPSALFFNGAVVPTISLAIRSLTNEGDAVLMVSPIYPPFFNVTKATERKVVMSPLIYENRQYRMDFNDLEKRMKEEKVKLFLLCNPQNPGGRCFTKEELVELAKLCEKYQIPIVSDEIHADLVMKNHKHVPMMVAAPFYQDQIITLMAATKTFNLAAIKASYYIITNKDYQAKFAAEQKYATTNGLNVFGIVGTEAAYRHGVPWLKELKEYIYSNYEYVKAALEKEVPEVGVTDLEATYLMWLDCRALPKDEKTIYADLIEAGVGVQMGSGFGHSGKGFVRFNIACPKETLEKAVKLLIQGLKK ->ARGMiner~~~Escherichia coli ampC~~~WP_048267006.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_048267006.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSDNIIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVDAAWQILNALQ ->ARGMiner~~~vanXB~~~WP_010815294.1~~~glycopeptide unknown +>ARGMiner~~~vanXB~~~WP_010815294.1~~~glycopeptide~~~unknown MENGFLFLDEMLHGVRWDAKYATWDNFTGKPVDGYEVNRIIGTKAVALALREAQIHAAALGYGLLLWDGYRPKSAVDCFLRWAAQPEDNLTKEKYYPNIERAELITKGYVASQSSHSRGSTIDLTLYHLDTGELVSMGSNFDFMDERSHHTAKGIGNAAAQNRRCLRKIMESSGFQSYRFEWWHYKLINEPYPDTYFNFAVS ->ARGMiner~~~MexB~~~WP_044461602.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_044461602.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGSMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKAVKNFLLVIGVVSEDGSMSKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKNAVAAQNVQVSSGQIGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNADGSQVRLGDVADVALGGENYSVSAQFNGAPASGLAVKLANGANALDTAKALRKTISDLEPFFPQGMKVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAAGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMAEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITVVSAMALSVLVALIFTPALCATMLKAIPHGEHAAPKKGFFGWFNRNFDRSVRSYERGVGNILRHKAPYLLAYVLIVVGMIWLFTRIPSAFLPEEDQGVLFAQVQTPAGSSAERTQVVVDEMRAYLLDKEKDTVASVFTVNGFNFAGRGQSSGMAFIMLKPWGERSAENNVFNLAARAQQHFFSFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKILSQVRPNGLNDEPQYQLEIDDEKASALGVTISDINNTLSIALGSSYVNDFIDRGRVKKVYIQGQPGARMSPEDLKKWYVRNSAGTMVPFSSFAKGTWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEAIAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALLATSLRGLSNDVYFQVGLLVTIGLAAKNAILIVEFAKELHEQGRSLIDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMLTATVLAIFWVPLFFVTVSSMGRRNVDEPETTETPKEAGQ ->ARGMiner~~~macA~~~WP_025756035.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_025756035.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTRTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVISENLPGAAK ->ARGMiner~~~Escherichia coli mdfA~~~EMU05763.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~EMU05763.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MFPLALVLFEFAVYIGNDLIQPAMLAITEDFGVSATWAPSSMSFYLLGGASVAWLLGPLSDRLGRKKVLLSGVLFFALCCFLILLTRQIEHFLTLRFLQGIGLSVISAVGYAAIQENFAERDAIKVMALMANISLLAPLLGPVLGAFLIDYVSWHWGFVAIALLALLSWVGLKKQMPSHKVSVTKQPFSYLFDDFKKVFSNRQFLGLTLALPLVGMPLMLWIALSPIILVDELKLTSVQYGLAQFPVFLGLIVGNIVLIKIIDRLALGKTVLIGLPIMLTGTLILILGVVWQAYLIPCLLIGMTLICFGEGISFSVLYRFALMSSEVSKGTVAAAVSMLLMTSFFAMIELVRYLYTQFHLWAFVLSAFAFIALWFTQPRLALKREMQERVAQDLQLKNTGNLYSGIFLFYLISCSKSLPFKLMSSGKVSNNKLICFKTSV ->ARGMiner~~~mdtE~~~WP_021536627.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtE~~~WP_021536627.1~~~macrolide;fluoroquinolone;penam~~~unknown MNRRRKLLIPLLFCGAMLTACDDKSAENAAAMTPEVGVVTLSPGSVNVLSELPGRTVPYEVAEIRPQVGGIIIKRNFIEGDKVNQGDSLYQIDPAPLQAELNSAKGSLAKALSTASNARITFNRQASLLKTNYVSRQDYDTARTQLNEAEANVTVAKAAVEQATINLQYANVTSPITGVSGKSSVTVGALVTANQADSLVTVQRLDPIYVDLTQSVQDFLRMKEEVASGQIKQVQGSTPVQLNLENGKRYGQTGTLKFSDPTVDETTGSVTLRAIFPNPNGDLLPGMYVTALVDEGSRQNVLLVPQEGVTHNAQGKATALILDKDDVVQLREIEASKAIGDQWVVTSGLQAGDRVIVSGLQRIRPGIKARAISSSQENASTKSKQ ->ARGMiner~~~TEM-1~~~ANG11005.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG11005.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKVLESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIEHW ->ARGMiner~~~FosA2~~~WP_058677530.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_058677530.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPLSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDGA ->ARGMiner~~~macA~~~WP_000746474.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746474.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRNVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~bcr-1~~~YP_312344~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~YP_312344~~~bicyclomycin~~~unknown MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPASPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~arnA~~~WP_001761182.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_001761182.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVAHLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPSGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISIAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~lsaB~~~WP_000061802.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_000061802.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYSVSDRNKFTHEILEEICPQAADWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNAGQFLLIDEPTNHLDTDARKIVSNYLRKKKGFILISHDRIFLDGCVDHILSINRANIEIQSGNYSSWKLNFDRQQEHEKATNERLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELVTLVDVSVKYNDQIVNEPISFIVEQGDRIVLDGTNGSGKSSILKLLLGHPIQHTGLVTLGTGLIISYVQQDTSHLKGSLSDFIEEHKIDETLFKSILSKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKAFQQTVATKTISM ->ARGMiner~~~novA~~~WP_055567802.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_055567802.1~~~aminocoumarin~~~unknown MRHDETTWTPQPPAPGEEPQPRQMRRILRLFRPYRGRLAIVGLLVCAASLVSVATPFLLKEILDTALPQGRTGLLSLLALGMILSAVVTSVFGVLQTLISTSVGQRVMHDLRTAVYGRLQSMSLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTSVIATVVAMLALDWRLTVVSLLLLPVFVWISRRVGRERKRIATQRQKQMAVMAATVTESLSVSGIVLGRTMGRADSLTKSFSDESEQLVDLEIRSNMAGRWRMAVITIVMAAMPAVIYWTAGIAFQMGGPTVSIGTLVAFVSLQQGLFRPTVSLLSTGVQIQTSLALFQRIFEYLDLPIDITEPEEPVHLDKIKGEVRFEDVEFRYDEKSGPTLQGIDIDIPAGGSLAVVGSTGSGKSTLSHLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVAENLRFAKPDATEEELVTAARAAQIHDHIASLPDGYDTVVGERGHRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTERAVQEAIDALSAGRTTLTIAHRLSTVRGADQIVVLEAGRTAERGTHEELLERDGRYAALVRRDAQLEPAT ->ARGMiner~~~norA~~~WP_049437516.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_049437516.1~~~fluoroquinolone;acridinedye~~~unknown MKKQLFTLYFNIFLIFVGIGLVIPVLPVYLKDLGLKGSDLGILVAIFSLSQMIISPFGGSLADKLGEKLIICLGLVFFTISEFLFAMSHSFILLIVSRILGGFSAGMVMPGVTGMIADISIAKDKAKNFGYMSAIINSGFILGPGIGGFLAEISHRLPFYFAGALGVIAFVISVILIRQPQNTAESHHIHFETKELSKIQWGVFITPIILTFVLAFGLSSFETLFSLYTSSKANYAPGDISIAIVGGGVVGAVFQIFFFDKFMKYTTELTFITWALLYSVIVIFSLIIAHSYWSIMLISFIVFIGFDLIRPALTNYYSNIAGNRQGFAGGLNSTFTSMGNFVGPLVAGLLFDVNIEFPLYMSIIVMLFGVVIIFIEKKLKLNRSRCD ->ARGMiner~~~Serratia marcescens Omp1~~~WP_001418483.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem unknown +>ARGMiner~~~Serratia marcescens Omp1~~~WP_001418483.1~~~fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;phenicol;penem~~~unknown MKRNILAVIVPALLVAGTANAAEIYNKDGNKVDLYGKAVGLHYFSKGNGENSYGGNGDMTYARLGFKGETQINSDLTGYGQWEYNFQGNNSEGADAQTGNKTRLAFAGLKYADVGSFDYGRNYGVVYDALGYTDMLPEFGGDTAYSDDFFVGRVGGVATYRNSNFFGLVDGLNFAVQYLGKNERDTARRSNGDGVGGSISYEYEGFGIVGAYGAADRTNLQEAQLLGNGKKAEQWATGLKYDANNIYLAANYGETRNATPITNKFTNISGFANKTQDVLLVAQYQFDFGLRPSIAYTKSKAKDVEGIGDVDLVNYFEVGATYYFNKNMSTYVDYIINQIDSDNKLGVASDDTVAVGIVYQF ->ARGMiner~~~macA~~~WP_019273126.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_019273126.1~~~macrolide~~~unknown MVIFIVGSLPPWMGQGLAVESASDLWDWRIQRIENMAKMMKWAAVAAVAAAAVWGGWSYLKPEPQASYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAIPVEEGQTVNAAQSAPTIIQLANLDMMLNKMQIAEGDITKVKAGQDILFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVVSEMTAAEQQESSERAMGGPPRR ->ARGMiner~~~macA~~~WP_002246816.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002246816.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITETVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTSQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATAKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGRAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~FosA2~~~WP_017383882.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_017383882.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARRYVPPQESDYTHYAFTVAEEDFEPFSQRLKQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMLFTSDEA ->ARGMiner~~~acrE~~~WP_000160339.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_000160339.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTKLATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPNPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~mdtN~~~WP_047660504.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtN~~~WP_047660504.1~~~nucleoside;acridinedye~~~unknown MESTPKKAPRSKFPALLVVALALVALVFVIWRVDSAPSTNDAYASADTIDVVPEVSGRIVELAVTDNQAVKQGDLLFRIDPRPYEANLAKAEASLAALDKQIMLTQRSVDAQQFVADSINATVEKARAAAKQATDTLRRTEPLLKEGFVSAEDVDRARTAQRAAEADLNAVLLQAQSAASAVSGVDALVAQRAAVEADIALTKLHLEMATVRAPFDGRVISLKTSVGQFASAMRPIFTLIDTRHWYVIANFRETDLKNIRSGTPATIRLMSDSGKTFEGKVDSIGYGVLPDDGGLVLGGLPKVSRSINWVRVAQRFPVKIMVDKPDPEMFRIGASAVANLEPQ ->ARGMiner~~~bacA~~~EWM45333.1~~~peptide unknown +>ARGMiner~~~bacA~~~EWM45333.1~~~peptide~~~unknown MFEVVIQLGSILAVMWIFRARLWQLVRGTLCGERQELLFTRNLLLAFLPAAVIGAIFIKSIKQTFYHPGVVAVTLVLGGLIMLWVEWRAPKTPGDAPGAADDTASDERATARRLEDISWKQALGVGVAQCLAMIPGTSRSGATIIGGMIAGIQRKTATEFSFFLAMPTMLGAAVYDMYRNMDVLTSHDLSGIAVGFVAAFLSALVVVRAVLRFVANHTYRGFAWYRIALGIVVAIWLLSK ->ARGMiner~~~macA~~~WP_048339545.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_048339545.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWHYLKPEPQAAYITETVRRGGISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQTALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESDLGYTRITATMDGTVVAIPVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLLIPSLTVKNRGGKAFVRVLGADGKAVEREIRTGMKDSMNTEVKSGLKEGDKVVISEITAAEQQESGERVMGGPPRR ->ARGMiner~~~tolC~~~WP_004125302.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_004125302.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLFPILIGLGLTGFSAMSQAENLLQVYQQARISNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTSGFRDAKDQNSDVTSGSLQLTQVLFDMSKWRALTLQEKNAGIQDVTYQTDQQTLILNTATAYFKVLAAIDTLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDAVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTTKPSAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLNLNATSSVSNNSYNGSKNTTQDRDIGQNQIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSNARYNYLINELNIKSALGTLNEQDLVALNNTLGKAIPTSPDSVAPENPQQDASADGYSNTAAAKPASARSTNGSNPFRQ ->ARGMiner~~~Escherichia coli ampC~~~WP_001308215.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001308215.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQINLKPLDINEKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIVNGSDNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~mefA~~~WP_050255874.1~~~macrolide unknown +>ARGMiner~~~mefA~~~WP_050255874.1~~~macrolide~~~unknown MEKYNNWKRKFYAIWAGQAVSLITSAILQMAIIFYLTEKTGSAMVLSMASLVGFFPYAFLGPAIGVLVDRHDRKKIMIGADLIIAAAGAVLAIVAFCMELPVWMIMIVLFIRSIGTAFHTPALNAVTPLLVPEEQLTKCAGYSQSLQSISYIVSPAVAALLYSVWDLNAIIAIDVLGAVIASITVAIVRIPKLGNQVQSLEPNFIREMKEGVVVLRQNKGLFALLLLGTLYTFVYMPINALFPLISMEHFNGTPVHISITEISFAFGMLAGGLLLGRLGGFEKHVLLITSSFFIMGTSLAVSGILPPNGFVIFVVCCAIMGLSVPFYSGVQTALFQEKIKPEYLGRVFSLIGSIMSLAMPIGLILSGFFADKIGVNHWFLLSGILIIGIAIVCQMITEVRKLDLK ->ARGMiner~~~H-NS~~~CP001918.1.gene1661.p01~~~macrolide;fluoroquinolone;cephalosporin;cephamycin;penam;tetracycline unknown +>ARGMiner~~~H-NS~~~CP001918.1.gene1661.p01~~~macrolide;fluoroquinolone;cephalosporin;cephamycin;penam;tetracycline~~~unknown MSEALKILNNIRTLRAQARECTLETLEEMLEKLEVVVNERREEESAAAAEIEERTRKLQQYREMLIADGIDPNELLNSMAAAKTGTKAKRAARPAKYSYVDENGETKTWTGQGRTPAVIKKAMDEQGKQLDDFLIKD ->ARGMiner~~~arnA~~~YP_002271667~~~peptide unknown +>ARGMiner~~~arnA~~~YP_002271667~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHHCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~Escherichia coli ampC~~~WP_001491455.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001491455.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPTWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMACWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~mexH~~~WP_014602469.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_014602469.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSVAPPVPVAGR ->ARGMiner~~~Erm(41)~~~WP_052524627.1~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~WP_052524627.1~~~macrolide;lincosamide;streptogramin~~~unknown MDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRVAEADILAFRWPRRPFRVVASPPYRVTSALIRSLLTPESRLLAADLVLQCGAVHKHAKRAPVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~macA~~~WP_063098100.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063098100.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYRRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQASDKSSSSPEAAKKSQGNGARLERLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVIAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~macA~~~WP_032062473.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032062473.1~~~macrolide~~~unknown MPKIKPIKLVIIILCIAVIAVLAWKFLKPKEQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQVASLNEKQLEYLRQQQMYAQDATPRADLESAEASYKTAQAQIKALDAQIESAKVTKSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESTSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKSGQSSDKSSSSPEAAKKSQGNGARLQRLNLTAEQKQLVEQGKLTLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSADTSAASANSSNNRRRSGPMGM ->ARGMiner~~~bcrA~~~WP_001273091.1~~~peptide unknown +>ARGMiner~~~bcrA~~~WP_001273091.1~~~peptide~~~unknown MSAIIKTTNLTKIYGNQKSVDNLNITVNQGEIYGFLGRNGAGKTTTIRMLLGLIKPTHGKIEIFGEDLLKNQKEILKRIGSIVEVPGFYENLTARENLLINAKIIGIHKKNAIDEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEIRRLIQNLAKERKITLLISSHILSEIEQLVDHMGIIHEGKLLEEIEFNSLRKKNRKYIEFQVSNDNKATKILEKNFGIFDYEVHNEGIIRVYSKLGQQGDINKIFVQNDIQVLKILMSEDRLEDYFTKLVGGGTIG ->ARGMiner~~~MexF~~~WP_023125876.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_023125876.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSATSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQQFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~FosB~~~WP_048559684.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_048559684.1~~~fosfomycin~~~unknown MLKGINHLCFSVSNLEKSIIFYEKVLEGELLVKGRKLAYFNICGVWVALNEETHIPRNEIHQSYTHIAFSVEQKDFERLLQRLEENDVHILQGRERDVRDCKSIYFVDPDGHKFEFHSGTLQDRLNYYREDKPHMTFY ->ARGMiner~~~msbA~~~WP_000597251.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597251.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHELTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~msbA~~~WP_000597239.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597239.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELMSKQGAYEHLYSIQNL ->ARGMiner~~~Escherichia coli ampC~~~WP_024247937.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_024247937.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPVWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLKPLDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~mexY~~~WP_023089082.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexY~~~WP_023089082.1~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MARFFIDRPVFAWVISLLIVLAGVLAIRFLPVAQYPDIAPPVVNVSASYPGASAKVVEEAVTAIIEREMNGAPGLLYTKATSSTGQASLTLTFRQGVNADLAAVEVQNRLKIVESRLPESVRRDGIYVEKAADSIQLIVTLTSSSGRYDAMELGEIASSNVLQALRRVEGVGKVETWGAEYAMRIWPDPAKLTSMNLSASDLVNAVRRHNARLTVGDIGNLGVPDSAPISATVKVDDTLVTPEQFGEIPLRIRADGGAIRLRDVARVEFGQSEYGFVSRVNQMTATGLAVKMAPGSNAVATAKRIRATLDELSRYFPEGVSYNIPYDTSAFVEISIRKVVSTLLEAMLLVFAVMYLFMQNFRATLIPTLVVPVALLGTFTVMLGLGFSINVLTMFGMVLAIGILVDDAIIVVENVERLMAEEGLSPHDATVKAMRQISGAIVGITVVLVSVFVPMAFFSGAVGNIYRQFAVTLAVSIGFSAFLALSLTPALCATLLRPIDADHHEKRGFFGWFNRAFLRLTGRYRNAVAGILARPIRWMLVYTLVIGVVALLFVRLPQAFLPEEDQGDFMIMVMQPEGTPMAETMANVGDVERYLAEHEPVAYAYAVGGFSLYGDGTSSAMIFATLKDWSERREASQHVGAIVERINQRFAGLPNRTVYAMNSPPLPDLGSTSGFDFRLQDRGGVGYEALVKARDQLLARAAEDPRLANVMFAGQGEAPQIRLDIDRRKAETLGVSMDEINTTLAVMFGSDYIGDFMHGSQVRKVVVQADGAKRLGIDDIGRLHVRNEQGEMVPLATFAKAAWTLGPPQLTRYNGYPSFNLEGQAAPGYSSGEAMQAMEELMQGLPEGIAHEWSGQSFEERLSGAQAPALFALSVLIVFLALAALYESWSIPLAVILVVPLGVLGALLGVSLRGLPNDIYFKVGLITIIGLSAKNAILIIEVAKDHYQEGMSLLQATLEAARLRLRPIVMTSLAFGFGVVPLALSSGAGSGAQVAIGTGVLGGIVTATVLAVFLVPLFFLVVGRLFRLRKAPRTGNSPQIPTEQA ->ARGMiner~~~MexE~~~WP_003089831.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexE~~~WP_003089831.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MVQSSHFFWRYPLALAAVLVLSACGKAPETTQGMAAPKVSVAEVIEQPLNEWDEFTGRLEAPESVELRPRVSGYIDRVAFHEGALVKKGDLLFQIDPRPFEAEVKRLEAQLQQARAAQARSVNEAQRGERLRASNAISAELADARTTAAQEAKAAVAATQAQLDAARLNLSFTRITAPIDGRVSRAEVTAGNLVNSGETLLTTLVSTDKVYAYFDADERVFLKYVELARQAGRDTRSESPVYLGLSSEDGNPHLGRLDFLDNQVNPRTGTIRGRAVFDNAKGEFTPGLYVRLKLVGSKTYAATLIKDEAVGTDLGKKFVLVLDGDNKTVYRTVEMGPKLEGLRIVRSGLSKGDRIVVNGLQRVRPGMRVDPQKVEMASADTLATLARLRQSVGDSEPPKVAASKDNATRNEPRG ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071140.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071140.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAMHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFTLYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~CTX-M-3~~~AIC64322.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64322.1~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDILASAAKIVTDGL ->ARGMiner~~~L1 beta-lactamase~~~KZE44965.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~KZE44965.1~~~cephalosporin~~~unknown MRLCLTTLALTATLAFDVTAADAPLPQLQAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMAGHLLDNMKARGVAAQDLRLILLSHAHADHAGPVAELKRRTGASVAANAESAVLLARGGSNDLHFGDSITFPPASADRILMDGEGVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGQPVRIAYADSLSAPGYQLQGNARYPRLVEDYRRSFATVRALPCDLLLTPHPGASNWNYAAGAEAGANALTCKAYADAAEKKFDAQLARETATAR ->ARGMiner~~~tet(K)~~~WP_044116559.1~~~tetracycline unknown +>ARGMiner~~~tet(K)~~~WP_044116559.1~~~tetracycline~~~unknown MFSLYKKFKGLFYSVLFWLCILSFFSVLNEMVLNVSLPDIANHFNTTPGITNWVNTAHMLTFSIGTAVYGKLSDYINIKKLLIIGISLSCLGSLIAFIGHNHFFILIFGRLVQGVGSAAFPSLIMVVVARNITRKKQGKAFGFIGSIVALGEGLGPSIGGIIAHYIHWSYLLILPMITIVTIPFLIKVMVPGKSTKNTLDIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFMLGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVATIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVASGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGVYSNILVAMAILIILCCLLTIIVFKRSEKQFE ->ARGMiner~~~MexB~~~WP_050681803.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_050681803.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MSKFFIDRPIFAWVIALVIMLVGALSILKLPINQYPSIAPPAIAISVTYPGASAQTVQDTVVQVIEQQLNGIDNLRYVSSESNSDGNMTITATFEQGTNSDTAQVQVQNKLNLATPLLPQEVQQQGIRVTKSVKNFLMVIGVVSRDGSMTKDDLSNYIVSNMQDPISRTAGVGDFQVFGAQYAMRIWLDPAKLNNFNLTPVDVKTAIAAQNVQVSSGQLGGLPALPGQQLNATIIGKTRLQTAEQFKAILLKVNKDGSQVRVGDVAEVGLGGENYSISAQFNGAPASGLAVKLANGANALDTAKALRNTIDTLKPFFPEGMEVVFPYDTTPVVTESIKGVVETLVEAIVLVFLVMFLFLQNFRATVITTMTVPVVLLGTFGILAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMSEEGLSPKEATKKSMGQIQGALVGIALVLSAVLLPMAFFSGSTGVIYKQFSITIVSAMALSVLVALIFTPALCATMLKAIPKGEHGTPKRGFFGWFNRSFDRGVKSYERGVGNMLAHKAPYLLAYLIIVVGMIWLFTRIPTAFLPEEDQGVLFAQVQTPAGSSSQRTQVVVDEMREFLLRPSKEGGEGDAVASVFTVTGFNFAGRGQSSGMAFIMLKPWEERNADNSVFKLAARAQQHFFTFRDAMVFAFAPPAVLELGNATGFDVFLQDRAGIGHEKLMEARNQFLGMAAQSKVLSQVRPNGLNDEPQYQLEIDDEKASALGVTLTDINNTLSIALGSSYVNDFIDRGRVKKVYIQGLPGARMSPEDLKKWYVRNSAGTMVPFSSFAKGEWIYGSPKLARYNGVEAMEILGAPAPGYSTGEAMAEVEALAKKLPAGVGISWTGLSYEERLSGSQAPALYALSLLMVFLCLAALYESWSIPIAVMLVVPLGIIGALMATSLRGLSNDVYFQVGLLTTIGLAAKNAILIVEFAKELHEQGRSLRDAAIEACRMRLRPIIMTSLAFVLGVVPLAISTGAGSGSQHAIGTGVIGGMITATVLAIFWVPLFFVTVSSMGQRKIADQDDDAIEPSKEAG ->ARGMiner~~~Escherichia coli ampC~~~WP_001339114.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_001339114.1~~~cephalosporin;penam~~~unknown MFKTTLCALLITASCSTFAAPQKINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMACWIRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNALQ ->ARGMiner~~~tolC~~~WP_063854391.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_063854391.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGIDSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTTSQAYDDSNVGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKSVSTSPDSVAPENPEQDAAVDNFNANGSAPVAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~mdtH~~~WP_004860497.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_004860497.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGIFGGAVADRFGAKPMIVTGMLMRAGGFAAMAVAHEPWVLWLSCILSGLGGTLFDPPRAALVVKLVRPHQRGRFFSILMMQDSAGAVIGALLGSWLLQYDFRLVCSVGAALFVACAAFNAWYLPAWKLSTVKTPVREGLGRVLRDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGSPTAVKWMYAIEAAISLTLLYPIARWSEQRFRLEHRLMAGLLVMTLAMLPIGLTSNLQQLFTLICIFYIGSIVAEPARETLGASLADARARGSYMGFSRLGLAFGGAFGYAGGGWLFDAGKALNQPELPWLMLGVIGLFTFIALWWQFSQKRSTSGMLEPRT ->ARGMiner~~~mdtC~~~WP_023194007.1~~~aminocoumarin unknown +>ARGMiner~~~mdtC~~~WP_023194007.1~~~aminocoumarin~~~unknown MRFFALFIYRPVATILIAAAITLCGILGFRLLPVAPLPQVDFPVIMVSASLPGASPETMASSVATPLERSLGRIAGVNEMTSSSSLGSTRIILEFNFDRDINGAARDVQAAINAAQSLLPGGMPSRPTYRKANPSDAPIMILTLTSESWSQGKLYDFASTQLAQTIAQIDGVGDVDVGGSSLPAVRVGLNPQALFNQGVSLDEVREAIDSANVRRPQGAIEDSVHRWQIQTNDELKTAAEYQPLIIHYNNGAAVRLGDVASVTDSVQDVRNAGMTNAKPAILLMIRKLPEANIIQTVDGIRAKLPELRAMIPAAIDLQIAQDRSPTIRASLQEVEETLAISVALVILVVFLFLRSGRATLIPAVAVPVSLIGTFAAMYLCGFSLNNLSLMALTIATGFVVDDAIVVLENIARHLEAGMKPLQAALQGTREVGFTVISMSLSLVAVFLPLLLMGGLPGRLLREFAVTLSVAIGISLVVSLTLTPMMCGWMLKSSKPRTQPRKRGVGRLLVALQQGYGTSLKWVLNHTRLVGVVFLGTVALNIWLYIAIPKTFFPEQDTGVLMGGIQADQSISFQAMRGKLQDFMKIIRDDPAVNNVTGFTGGSRVNSGMMFITLKPRGERKETAQQIIDRLRVKLAKEPGARLFLMAVQDIRVGGRQANASYQYTLLSDSLAALREWEPKIRKALSALPQLADVNSDQQDNGAEMNLIYDRDTMSRLGIDVQAANSLLNNAFGQRQISTIYQPMNQYKVVMEVDPRYSQDISALEKMFVINRDGKAIPLSYFAQWRPANAPLSVNHQGLSAASTIAFNLPTGTSLSQATEAINRTMTQLGVPPTVRGSFSGTAQVFQQTMNSQLILIVAAIATVYIVLGILYESYVHPLTILSTLPSAGVGALLALELFNAPFSLIALIGIMLLIGIVKKNAIMMVDFALEAQRSGGLTPAQAIFQACLLRFRPIMMTTLAALFGALPLVLSGGDGSELRQPLGITIVGGLVMSQLLTLYTTPVVYLFFDRLRLRFSRKNSKPVVEI ->ARGMiner~~~macB~~~WP_049003730.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_049003730.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREYFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLARLGLGDRADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPSASRQGGGLRARQQEEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVTLSLMIAFILQLFLPGWEIGFSPLALVTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~Escherichia coli ampC~~~AIW80307.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~AIW80307.1~~~cephalosporin;penam~~~unknown MAGRFVWKPDPMFKTTLCALLITASCSTFAAPQQINDIVHRTITPLIEQQKIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLVELGSVSKTFTGVLGGDAIARVEIKLSDPATKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMQTRVFQPLKLNHTWINVPPAEEKNYAWGYREGKAVHVSPGALDAETYGVKSTIEDMARWVRSNMNPRDINDKTLQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDSIINGSGNKIALAARPVKAITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVAAAWQILNALQ ->ARGMiner~~~MexB~~~WP_002042236.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexB~~~WP_002042236.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MLSKFFIQRPIFANVLAIIVMAFGIFSVMNLPVERYPDIAPPKITVSANYSGADAQTVEQSVTQILEQQIQGIDHLLYFSSSSDSSGSSRITISFDNGTNPDTAQVQVQNSISGVIRRLPDEVQRQGVTVSKSLGDTFMVIGLYDSTGKTGNIELSDYLTTHVVDNLNRIEGVGETDVFGSQYAMRIWLNPDKLKQYNLMPSDVANAITAQNTQVAAGAIGDLPVIDGQYLNTKVTAGSRLKTVEDFKNIVVKSNKTASYVYLKDIARVELGAENYQSFNTINGYPAAGLGISLSSGANAIQTSKLIHQTLDQLTTKLPAGYKIVYPRDNTPFVQESIKEVVKTLVEAIILVILVMFLFLQSWRATLIPSITVPVVILGTFAVLYVLGFSINTLTLFALVLAIGLLVDDAIVVVENVERLMHEQHLSPKEAAIESMGEISGALVGITLVLTAVFIPMSFLGGSIGVIYRQFSITLVAAMALSLIVALILTPALCALILKPNPQPQRWAVWFNQKIEQLKNQYIKLVQTSIHYSKSVIVIFVALIAVFTLFYNGLKSGFIPKEDQGILSVQIKLVDSAPISQSQKIGEQVRQYFLTQEDKNVDLVLIRYGRNYSGTGQNLAQGFIALKPWDVRTGKENSAEAIQKRAMKYFSHFNNAQINVTLPASVNGLGQTDGLDLWIQDLNGQGQDFLDSAFRQLQAQSKNYSSFENFDKQSTNSKANLNIKIDQKQALANGLQLSAINNTLSSAWGGTYVNDFIDRGRIKRVMIQGDAEFRSKPEDLYNWSVRNDQNEMVPFSSFANFSWGGAPEIVKRYMGYSALQLQADVASGSSSGQAMKDVEQLVNQQKDIGLAWTGLSFEEQKSTNQAVWLYLISAGFIFLCLAALYESLSIPAAVMTSIPLGVGGSVIFSYIFGLPNDVYFQIALLTTIGLSCKNAILIVEFAALAQEKGKNAIQAALEGASLRLRPILMTSLAFGAGVIPLVFAQGAGAVSRQEIGISILGGVMFGTVLVLFFIPVMYVLLRSLFKSKAST ->ARGMiner~~~FosA2~~~WP_032682056.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_032682056.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPFSHKLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~emrB~~~WP_001540759.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001540759.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRFGEVKLFMWSTVAFAAASWACGVSSSLNMLIFFRVVQGVVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGIAVVLMTLHTLRGRETHTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVIAISFLIVWELTDDHPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAWTFEPGMDFGASAWPQFIQRFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTDRESLHHAQLTESITAYNPNAQAMYDKLEGLGMTHQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mtrE~~~WP_002222108.1~~~macrolide;penam unknown +>ARGMiner~~~mtrE~~~WP_002222108.1~~~macrolide;penam~~~unknown MDTTLKTTLTSVAAAFALSACTMIPQYEQPKVEVAETFKNDTADSGIRAVDLGWHDYFADPRLQKLIDIALERNTSLRTAALNSEIYRKQYMIERNNLLPTLAANANDSRQGSLSGGNVSSSYKVGLGAASYELDLFGRVRSSSEAALQGYFASTANRDAAHLSLIATVAKAYFNERYAEEAMSLAQRVLKTREETYKLSELRYKAGVISAVALRQQEALIESAKADYAHAARSREQARNALATLINQPIPDDLPAGLPLDKQFFVEKLPAGLSSEVLLDRPDIRAAEHALKQANANIGAARAAFFPSIRLTGTVGTGSAELGGLFKSGTGVWSFAPSITLPIFTWGTNKANLDVAKLRQQAQIVAYESAVQSAFQDVANALAAREQLDKAYDALSKQSRASKEALRLVGLRYKHGVSGALDLLDAERSSYAAEGAALSAQLTRAENLADLYKALGGGLKRDTQTDK ->ARGMiner~~~macB~~~WP_039591282.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_039591282.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQLWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~smeE~~~WP_032129007.1~~~macrolide;fluoroquinolone;tetracycline;phenicol unknown +>ARGMiner~~~smeE~~~WP_032129007.1~~~macrolide;fluoroquinolone;tetracycline;phenicol~~~unknown MARFFIDRPIFAWVIAIIIMLAGGLALFKLPVSMYPNVAPPAVEISATYPGASAKVVEDSVTQIIEQNMKGLDGLIYFSSNSSSNGQATITLTFESGTNPDIAQVQVQNKLQLAMPLLPQEVQRQGINVAKSSSGFLNVIAFVSENGSMDANDIADYVGSNVVDRLSRVPGVGNIQVFGGKYAMRIWLDPNKLHTYGLSVAEVTAAVKAQNAQVAIGQLGGAPSIKGQQLNATINAQSRLQTPEQFRNIIVRGAQDGAELRLGDVARVELGAESYDFVTRYNGQPASGLAVTLATGANALDTAAGVDAALQDMKSFFPAGLKAEIPYDTTPFVRVSIKGVVQTLIEAIVLVFVVMYLFLQNFRATLIPTIAVPVVLLGTFGVLAMLGFSVNMLTMFAMVLAIGLLVDDAIVVVENVERIMSEEGLSPLEATRKSMGQITGALVGIGLVLSAVFVPMAFMSGSTGVIYRQFSATIVSAMALSVLVAIVLTPALCATMLKPLKKGEHHVAHKGWSGRFFNGFNRGFDRTSESYQRGVRGIIHRPWRFMGIVAALFVLMGVLFVRLPSSFLPNEDQGVLMALVQAPVGATQERTLESIAALENHFLQNEKDAVDSVFSVQGFSFAGMGQNAGMAFVKLKDWSERDADNGVMPITGRAMAALGQIKDAFIFAFPPPAIPELGTASGYTFFLKDNSGQGHEALVAARNQLLGLAAGSKKLANVRPNGQEDTPQFRIDIDAAKATSLGLSIDQINGTLAAAWGSSYIDDFVDRGRVKRVFVQADQPFRMVPEDFDLWSVKNDKGEMVPFSAFATKHWDYGSPRLERYNGVSAMEIQGEPAPGVASGDAMAEIEQLAKQLPAGFGIEWTAMSYQERQAGSQTPLLYTLSLMIVFLCLAAMYESWSVPTAVLLAAPLGILGAVLANTFKGLERDIYFQVAMLTTVGLTSKNAILIVEFAKENLEKGASLIESIMHAVRDRLRPIVMTSLAFGMGVVPLAISTGAGSGAKQAIGTGVLGGMIVGTVLGVFFVPLFFVVVQRVFKRKSST ->ARGMiner~~~sdiA~~~WP_028019575.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_028019575.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MKDSDFFTWRRDCSLRFQELTCADEVYQELQRQTQALEFDYYALCVRHPVPFTRPKIAVHTTYPKQWMAQYQSANYFTVDPVLKPENFIHGHLPWTDELFADAQELWNSAQDHGLRKGITQCLMLPNHALGFLSVSRTSVLEIPLASEEIELRLQMLVQMALTTLTRFEDEMVMQPEMKFSKREREILKWTAEGKTSAEIAIILSISENTVNFHQKNMQKKFNAPNKTQIACYAAATGLI ->ARGMiner~~~macA~~~WP_032638994.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032638994.1~~~macrolide~~~unknown MNLKGKRRTLFLLLAVVVLAGGYWLWQVLNAPVPQYQTLIVRPGELQQNVLATGKLDALREVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQALAERNLAQVTLTRQQALAKTQAISKQDLDTATTELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTIPLSALGESAGDSRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~macB~~~WP_052903625.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_052903625.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWGASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~adeG~~~WP_045601841.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_045601841.1~~~fluoroquinolone;tetracycline~~~unknown MAILRTSRSRIAAATFAVVVIAGLGAFGAIRVNASAPEKSAAPLPEVDVATVLSKTITDWQSYSGRLEAVEKVDVRPLVSGTIVSVNFKDGALVKKGDVLFVIDPRPYQAEVDRAAAQLAAAQARNGYAQSDWQRAQRLIGDNAIAKRDYDEKQNAAREASANLKAAQAALETARINLGYTRITAPVSGRVSRAEITLGNVVSAGASAAPLTTLVSVSPIYASFDADEQTYLRYIGGAKDGRKVPVELGLANESGYSRQGVIDSVDNRLDTSSGTIRVRARFDNADGSLVPGLYARVKVGGSAPHPALLIDDAAINTDQDKKFVFVVDQQGRVSYREVQLGAQHGNQRVIAGGLAAGDRIVVNGTQRVRPGEQVKPHLVPMTGGDDAAATPVAGGVQHPQGAPGNARA ->ARGMiner~~~Klebsiella pneumoniae OmpK36~~~CP001918.1.gene2749.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem unknown +>ARGMiner~~~Klebsiella pneumoniae OmpK36~~~CP001918.1.gene2749.p01~~~monobactam;carbapenem;cephalosporin;cephamycin;penam;penem~~~unknown MMKRNILAVVVPALLVAGAANAAEIYNKDGNKLDLYGKAVGLHYFSDNDGNDGDKTYARLGFKGETKINDQLTGYGQWEYNFQGNNSEGADAQSGNKTRLAFAGLKFGDAGSFDYGRNYGLVYDAIGITDMLPEFGGDTGVSDNFFSGRTGGLATYRNSGFFGLVDGLNFGVQYLGKNERTDALRSNGDGWATSLSYDFDGFGMVGAYGAADRTNAQQNLQWGKGDKAEQWATGLKYDANNIYLAALYGEMRNAARLDNGFANKTQDFSVVAQYQFDFGLRPSIAYYKSKAKDVEGIGDEDYINYIDIGATYYFNKNMSTYVDYQINQLKDDNKLGINNDDTVAVGLVYQF ->ARGMiner~~~mdtG~~~WP_021537741.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_021537741.1~~~fosfomycin~~~unknown MSPCENDPPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLNAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~adeG~~~WP_000010644.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_000010644.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTTSNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPQPQPTDKTSTPAKG ->ARGMiner~~~arnA~~~WP_048224705.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_048224705.1~~~peptide~~~unknown MKAVVFAYHDMGCQGVQALLDAGYEIAAIFTHTDNPGEKAFFGSVSRLAASAGIPVYAPDEVNHPLWIERISQLAPDVIFSFYYRHLLSEEILSLAPKGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGDIIAQQSVAISSDDVALTLHHKLCQAARHLLEEALPAIKTGDYAELSQQEAEATCFGRRTPEDSFLDWNKPTAELHNQVRAVSDPWPGAFSYVGTQKFTVWSSRVCTNDIAAQPGTVISVSPLLIACVDGALEIITGQAGDGIAMQGSQLAQVLGLVPGSRLNSQSVTTSKRRTRVLILGVNGFIGNHLTERLLQEDNYEVYGLDIGSDAIGRFLQHPRFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYRKRIIFPSTSEVYGMCTDKVFDEDSSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGGKQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNPQNEASIQELAEMLLSCFEKHPLRNHFPPFAGFRDVESSTYYGKGYQDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLRSVDITEHTS ->ARGMiner~~~novA~~~WP_057661487.1~~~aminocoumarin unknown +>ARGMiner~~~novA~~~WP_057661487.1~~~aminocoumarin~~~unknown MKPEEPTWTPPPDAATDRPPAEVRRILRLFHPYRGRLAVVGLLVGASSLVSVASPFLLREILDTAIPQGRTGLLTLLALGMILTAVMTSVFGVLQTLISTTVGQRVMHDLRTAVYTQLQRMPLAFFTRTRTGEVQSRIANDIGGMQATVTSTATSLVSNLTAVIATVVAMLALDWRLTVVSLLLLPVFVAISRRVGRERKRITTQRQKQMAAMAATVTESLSVSGILLGRTMGRSDSLTQGFAEESERLVDLEVRSNMAGRWRMSTIGIVMAAMPAVIYWAAGLTFASGAAAVSIGTLVAFVTLQQGLFRPAVSLLSTGVQMQTSLALFQRIFEYLDLTVDITEPEHPVRLEKIRGEVAFEDVDFSYDEKNGPTLTGIDVTVPAGGSLAVVGSTGSGKSTLSYLVPRLYDVTGGRVTLDGVDVRDLDFDTLARAVGVVSQETYLFHASVADNLRFAKPDATDEEIEAAARAAQIHDHIASLPDGYDTLVGERGYRFSGGEKQRLAIARTILRDPPVLILDEATSALDTRTEQAVQQAIDALSAGRTTLTIAHRLSTVRDADQIVVLEDGRVAERGTHEELLDRDGRYAALIRRDAHPAPVPAP ->ARGMiner~~~acrB~~~WP_050191684.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_050191684.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAILKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYMSSNSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRTELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEAMLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~Bacillus subtilis mprF~~~WP_001071149.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_001071149.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVVITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPIGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~macA~~~WP_032302786.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_032302786.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYKGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~y56 beta-lactamase~~~WP_050916711.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050916711.1~~~cephalosporin;penam~~~unknown MKHSSLRRSLLLAGITLPLVNFSLPTWAAGIPGSLDKQLAALEHSANGRLGIAMINTGNGTKILYRGARRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLVKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDASIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATKIILSQIS ->ARGMiner~~~CepS beta-lactamase~~~WP_052143439.1~~~cephalosporin unknown +>ARGMiner~~~CepS beta-lactamase~~~WP_052143439.1~~~cephalosporin~~~unknown MLGTRWPVRLTAPEHTEIFAMKQTRSLPLLALGTLLLAPLSLAAPVDPLNAVVDDAIRPMLKQHRIPGMAVAVLKGGQAHYFNYGLADMAAGKKVNEQTLFEIGSVSKTYTATLGAYAVVKGGFKLDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVESVEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGYLAASSLQQPFAQLMAQTLLPGLGLHHTYLDVPKQAMASYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVKANISGVDDKALQQAISLTHQGRYSVGEMTQGLGWESYAYPVSEQTLLAGNSSAVIYNANPVKPVAASQETGGARLYNKTGSTNGFGAYVAFVPAKGIGIVMLANRNYPNEARISAAHAILSQLAP ->ARGMiner~~~mdtP~~~WP_016262787.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_016262787.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSATLISGCALVRKDSAPHQQLEPEQIKLADDIHLASSGWPQAQWWKQFHDPQLDALIQRTLSGSHTLAEAKLREEKTQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSALAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRSVIDYAVRAHQSKVTHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLNQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNIMIERYNQSVLNVVRDVAVNGTRLQTLNEEREMQAERVEATRFTQRAADAAYRRGLTSRLQATEAQLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAAPIVEKK ->ARGMiner~~~mdtF~~~WP_001697126.1~~~macrolide;fluoroquinolone;penam unknown +>ARGMiner~~~mdtF~~~WP_001697126.1~~~macrolide;fluoroquinolone;penam~~~unknown MANYFIDRPVFAWVLAIIMMLAGGLAIMNLPVAQYPQIAPPTITVSATYPGADAQTVEDSVTQVIEQNMNGLDGLMYMSSTSDAAGNASITLTFETGTSPDIAQVQVQNKLQLAMPSLPEAVQQQGISVDKSSSNILMVAAFISDNGSLNQYDIADYVASNIKDPLSRTAGVGSVQLFGSEYAMRIWLDPQKLNKYNLVPSDVISQIKVQNNQISGGQLGGMPQAADQQLNASIIVQTRLQTPEEFGKILLKVQQDGSQVLLRDVARVELGAEDYSTVARYNGKPAAGIAIKLATEANALDTSRAVKEELNRLSAYFPASLKTVYPYDTTPFIEISIQEVFKTLVEAIILVFLVMYLFLQNFRATIIPTIAVPVVILGTFAILSAVGFTINTLTMFGMVLAIGLLVDDAIVVVENVERVIAEDKLPPKEATHKSMGQIQRALVGIAVVLSAVFMPMAFMSGATGEIYRQFSITLISSMLLSVFVAMSLTPALCATILKAAPEGGHKPNALFARFNTLFEKSTQHYTDSTRSLLRCTGRYMVVYLLICAGMAVLFLRTPTSFLPEEDQGVFMTTAQLPSGATMVNTTKVLQQVTDYYLTKEKDNVQSVFTVGGFGFSGQGQNNGLAFISLKPWSERVGEENSVTAIIQRAMIALSSINKAVVFPFNLPAVAELGTASGFDMELLDNGNLGHEKLTQARNELLSLAAQSPDQVTGVRPNGLEDTPMFKVNVNAAKAEAMGVALSDINQTISTAFGSSYVNDFLNQGRVKKVYVQAGTPFRMLPDNINQWYVRNASGTMAPLSAYSSTEWTYGSPRLERYNGIPSMEILGEAAAGKSTGDAMKFMADLVAKLPAGVGYSWTGLSYQEALSSNQAPALYAISLVVVFLALAALYESWSIPFSVMLVVPLGVVGALLATDLRGLSNDVYFQVGLLTTIGLSAKNAILIVEFAVEMMQKEGKTPIEAIIEAARMRLRPILMTSLAFILGVLPLVISHGAGSGAQNAVGTGVMGGMFAATVLAIYFVPVFFVVVEHLFARFKKA ->ARGMiner~~~mexH~~~WP_034026648.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_034026648.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGSPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQTLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQEGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~mdtP~~~WP_032176796.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_032176796.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYSMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLATGVAQLYYSMQASYQMLDLLEQTHDVIDYAVKAHQSKVAHSLEAQVPFHGARAQILAVDKQIVAVKGQITETRESLRALIGAGASDMPEIRPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLHTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~mfpA~~~WP_057372788.1~~~fluoroquinolone unknown +>ARGMiner~~~mfpA~~~WP_057372788.1~~~fluoroquinolone~~~unknown MQQWVDCEFTGRDFRDEDLSRLHTERAMFSECDFSGVNLAESQHRGSAFRNCTFERTTLWHSTFAQCSMLGSVFVACRLRPLTLDDVDFTLAVLGGNDLRGLNLTGCRLRETSLVDTDLRKCVLRGADLSGARTTGARLDDADLRGATVDPVLWRTAPLVGARVDVDQAVAFAAAHGLCLAGG ->ARGMiner~~~OpmH~~~CP000675.2.gene810.p01~~~triclosan unknown +>ARGMiner~~~OpmH~~~CP000675.2.gene810.p01~~~triclosan~~~unknown MRKSLFCWILTLGVSTHAFATDLMDIYQQALENDTIFKEAYDTYMSSTEAIPQARAALYPQVGLGSQAGRNYQDAVAGAFSANQYYGSYLWQVKASQALFNYQAWAQVAQAKASVKAAQASFNDAAQNLILRTAKAYFDVLFAKDTLDFAEAKKRANKRQYDQATQRFQVGLDAITSVYEAKAAYDQSIATVIAARNNQINQSENLRKLTNHVYETLAPLKDSKIPLVKPEPNDVNQWIDTGLKQNYKLYAAKYNLEVAKENVKAISAGNWPVFSLQSNASQVHNNASGNNVFIPSKQTQANIAIAMNFPVFQGGLVQAQTRQAQYNFQSTSEKLEQTYRDVIVNSRIAFNTITDGISKVKADRQTVISVQNSLQSTEAQFEVGTRTMVDVVNAQQRLFEAQEQLARDQYDLINSILTLKYLAGTLNVNDLEQINSWLATTRVNGFSPVDNKTSK ->ARGMiner~~~CTX-M-3~~~AIC64417.1~~~cephalosporin unknown +>ARGMiner~~~CTX-M-3~~~AIC64417.1~~~cephalosporin~~~unknown MVKKSLRQFTLVATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~Escherichia coli mdfA~~~EGK28329.1~~~tetracycline;benzalkoniumchloride;rhodamine unknown +>ARGMiner~~~Escherichia coli mdfA~~~EGK28329.1~~~tetracycline;benzalkoniumchloride;rhodamine~~~unknown MQNKLASGARLGRQASLFPLCLVLYEFSTYIGNDMIQPGMLAVVEQYQAGIDWVPTSMTAYLAGGMFLQWLLGPLSDRIGRRPVMLAGVVWFIVTCLAILLAQNIEQFTLLRFLQGISLCFIGAVGYAAIQESFEEAVCIKITALMANVALIAPLLGPLVGAAWIHVLPWEGMFVLFAALAAISFFGLQRAMPETATRIGEKLSLKELGRDYKLVLKNGRFVAGALGFVSLPLLAWIAQSPIIIITGEQLSSYEYGLLQVPIFGALIAGNLLLARLTSRRTVRSLIIMGGWPIMIGLLVAAAATVISSHAYLWMTAGLSIYAFGIGLANAGLVRLTLFASDMSKGTVSAAMGMLQMLIFTVGIEISKHAWLNVGNGLFNLFNLVNGILWLSLMVIFLKDKQMGNSHEG ->ARGMiner~~~macA~~~WP_002251112.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_002251112.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNTEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~adeG~~~WP_005068241.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_005068241.1~~~fluoroquinolone;tetracycline~~~unknown MSFSSKQFALSAIFVALLATGGSFMFLHENADAKAAPTSAQQAATVDISNVISKTITDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAQAQLASAEAQVTYTGSNLSRIQRLIQSNAVSRQELDLAQNDARSASANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSAQVPVYMGLANETGFSREGTINSIDNNLDATSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRSAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGAQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNAQITANATTPQPQPTDKTSTPAKG ->ARGMiner~~~FosA2~~~WP_063441687.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_063441687.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLALHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAEEDFEPLSQRLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~macA~~~WP_016685863.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_016685863.1~~~macrolide~~~unknown MPKIKPIKLVIIVVCIAIIAVLAWKFLKPKQQQPQYITAEVTRGDIENNVLATGTLDATKLISVGAQVSGQVKKMYVQLGDQVKQGQLIAQIDSTTQENSLKTSDANIKNLEAQRLQQIASLNEKQLEYRRQQQMYAQDATPRADLESAEAAYKTAQAQVKALDAQIESAKITRSTAQTNIGYTRIVAPTDGTVVAIVTEEGQTVNANQSAPTIVKIAKLQNMTIKAQVSEADIMKVEKGQQVYFTTLGDETKRYATLRQIEPAPDSISSESNSTTSSTTSSAVYYNALFDVPNTDGKLRIDMTAQVYIVLNSAKNALLVPSSALSSKQFSGQRKQQGQSADKASSTPSAERKYQGNGARLERLNLTPEQKQLIEQGKATLSVVRVLQADGTTKPTQILVGINNRVNAQVLAGLKQGDQVVIADSSENSAASANSGNNRRRGPMGM ->ARGMiner~~~macB~~~WP_042938492.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_042938492.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGDGSVEVLKGITLSIHAGERVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDIAQLDGDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGSERRARLARAHELLVRLGLGERADYQPSQLSGGQQQRVSIARALMNGGEVILADEPTGALDSHSGEEVMAILHQLKAQGHTVIIVTHDPQVAAQAERIVEIRDGEIVRNPPASRQGGGLRARPQAEPSAWRQFTSGFREALVMAWRAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAIGTNTIDVYPGKDFGDDDPRYQQALKYDDLLAIQKQPWVRSATPAVSKSLRLRANNIDVAASAEGVGPQYFNVYGMTFSEGNTFNELQLNSRAQVVVLDSNTRRQLFPNKAKVVGEVILVGNMPATVIGVADEKQSMFGSSKILRVWLPYTTMAGRVMGQSWLNSITVRVHEGYDSETAEKQLLRLLELRHGKKDVFTWNMDSVLKTAERTTHTLQLFLTLVAVIALVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGVALSLMIAFILQLFLPGWEIGFSPLALLTAFLCSTLTGVLFGWLPARNAARLDPVDALARE ->ARGMiner~~~mecA~~~WP_031783696.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecA~~~WP_031783696.1~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIKIVPLILIVVVVGFGIYFYASKDKEINNTIDAIEDKNFKQVYKDSSYISKSDNGEVEMTERPIKIYNSLGVKDINIQDRKIKKVSKNKKRVDAQYKIKTNYGNIDRNVQFNFVKEDGMWKLDWDHSVIIPGMQKDQSIHIENLKSERGKILDRNNVELANTGTAYEIGIVPKNVSKKDYKAIAKELSISEDYIKQQMDQNWVQDDTFVPLKTVKKMDEYLSDFAKKFHLTTNETESRNYPLGKATSHLLGYVGPINSEELKQKEYKGYKDDAVIGKKGLEKLYDKKLQHEDGYRVTIVDDNSNTIAHTLIEKKKKDGKDIQLTIDAKVQKSIYNNMKNDYGSGTAIHPQTGELLALVSTPSYDVYPFMYGMSNEEYNKLTEDKKEPLLNKFQITTSPGSTQKILTAMIGLNNKTLDDKTSYKIDGKGWQKDKSWGGYNVTRYEVVNGNIDLKQAIESSDNIFFARVALELGSKKFEKGMKKLGVGEDIPSDYPFYNAQISNKNLDNEILLADSGYGQGEILINPVQVLSIYSALENNGNINAPHLLKDTKNKVWKKNIISKENINLLTDGMQQVVNKTHKEDIYRSYANLIGKSGTAELKMKQGETGRQIGWFISYDKDNPNMMMAINVKDVQDKGMASYNAKISGKVYDELYENGNKKYDIDE ->ARGMiner~~~emrB~~~WP_032082759.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_032082759.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSDLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~arnA~~~WP_003904926.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_003904926.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFPVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~mdtH~~~WP_045970610.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_045970610.1~~~fluoroquinolone~~~unknown MSLVSQARNLGKYFLLFDNLLVVLGFFVVFPLISIRFVEQLGWAAVIVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIVTGMLLRALGFALMAMAFEPWILLLSCILSGLGGTLFDPPRAALVIKLTRPHERNRFYSLLLMQDSAGAVIGALIGSWLLQYNFNLVCWIGATIFVLAALFNALFLPAYRISTIRTPIKEGLGRVIKDRRFFYYVLTLAGYFVLSVQVMLMFPIIIHEISGTATAVKWMYAIEAVISLTLLYPIARWSEKYFRQEQRLMAGLFLMSLCMFPIGWVNQLHLIFGLICLFYLGMVTAEPARETLSASLADPRARGSYMGFSRLGLALGGALGYTGGGWLYDTGHALGIPQLPWLLLGLVGLVTIYALHHQFNQKKIEPIIINK ->ARGMiner~~~BcI~~~WP_060852124.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_060852124.1~~~cephalosporin;penam~~~unknown MILKNKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRSNERFAFTSTYKALAAGVLLQQNSIDSLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHMGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPAEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~macB~~~WP_042095806.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_042095806.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGISLNIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVHNPPAVEKVNATGGTEPVVNTASGWRQFVSGFNEALTMAWRARAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macA~~~WP_063154587.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_063154587.1~~~macrolide~~~unknown MNLKGKRRTLFLLLALVIVAGGFWLWQGLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAENQIREVEATLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTKIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQNAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPKGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVEKGLEEGEEVVVSESLPGAAK ->ARGMiner~~~sul2~~~WP_022631488.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_022631488.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGIGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGDVGAATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~arnA~~~WP_021532097.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_021532097.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDNVNHPLWVERIAQLSPEVIFSFYYRHLICDEILQLAPRGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRVAIAPDDIAITLHHKLCHAARQLLEQTLPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKSASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACAARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEDSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPENEASIEELGEMLLASFEKHPLRHYFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNARRCLNWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~emrB~~~WP_001556823.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_001556823.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRIGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLLPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~lsaB~~~WP_044584737.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_044584737.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFESVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYSVSDKNKFTHEILEEICPQAADWELLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNAGQFLLIDEPTNHLDTDARKIVSNYLRKKKGFILISHDRIFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEKATNERLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEQLQFKSNELVTLVDVSVKYNDQIVNEPISFIVEQGDRIVLDGTNGSGKSSILKLILGHPIQHTGLVTLGTGLIISYVQQDTSHLKGSLSGFIEEHKIDETLFKSILSKMDFDRIQFEKDISHYSGGQKKKLLIAKSLCEKAHIYIWDEPLNFIDIYSRMQIEELIQQFNPTMVIVEHDKIFQQTVATKTISM ->ARGMiner~~~hmrM~~~WP_001459790.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001459790.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALAEKAVGYLRALLWGAPGYLFFQVARNQCDGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~norA~~~WP_048520687.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_048520687.1~~~fluoroquinolone;acridinedye~~~unknown MNKQIFVLYFNIFLIFLGIGLVIPVLPVYLKDLGLTGSDLGLLVAAFALSQMIISPFGGTLADKLGKKLIICIGLILFSVSEFMFAVGHNFSVLMLSRVIGGMSAGMVMPGVTGLIADISPSHQKAKNFGYMSAIINSGFILGPGIGGFMAEVSHRMPFYFAGALGILAFIMSIVLIHDPKKSTTSGFQKLEPQLLTKINWKVFITPVILTIVLSFGLSAFETLYSLYTADKVNYSPKDISIAITGGGIFGALFQIYFFDKFMKYFSELTFIAWSLLYSVVVLILLVFANDYWSIMLISFVVFIGFDMIRPAITNYFSNIAGERQGFAGGLNSTFTSMGNFIGPLIAGALFDVHIEAPIYMAIGVSLAGVVIVLIEKQHRAKLKEQNM ->ARGMiner~~~mdtH~~~WP_049689667.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_049689667.1~~~fluoroquinolone~~~unknown MSLVSQARSLGKYFLLFDNLLVVLGFFVVFPLISIRFVDQLGWAAVIVGAALGLRQFIQQGLGIFGGAIADRFGAKPMIIIGMLLRAAGFALMAMADQPWILWLACALSALGGTLFDPPRTALVIKLVRPHERGRFYSILMMQDSAGAVVGALLGSWLLQYDFHLVCWVGAFIFVLAAIWNAWLLPAYRISTVRTPMKEGMMRVIRDKRFLTYVLTLTGYYMLAVQVMLMLPIVVNEVAGSPAAVKWMYAIEAILSLTLLYPIARWSEKHFRLEQRLMAGLFIMSLSMFPIGMTDSLHTLFMLICFFYMGSIIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYTGGGWMYDTGKSLALPELPWFLLGVIGLITLAGLYWQFNQRRIEPAMLSGG ->ARGMiner~~~emrB~~~WP_060615027.1~~~fluoroquinolone unknown +>ARGMiner~~~emrB~~~WP_060615027.1~~~fluoroquinolone~~~unknown MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLITITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH ->ARGMiner~~~mdtO~~~WP_032279295.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_032279295.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAISQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQNAWWQSCMATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAVAEGQCWQSDWRISESEAMAARECNLENICQTLLQLGQMDPNTPPTPAAKPPSMAADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGTLGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~macA~~~WP_042743407.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_042743407.1~~~macrolide~~~unknown MAKMMKWAAVAAVAAAAVWGGWSYLKPEPQAAYITEAVRRGDISRTVSATGEISPSNLVSVGAQASGQIKKLYVKLGQQVKKGDLIAEINSTTQTNTLNMEKSKLETYQAKLVSAQIALGSAEKKYKRQAALWKDDATSKEDLESAQDALAAAKANVAELKALIRQSKISINTAESELGYTRITATMDGTVVAILVEEGQTVNAAQSTPTIVQLANLDMMLNKMQIAEGDITKVKAGQDISFTILSEPDTPIKAKLDSVDPGLTTMSSGGYNSSTDTASNAVYYYARSFVPNPDGKLATGMTTQNTVEIDGVKNVLIIPSLTVKNRGGKAFVRVLGADGKAAEREIRTGMRDSMNTEVKSGLKEGDKVVISEITAAEQQESGERALGGPPRR ->ARGMiner~~~L1 beta-lactamase~~~WP_049439561.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~WP_049439561.1~~~cephalosporin~~~unknown MRLCLTTLALTATLAFDVTAADAPLPQLQAYTVDASWLQPMAPLQIADHTWQIGTEDLTALLVQTADGAVLLDGGMPQMAGHLLDNMKARGVAAQDLRLILLSHAHADHAGPVAELKRRTGASVAANAESAVLLARGGSNDLHFGDSITFPPASADRIIMDGEGVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGQPVRIAYADSLSAPGYQLQGNARYPRLVEDYRRSFTTVRGLPCDLLLTPHPGASNWNYAAGAEAGANALTCKAYADAAEKKFDAQLAKETATAR ->ARGMiner~~~emrA~~~CP001138.1.gene2905.p01~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~CP001138.1.gene2905.p01~~~fluoroquinolone~~~unknown MSANAEIQTPQQPAKKKGKRKTALLLLTLLFVIIAVAYGIYWFLVLRHIEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVKEGDVLVTLDQTDAKQAFEKAKTALASSVRQTHQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGNANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMILNSKLEDQPAVQQAATEVRNAWLALERTRIVSPMTGYVSRRAVQPGAQISPTTPLMAVVPATDLWVDANFKETQLANMRIGQPVTVITDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRVELDARQLEQHPLRIGLSTLVTVDTANRDGQVLASQVRTTPVAESNAREINLAPVNKLIDDIVQANAG ->ARGMiner~~~macA~~~WP_053295731.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_053295731.1~~~macrolide~~~unknown MRAKGKKFKKRYLVIILILLVGGMAGWRMINAPLPTYQTLIVRPGDLEQSVLATGKLDALRKVDVGAQVSGQLKTLLVSIGDNVKKDQLLGVIDPDQAENQIKEVEATLMELNAERQQAAAELKLARVTLARQQQLAKTQAVSQQDLDTAATEMAVKQARIGTIDAQIKRNRASLDTAKTNLEYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHPRAGQKAWFTIAGDPQTRYEGVLKDILPTPEKINDAIFYYARFEVPNPKRILRLDMTAQVYIQLMDVKNVLIIPLAALGEPVGGNRYKVALLRNGEKREREVVIGERNDTDVEVVKGLEAGDEVIIGESRPGATP ->ARGMiner~~~BcI~~~WP_025709594.1~~~cephalosporin;penam unknown +>ARGMiner~~~BcI~~~WP_025709594.1~~~cephalosporin;penam~~~unknown MILKKKRMLKIGICVGILGLSITSLEAFTGGALQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTISYRPNERFAFASTYKALAAGVLLQQNSIDTLNEVITYTKEDLVDYSPVTEKHVDTGMKLGEIAEAAVRSSDNTAGNILFNKIGGPKGYEKALRHIGDRITMSDRFETELNEAIPGDIRDTSTAKAIATNLKAFTVGNALPVEKRKILTEWMKGNATGDKLIRAGVPTDWVVGDKSGAGSYGTRNDIAIVWPPNRAPIIIAILSSKDEKEATYDNQLIAEATKVIVKALR ->ARGMiner~~~MexD~~~WP_003161790.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexD~~~WP_003161790.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MSEFFIKRPNFAWVVALFISLAGLLVISKLPVAQYPNVAPPQITITATYPGASAKVLVDSVTSVLEESLNGAKGLLYFESTNNSNGTAEIVVTFEPGTDPDLAQVDVQNRLKKAEARMPQAVLTQGLQVEQTSAGFLLIYALSYKEGAQRSDTTALGDYAARNINNELRRLPGVGKLQFFSSEAAMRVWIDPQKLVGFGLSIDDVSNAIRGQNVQVPAGAFGSAPGSSAQELTATLAVKGTLDDPQEFGQVVLRANEDGSLVRLADVARLELGKESYNISSRLNGTPTVGGAIQLSPGANAIQAATLVKQRLAELSAFFPEDMQYSVPYDTSRFVDVAIEKVIHTLIEAMVLVFLVMFLFLQNVRYTLIPSIVVPVCLLGTLMVMYLLGFSVNMMTMFGMVLAIGILVDDAIVVVENVERIMAEEGISPAEATVKAMKQVSGAIVGITLVLSAVFLPLAFMAGSVGVIYQQFSVSLAVSILFSGFLALTFTPALCATLLKPIPEGHHEKRGFFGAFNRGFARVTERYSLLNSKLVARAGRFMLVYAGLVAMLGYFYLRLPEAFVPAEDLGYMVVDVQLPPGASRVRTDATGEELERFLKSREAVASVFLISGFSFSGQGDNAALAFPTFKDWSERGAEQSAAAEIAALNEHFALPDDGTVMAVSPPPINGLGNSGGFALRLMDRSGVGREALLQARDTLLGEIQTNPKFLYAMMEGLAEAPQLRLLIDREKARALGVSFETISGTLSAAFGSEVINDFTNAGRQQRVVIQAEQGNRMTPESVLELYVPNAAGNLVPLSAFVSVKWEEGPVQLVRYNGYPSIRIVGDAAPGFSTGEAMAEMERLAAQLPAGIGYEWTGLSYQEKVSAGQATSLFALAILVVFLLLVALYESWSIPLSVMLIVPIGAIGAVLAVMVSGMSNDVYFKVGLITIIGLSAKNAILIVEFAKELWEQGHSLRDAAIEAARLRFRPIIMTSMAFILGVIPLALASGAGAASQRAIGTGVIGGMLSATFLGVLFVPICFVWLLSLLRSKPAPIEQAASAGE ->ARGMiner~~~y56 beta-lactamase~~~WP_057625744.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_057625744.1~~~cephalosporin;penam~~~unknown MKHSSLRRALLLAGITLPLVNFSLPTWAAAIPGSLDKQLAALEHSANGRLGIAMINTANGTKILYRGAQRFPFCSTFKFMLAAAVLGQSQSQPNLLNKHINYHESDLLSYAPITRKNLAHGMTVSELCAATIQYSDNTAANLLVKELGGLAAVNQFARSIGDQMFRLDRWEPDLNTALPNDPRDTTTPAAMAASINKLVLGDALHPAQRSQLTAWLKGNTTGDATIRAGAPTDWIVGDKTGSGDYGTTNDIAVLWPTKGAPIVLVVYFTQREKDAKPRRDVLASATKIILSQIS ->ARGMiner~~~arnA~~~WP_032262032.1~~~peptide unknown +>ARGMiner~~~arnA~~~WP_032262032.1~~~peptide~~~unknown MKTVVFAYHDMGCLGIEALLAAGYEISAIFTHTDNPGEKAFYGSVARLAAERGIPVYAPDDVNHPLWVERIAQLSPDVIFSFYYRHLICDEILQLAPAGAFNLHGSLLPKYRGRAPLNWVLVNGETETGVTLHRMVKRADAGAIVAQLRIAIAPDDIAITLHHKLCHAARQLLEQTFPAIKHGNILEIAQRENEATCFGRRTPDDSFLEWHKPASVLHNMVRAVADPWPGAFSYVGNQKFTVWSSRVHPHASKAQPGSVISVAPLLIACGDGALEIVTGQAGDGITMQGSQLAQTLGLVQGSRLNSQPACTARRRTRVLILGVNGFIGNHLTERLLREDHYEVYGLDIGSDAISRFLNHPHFHFVEGDISIHSEWIEYHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYRKRIIFPSTSEVYGMCSDKYFDEDHSNLIVGPVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGKQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNPDNEASIEELGEMLLASFEKHPLRHHFPPFAGFRVVESSSYYGKGYQDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLRTVDLTDKPS ->ARGMiner~~~sdiA~~~WP_001499641.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_001499641.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MQDTDFFSWRRTMLLRFQRMEAAEEVYHEIELQAQQLEYDYYSLCVRHPVPFTRPKVAFYTNYPEAWVSYYQAKNFLAIDPVLNPENFSQGHLMWNDDLFSEAQPLWEAARAHGLRRGVTQYLMLPNRALGFLSFSRCSAREIPILSDELQLKMQLLVRESLMALMRLNDEIVMTPEMNFSKREKEILKWTAEWKTSAEIAMILSISENTVNFHQKNMQKKINAPNKTQVACYAAATGLI ->ARGMiner~~~acrE~~~WP_001499888.1~~~fluoroquinolone;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~acrE~~~WP_001499888.1~~~fluoroquinolone;cephalosporin;cephamycin;penam~~~unknown MTKHARFFLLPSFILISAALIAGCNDKGEEKAHVGEPQVTVHIVKTAPLEVKTELPGRTNAYRIAEVRPQVSGIVLNRNFTEGSDVQAGQSLYQIDPATYQANYDSAKGELAKSEAAAAIAHLTVKRYVPLVGTKYISQQEYDQAIADARQADAAVIAAKATVESARINLAYTKVTAPISGRIGKSTVTEGALVTNGQTTELATVQQLDPIYVDVTQSSNDFMRLKQSVEQGNLHKENATSNVELVMENGQTYPLKGTLQFSDVTVDESTGSITLRAVFPTPQHTLLPGMFVRARIDEGVQPDAILIPQQGVSRTPRGDATVLIVNDKSQVEARPVVASQAIGDKWLISEGLKSGDQVIVSGLQKARPGEQVKATTDTPADTASK ->ARGMiner~~~tolC~~~WP_000735276.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_000735276.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANAHYNYLINQLNIKSALGTLNEQDLLALNNALSKPVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~macB~~~WP_000188178.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188178.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRLKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mtrR~~~ABM45915.1~~~macrolide;penam;antibacterialfreefattyacids unknown +>ARGMiner~~~mtrR~~~ABM45915.1~~~macrolide;penam;antibacterialfreefattyacids~~~unknown MRKTKTEALKTKEHLMLAALETFYRKGIARTSLNEIAQAAGVTRGALYWHFKNKEDLFDALFQRICDDIENCIAQDAEDAEGGSWAVFRHTLLHFFERLQSNDIYYKFHNILFLKCEHTEQNAAVIAIARKHQAIWREKITAVLTEAVENQDLADDLDKETAVIFIKSTLDGLIWRWFSS ->ARGMiner~~~tolC~~~WP_032607919.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_032607919.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYTNGFRDNNGINSNATSASLQLTQTLFDMSKWRELSLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSFTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALEALRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDNNMGQNKVGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSTLGKPVSTSPDSVAPENPQQDAAVDNFTANSSTPVAQPAAARSTSPASSGTNPFRN ->ARGMiner~~~sdiA~~~WP_004103456.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~sdiA~~~WP_004103456.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MRDNDFFSWRREMLQQFQSASAGEGVFHLLQQQAQALEYDYFALCVRHPVPFTRPRVTLQSTYPPAWMSHYQAENYFAIDPVLRKENFLRGHLPWNDKLFQDTPELWDGARDHGLRKGVTQCLTLPNHAHGFLSVSGISHSQGPFEEDELEMRLRTLTELSLLTLLRLEDEMVMPPEMKFSRRELEILKWTAEGKTSAEVAMILSISENTVNFHQKNMQRKFNAPNKTQIACYAVATGLI ->ARGMiner~~~mdtG~~~WP_001600585.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_001600585.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFFPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSSNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQVSN ->ARGMiner~~~mgrA~~~WP_011276467.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_011276467.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQHNLKEQLCFSLYNAQRQVNRYYSNKVFKKYNLTYPQFLVLSILWDESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEVDQREVFIHLTEKSEKFKPELSDASQKVANASSLSNDEVHELNRLLGKVIDAFTESK ->ARGMiner~~~macA~~~WP_000746458.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746458.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLLALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~patB~~~WP_031644138.1~~~fluoroquinolone unknown +>ARGMiner~~~patB~~~WP_031644138.1~~~fluoroquinolone~~~unknown MSQFDEVIPRIGTNSEKWDGAEELFGRKNIIPMWVADMDFRAPQPVLDAFQRQIDHGIFGYSTKSAALVEAIIDWNKEQHQFEIDPSTLFFNGAVVPTISLAIRSLTNEGDAVLMVSPIYPPFFNVTKATERKVVMSPLIYENRQYRMDFNDLEKRMKEEKVKLFLLCNPQNPGGRCFTKEELVELAKLCEKYQIPIVSDEIHADLVMKNHKHVPMMVAAPFYQDQIITLMAATKTFNLAAIKASYYIITNKDYQAKFAAEQKYATTNGLNVFGIVGTEAAYRHGVPWLKELKEYIYSNYEYVKAALEKEVPEVGVTDLEATYLMWLDCRALPKDEKTIYADLIEAGVGVQMGSGFGHSGKGFVRFNIACPKETLEKAVKLLIQGLKK ->ARGMiner~~~nalD~~~WP_031632892.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalD~~~WP_031632892.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MRRAKEDSEKTRTAILLAAEELFLEKGVSHTSLEQIARAAGVTRGAVYWHFQNKAHLFNEMLNQVRLPPEQLTERLSGCDGSDPLRSLYDLCLEAVQSLLTQEKKRRILTILMQRCEFTEELREAQERNNAFVQMFIELCEQLFARDECRVRLHPGMTPRIASRALHALILGLFNDWLRDPRLFDPDTDAEHLLEPMFRGLVRDWGQASSAP ->ARGMiner~~~OprN~~~WP_003109550.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~OprN~~~WP_003109550.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MIHTQSIRSGLAPALGLFSLLALSACTVGPDYRTPDTAAAKIDATASKPYDRSRFESLWWKQFDDPTLNQLVEQSLSGNRDLRVAFARLRAARALRDDVANDRFPVVTSRASADIGKGQQPGVTEDRVNSERYDLGLDSAWELDLFGRIRRQLESSDALSEAAEADLQQLQVSLIAELVDAYGQLRGAQLREKIALSNLENQKESRQLTEQLRDAGVGAELDVLRADARLAATAASVPQLQAEAERARHRIATLLGQRPEELTVDLSPRDLPAITKALPIGDPGELLRRRPDIRAAERRLAASTADVGVATADLFPRVSLSGFLGFTAGRGSQIGSSAARAWSVGPSISWAAFDLGSVRARLRGAKADADAALASYEQQVLLALEESANAFSDYGKRQERLVSLVRQSEASRAAAQQAAIRYREGTTDFLVLLDAEREQLSAEDAQAQAEVELYRGIVAIYRSLGGGWQPSA ->ARGMiner~~~lsaB~~~WP_046954623.1~~~lincosamide;streptogramin;pleuromutilin unknown +>ARGMiner~~~lsaB~~~WP_046954623.1~~~lincosamide;streptogramin;pleuromutilin~~~unknown MSMIKVQDLTFSYPGSFDNIFEDVNFQIDTDWKLGFIGRNGRGKTTFFNLLLGNYEYSGKILASVEFNYFPYTVADKNKFTHEILEEICPQAEDWEFLREISYLNVDAEVMYRPFKTLSNGEQTKVLLAALFLNEGQFLLIDEPTNHLDTDARKIVSDYLRKKKGFILISHDRVFLDGCVDHILSINRANIEVQSGNYSSWKLNFDRQQEHEEATNDRLQKDIGRLKQSSKRSASWSHDVEASKNGTRNSGSKLDKGFVGHKAAKMMKRAKNLESRQQKAIEEKSKLLKNVEKTESLKLEALKFKSNELVTLADVSVTYDEQVVNEPINFVVEQGDRIVLDGKNGSGKSSILKLILGYPIQHTGSVTLGTGLTISYVQQDTSHLKGSLSNFIEEHKIDETLFKSILRKMDFDRIQFEKDIFHYSGGQKKKLLIAKSLCEKAHMYIWDEPLNFIDIYSRMQIEELIQQFNPTMIIVEHDKAFQQTVATKTISM ->ARGMiner~~~macB~~~WP_045348329.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_045348329.1~~~macrolide~~~unknown MTALLELNDIRRSYPSGDGPVEVLKGISLRVEAGEMVAIVGASGSGKSTLMNILGCLDKPTSGTYRVAGTDVSTLDGDALAKLRREHFGFIFQRYHLLSHLSAAQNVEVPAVYAGVERKKRLERAKALLTRLGLAERVDYHPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDQGHTVIIVTHDPQVAAQAERIIEIHDGELVSNPPPRQSRAAAPKEALPASTGWGQFSSGFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQLVLADIRAIGTNTIDVYPGKDFGDDEPQYQQALKYDDLAAIQKQPWVNSATPAVSQNLRLRYGNIDVAASANGVSGDYFNVYGMTFSEGATFNAEQLAGRAQVVVLDANSRRQLFPNKTRVVGEVILVGNMPATVIGVAEEKQSMFGSSKILRVWLPYSTISGRIMGQSWLNSITVRVKEGYDSALAEQQLERLLTLRHGKKDFFTWNMDGLLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGAMGIALSMMIAFALQLFLPGWEIGFSPMAILTAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtH~~~WP_053888283.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_053888283.1~~~fluoroquinolone~~~unknown MSRVSQARNLGKYFLLIDNMLVVLGFFVVFPLISIRFVDQMGWAAVMVGIALGLRQFIQQGLGIFGGAIADRFGAKPMIVTGMLMRAAGFATMGIAHEPWLLWFSCLLSGLGGTLFDPPRSALVVKLIRPQQRGRFFSLLMMQDSAGAVIGALLGSWLLQYDFRLVCATGAVLFVLCAAFNAWLLPAWKLSTVHTPVREGMTRVMRDKRFVTYVLTLAGYYMLAVQVMLMLPIMVNDVAGAPSAVKWMYAIEACLSLTLLYPIARWSEKHFRLEHRLMAGLLIMSLSMMPVGMVSGLQQLFTLICLFYIGSIIAEPARETLSASLADARARGSYMGFSRLGLAIGGAISYIGGGWLFDLGKSAHQPELPWMMLGIIGIFTFLALGWQFSQKRAARRLLERDA ->ARGMiner~~~adeA~~~NC_009085.4919118.p01~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeA~~~NC_009085.4919118.p01~~~glycylcycline;tetracycline~~~unknown MLFEDINVDPETGDVTFRIEVNNTERKLLPGMYVRVNIDRASIPQALLVPAQAIQRNISGEPQVYVINAQGTAEIRPIEIGQQYEQFYIANKGLKVGDKVVVEGIERIKPNQKLALAAWKAPAVANHASSVETKTSITEGAQP ->ARGMiner~~~Bacillus subtilis mprF~~~WP_064126137.1~~~peptide unknown +>ARGMiner~~~Bacillus subtilis mprF~~~WP_064126137.1~~~peptide~~~unknown MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAISVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKIEDCEEIINQYGGNYLSHLIYSGDKQFFTNENKTAFLMYRYKASSLVVLGDPLGDENAFDELLEAFYNYAEYLGYDVIFYQVTDQHMPLYHNFGNQFFKLGEEAIIDLTQFSTSGKKRRGFRATLNKFDELNISFEIIEPPFSTEFINELQHVSDLWLDNRQEMHFSVGQFNEEYLSKAPIGVMRNEENEVIAFCSLMPTYFNDAISVDLIRWLPELDLPLMDGLYLHMLLWSKEQGYTKFNMGMATLSNVGQLHYSYLRERLAGRVFEHFNGLYRFQGLRRYKSKYNPNWEPRFLVYRKDNSLWESLSKVMRVIRHK ->ARGMiner~~~msbA~~~WP_000597232.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_000597232.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRELTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGGQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELIAKQGAYEHLYSIQNL ->ARGMiner~~~macA~~~WP_000746448.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_000746448.1~~~macrolide~~~unknown MKKRKTVKKRYVIALVIVIAGLITLWRILNAPVPTYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAENQIKEVEATLMELRAQRQQAEAELKLARVTYSRQQRLAQTQAVSLQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTRIVAPMGGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPLTRYEGQIKDVLPTPEKVNDAIFYYARFEVPNPNGLLRLDMTAQVHIQLTDVKNVLTIPLSALGDPVGDNRYKVKLLRNGETREREVTIGARNDTDVEIVKGLEAGDEVVIGEAKPGAAQ ->ARGMiner~~~mdtP~~~WP_033871350.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_033871350.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQAQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALTETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPRVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQASPVVEKK ->ARGMiner~~~msbA~~~WP_061737875.1~~~nitroimidazole unknown +>ARGMiner~~~msbA~~~WP_061737875.1~~~nitroimidazole~~~unknown MIKRYLQFVKPYKYRIFATIIVGIIKFGIPMLIPLLIKYAIDGVINNHALTTDEKVHHLTIAIGIALFIFVIVRPPIEFIRQYLAQWTSNKILYDIRKKLYNHLQALSARFYANNQVGQVISRVINDVEQTKDFILTGLMNIWLDCITIIIALSIMFFLDVKLTLAALFIFPFYILTVYVFFGRLRKLTRERSQALAEVQGFLHERVQGISVVKSFAIEDNEAKNFDKKNTNFLTRALKHTRWNAYSFAAINTVTDIGPIIVIGVGAYLAISGSITVGTLAAFVGYLELLFGPLRRLVASFTTLTQSFASMDRVFQLIDEDYDIKNGVGAQPIEIKQGRIDIDHVSFQYNDNEAPILKDINLSIEKGETVAFVGMSGGGKSTLINLIPRFYDVTSGQILIDGHNIKDFLTGSLRNQIGLVQQDNILFSDTVKENILLGRPTATDEEVVEAAKMANAHDFIMNLPQGYDTEVGERGVKLSGDQKQRLSIARIFLNNPPILILDEATSALDLESESIIQEALDVLSKDRTTLIVAHRLSTITHADKIVVIENGHIVETGTHRELMSKQGAYEHLYSIQNL ->ARGMiner~~~macB~~~WP_000188165.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188165.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVAMLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVINTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKANVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_013098744.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_013098744.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDSLSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDSVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDNFKTDKPQAVNALLKEAENRNLTLLQARLSQDLAREQIRQAQDGHLPTLSLSASTGVSDTSYSGSKTNTAQYDDSNQGQNKVGLSFSLPLYQGGLVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLLALNNALGKPVSTSPDSVAPENPEQVAAVDNFNANSNAPAAQPAAARTNTGSNPFRN ->ARGMiner~~~mdtG~~~WP_000074168.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~WP_000074168.1~~~fosfomycin~~~unknown MSPCENDTPINWKRNLIVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGIVFSITFLFSAIASPFWGGLADRKGRKLMLLRSALGMGIVMVLMGLAQNIWQFLILRALLGLLGGFVPNANALIATQVPRNKSGWALGTLSTGGVSGALLGPMAGGLLADSYGLRPVFFITASVLILCFFVTLFCIREKFQPVSKKEMLHMREVVTSLKNPKLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNVAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILITALIFSVLLLIPMSYVQTPLQLGILRFLLGAADGALLPAVQTLLVYNSNNQIAGRIFSYNQSFRDIGNVTGPLMGAAISANYGFRAVFLVTAGVVLFNAVYSWNSLRRRRIPQISN ->ARGMiner~~~FosB~~~WP_002118569.1~~~fosfomycin unknown +>ARGMiner~~~FosB~~~WP_002118569.1~~~fosfomycin~~~unknown MLKGINHLCFSVSDLKKSIMFYEKVLEGKLLAKGRKLAYFNICGIWIALNEEAHIPRNEIHQSYTHIAFTVEQEDFNYLLKRLEENGVHILKGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYKDEKPHMTFY ->ARGMiner~~~tolC~~~WP_023294570.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_023294570.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLGNPDLRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGFRDANGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNALESLRQVTGNYYPELASLNVDSFKTDKPQAVNALLKEAENRNLTLLQARLNQDLAREQIRQAQDGHLPTLSLSASTGVSDTTYSGSKTNSAQYDDSNMGQNKVGLSFSLPLYQGGQVNSQVKQAQYNFVGASEQLESAHRNVVQTVRSSFNNVNASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYQYLINQLNIKQALGTLNEQDLQMLNSALGKPVSTSPDSVAPENPEQVAAVDNFNANNTTPAAQPAAARTTAPASKGNNPFRN ->ARGMiner~~~norA~~~WP_057512892.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~norA~~~WP_057512892.1~~~fluoroquinolone;acridinedye~~~unknown MNKQFVILYFNIFLVFLGIGLVVPVLPVYLKDLGLKGSDLGVLVAVFALAQMVISPFGGTLADKLGKKLIICIGLGLFAISEFLFAASHTFSLLIVSRILGGFSAGMVMPGVTGMIADISIGKDKAKNFGYMSAIINSGFILGPGMGGFLAEFSHRLPFYVAGFSGCLALVLSIILIKNPKNETQDGFTVYQPELLTKIDWKVFLTPITLTLVLAFGLSAFETLFPLYTADKAHYSPLDISFAITGGGILGAVFQVFFFDKFMKHFKELTFINYSLIYSAIVLLALTFVDSYWSIMLISFIVFIGFDMIRPAITNYFSNIAGDRQGFAGGLNSTFTSMGNFIGPLVAGTLYDVNFEYPLYMSVVVMILGMFVILVEKMIRTNLRKS ->ARGMiner~~~MexC~~~WP_031654226.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexC~~~WP_031654226.1~~~macrolide;fluoroquinolone;aminoglycoside;cephalosporin;penam;tetracycline;aminocoumarin;diaminopyrimidine;phenicol~~~unknown MADLRAIGRIGALAMAIALAGCGPAEERQEAAEMVLPVEVLTVQAEPLALSSELPGRIEPVRVAEVRARVAGIVVRKRFEEGADVKAGDLLFQIDPAPLKAAVSRAEGELARNRAVLFEAQARVRRYEPLVKIQAVSQQDFDTATADLRSAEAATRSAQADLETARLNLGYASVTAPISGRIGRALVTEGALVGQGEATLMARIQQLDPIYADFTQTAAEALRLRDALKKGTLAAGDSQALTLRVEGTPYERQGALQFADVAVDRGTGQIALRGKFANPDGVLLPGMYVRVRTPQGIDNQAILVPQRAVHRSSNGSAQVMVVGADERAEARSVGTGVMQGSRWQITEGLEPGDRVIVGGLAAVQPGVKIVPKPDGAQAQAQSPAPQQ ->ARGMiner~~~bcrA~~~COR83787.1~~~peptide unknown +>ARGMiner~~~bcrA~~~COR83787.1~~~peptide~~~unknown MSPINTIIKTTNLTKVYGNQKSVDNLNINVQQGEIYGFIGRNGAGKTTTIRMLLSLIKPTSGTIEIFGENLFQNQKDILSRIGSIVEVPGFYENLTAKENLLINAKIIGVYKKNAIEEALEIVGLQHETKKLVGKYSLGMKQRLGIARALLHYPELLILDEPTNGLDPIGIKEMRKLIHSLAQERNITILISSHILAEVEQLVDRIGIIHEGRLLEEVSLDKLRKANRKYIEFQVNNENKAAMLLENQFQIFDYEVHDEGNIRIYSHFGQQGYINRTFVLNNIEVLKMMISEDRLEDYFTKLVGGGTIG ->ARGMiner~~~L1 beta-lactamase~~~gi:126149273:dbj:BAF47409.1~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~gi:126149273:dbj:BAF47409.1~~~cephalosporin~~~unknown MRSTLLAFALAVALPAAHASAAEAPLPQLRAYTVDASWLQPMAPLQVADHTWQIGTEDLTALLVQTAEGAVLLDGGMPQMAGHLLDNMKLRGVAPQDLRLILLSHAHADHAGPVAELKRRTGAHVAANAETAVLLARGGSNDLHFGDGITYPPASADRIIMDGEVVTVGGIAFTAHFMPGHTPGSTAWTWTDTRDGKPVRIAYADSLSAPGYQLKGNPRYPRLIEDYKRSFATVRALPCDLLLTPHPGASNWNYAAGSKASAEALTCNAYADAAEKKFDAQLAKETAGAR ->ARGMiner~~~macA~~~WP_048998386.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_048998386.1~~~macrolide~~~unknown MKLKGKIKKRYFLFAIILIVAVIALWRTLNAPLPQYQTLIVRPGDLQQSVLATGKLDALRKVDVGAQVSGQLKTLSVAIGDKVKKDQLLGVIDPEQAQNQIKEVEATLMELRAQRLQAEAEWKLARVTLSRQQQLAKTQAVSQQDLDTAATEMAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTCIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLQPGQKAWFTVLGDPQTRYEGTLKDVLPTPEKVNDAIFYYARFEVPNPKGILRLDMTAQVHIQLTDVKNVLTIPLSALGDPIGNNRYNVRLLRNGETREREVVIGARNDTDVEIVKGLEEGDEVITGEGNAGAAK ->ARGMiner~~~nalC~~~WP_003453693.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_003453693.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDASPRLTERGRQRRRAMLDAATQAFLEYGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQSPAQG ->ARGMiner~~~mdtG~~~ESC70207.1~~~fosfomycin unknown +>ARGMiner~~~mdtG~~~ESC70207.1~~~fosfomycin~~~unknown MSPSDVPINWKRNLTVTWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIVMLLMGMAQNIWQFLILRALLGLLGGFIPNANALIATQVPRHKSGWALGTLSTGGVSGALLGPLAGGLLADHYGLRPVFFITASVLFICFLLTFFFIRENFLPVSKKEMLHVREVVASLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRELAGNVSNIAFISGMIASVPGVAALLSAPRLGKLGDRIGPEKILIVALIISVLLLIPMSFVQTPWQLALLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLMGAAISASYGFRAVFCVTAGVVLFNAIYHGTAYDGADWQ ->ARGMiner~~~acrB~~~WP_046596632.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~acrB~~~WP_046596632.1~~~fluoroquinolone;cephalosporin;glycylcycline;penam;tetracycline;rifamycin;phenicol;triclosan~~~unknown MPNFFIDRPIFAWVIAIIIMLAGGLAIFKLPVAQYPTIAPPAVTISATYPGADAKTVQDTVTQVIEQNMNGIDNLMYVSANSDSTGTVQITLTFESGTDADIAQVQVQNKLQLAMPLLPQEVQQQGVSVEKSSSSFLMVVGVINTDGTMTQEDISDYVAANMKDPISRTSGVGDVQLFGSQYAMRIWMNPTELTKYQLTPVDVINAIKAQNAQVAAGQLGGTPPVKGQQLNASIIAQTRLTSTDEFGKILLKVNQDGSQVRLRDVAKIELGGENYDVIAKFNGQPASGLGIKLATGANALDTATAIRAELKKMEPFFPPGMKIVYPYDTTPFVKISIHEVVKTLVEAIILVFLVMYLFLQNFRATLIPTIAVPVVLLGTFAVLAAFGFSINTLTMFGMVLAIGLLVDDAIVVVENVERVMTEEGLPPKEATRKSMGQIQGALVGIAMVLSAVFIPMAFFGGSTGAIYRQFSITIVSAMALSVLVALILTPALCATMLKPVAKGDHGEGKKGFFGWFNRLFDKSTHHYTDSVGNILRSTGRYLLLYLIIVVGMAYLFVRLPSSFLPDEDQGVFLTMVQLPAGATQERTQKVLDEVTDYYLNKEKANVESVFAVNGFGFAGRGQNTGIAFVSLKDWADRPGEKNKVEAITQRATAAFSQIKDAMVFAFNLPAIVELGTATGFDFELIDQAGLGHEKLTQARNQLFGEVAKYPDLLVGVRPNGLEDTPQFKIDIDQEKAQALGVSISDINTTLGAAWGGSYVNDFIDRGRVKKVYVMSEAKYRMLPDDINDWYVRGSDGQMVPFSAFSSSRWEYGSPRLERYNGLPSMEILGQAAPGKSTGEAMAMMEELASKLPSGIGYDWTGMSYQERLSGNQAPALYAISLIVVFLCLAALYESWSIPFSVMLVVPLGVIGALLAATFRGLTNDVYFQVGLLTTIGLSAKNAILIVEFAKDLMDKEGKGLVEATLEAVRMRLRPILMTSLAFMLGVMPLVISSGAGSGAQNAVGTGVLGGMVTATVLAIFFVPVFFVVVRRRFSRKSEDIEHSHSTEHR ->ARGMiner~~~mdtP~~~WP_000610618.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtP~~~WP_000610618.1~~~nucleoside;acridinedye~~~unknown MINRQLSRLLLCSILGSTTLISGCALVRKDSAPHQQLKPEQIKLADDIHLASSGWPQTQWWKQLNDPQLDALIQRTLSGSHTLAEAKLREEKAQSQADLLDAGSQLQVAALGMLNRQRVSANGFLSPYAMDAPALGMDGPYYTEATVGLFAGLDLDLWGVHRSAVAAAIGAHNAALAETAAVELSLTTGVAQLYYSMQASYQMLDLLEQTRDVIDYAVKAHQSKVAHGLEAQVPFHGARAQILAVDKQIAAVKGQITETRESLRALIGAGASDMPEIKPVALPQVQTGIPATLSYELLARRPDLQAMRWYVQASLDQVDSARALFYPSFDIKAFFGLDSIHLDTLFKKTSRQFNFIPGLKLPLFDGGRLNANLEGTRAASNMMIERYNQSVLNAVRDVAVNGTRLQTLNDEREMQAERVEATRFTQRAAEAAYQRGLTSRLQATEARLPVLAEEMSLLMLDSRRVIQSIQLMKSLGGGYQAGPVVEKK ->ARGMiner~~~FosB3~~~WP_024937110.1~~~fosfomycin unknown +>ARGMiner~~~FosB3~~~WP_024937110.1~~~fosfomycin~~~unknown MLKSINHICFSVRNLNDSIHFYRDILLGKLLLTGKKTAYFELAGLWIALNEEKDIPRNEIHFSYTHIAFTIDDSEFKYWHQRLKDNTVNILEGRVRDIRDRQSIYFTDPDGHKLELHTGTLENRLNYYKEAKPHMTFYK ->ARGMiner~~~tolC~~~WP_048225552.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_048225552.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDSNGVNSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFKVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNDLDNAVEELRQVTGNYYPELASLNVDGFKTNKPQAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRASDAGTRYDDSNEGQNKIGLSFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNVKSALGTLNEQDLVALNNTLGKPIPTSPDSVAPQNPQQDAAVNDFNSTGNMPAAQPTAARSTSSNGNNPFRN ->ARGMiner~~~mdtH~~~WP_004247974.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_004247974.1~~~fluoroquinolone~~~unknown MALVTQARTLGKYFLLLDNMLVVLGFFVVFPLISIRFVEQLGWAGVIVGFALGLRQLVQQGLGIFGGAIADRFGAKPMIITGMLLRALGFALMALADEPWILWLSCILSALGGTLFDPPRTALVIKLTRPYERGRFYSLLLMQDSAGAVIGALIGSWLLLYDFHLVCWVGAGVFVLAAIFNAWLLPAYRISTTRTPIKEGLKRVILDKRFVQYVLTLTGYFVLSVQVMLMFPIVVNEIAGTPSAVKWMYAIEALLSLTLLYPIARWSEKHFRLEQRLMAGLFLMSISMFPVGITHTLHAIFLIITLFYLGTITAEPARETLSASLADPRARGSYMGFSRLGLAFGGAIGYTGGGWMYDIGKQLELPELPWFLLGTIGFITLYALHRQFNRKKIETAMLTP ->ARGMiner~~~hmrM~~~WP_032224102.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_032224102.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVITQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRLPSAIILQRASR ->ARGMiner~~~MexT~~~WP_019726253.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexT~~~WP_019726253.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNRNDLRRVDLNLLIVFETLMHERSVTRAAEKLFLGQPAISAALSRLRTLFDDPLFVRTGRSMEPTARAQEIFAHLSPALDSISTAMSRASEFDPATSTAVFRIGLSDDVEFGLLPPLLRRLRAEAPGIVLVVRRANYLLMPNLLASGEISVGVSYTDELPANAKRKTVRRSKPKILRADSAPGQLTLDDYCARPHALVSFAGDLSGFVDEELEKFGRKRKVVLAVPQFNGLGTLLAGTDIIATVPDYAAQALIAAGGLRAEDPPFETRAFELSMAWRGAQDNDPAERWLRSRISMFFGDPESL ->ARGMiner~~~sul2~~~WP_001043261.1~~~sulfonamide;sulfone unknown +>ARGMiner~~~sul2~~~WP_001043261.1~~~sulfonamide;sulfone~~~unknown MNKSLIIFGIVNITSDSFSDGGRYLAPDAAIAQARKLMAEGADVIDLGPASSNPDAAPVSSDTEIARIAPVLDALKADGIPVSLDSYQPATQAYALSRGVAYLNDIRGFPDAAFYPQLAKSSAKLVVMHSVQDGQADRREAPAGDIMDHIAAFFDARIAALTGAGIKRNRLVLDPGMGFFLGAAPETSLSVLARFDELRLRFDLPVLLSVSRKSFLRALTGRGPGMSGPATLAAELAAAAGGADFIRTHEPRPLRDGLAVLAALKETARIR ->ARGMiner~~~adeB~~~WP_000987589.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987589.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIHRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVANVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLNPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKEGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVITGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSAVNTSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMVMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIISRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~tolC~~~WP_064225264.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_064225264.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSSLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQSIFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEQLRQITGNYYPELAALNVENFKTDKPQPVNALLKEAEKRNLSLLQARLSQDLAREQIRQAQDGHLPTLDLTASTGISDTSYSGSKTRGAAGTQYDDSNMGQNKVGLSFSLPIYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQELANARYNYLINQLNIKSALGTLNEQDLLALNNALSKSVSTNPENVAPQTPEQNAIADGYAPDSPAPVVQQTSARTTTSNGHNPFRN ->ARGMiner~~~hmrM~~~WP_016161206.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_016161206.1~~~fluoroquinolone;acridinedye~~~unknown MQKYFVEARQLLALAIPVILAQVAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVVAQLNGSGRRDRIAQQVRQGFWLAGFVSVLIMVVLWNAGYIISSMHNIDPLLAEKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATASVYWVMFASMLWWVRRARSMRDIRCAEHFSRPDFAVLLRLVQLGLPIALALFFEVTLFAVVALLVSPLGIIDVAGHQIALNFSSLMFVLPLSLAAAVTIRVGFRLGQGSTIDAQVSARTGVGVGVCLAVFTAIFTVLMREQIALLYNDNPEVVLLASHLMLLAAIYQISDSIQVIGSGILRGYKDTRSIFFITFTAYWVLGLPSGYLLALTDMVVPRMGPAGFWCGFIIGLTSAAIMMMLRMRFLQRQPSSIILQRAAR ->ARGMiner~~~FosA2~~~WP_047743480.1~~~fosfomycin unknown +>ARGMiner~~~FosA2~~~WP_047743480.1~~~fosfomycin~~~unknown MLQSLNHLTLAVSDLQKSVTFWHELLGLTLHARWNTGAYLTCGDLWVCLSYDEARQYVPPQESDYTHYAFTVAAEDFEPFSHKLEQAGVTVWKQNKSEGASFYFLDPDGHKLELHVGSLAARLAACREKPYAGMVFTSDEA ->ARGMiner~~~macA~~~WP_048981676.1~~~macrolide unknown +>ARGMiner~~~macA~~~WP_048981676.1~~~macrolide~~~unknown MNLKGKRRKLFLLLAVVVLAGGFWLWKVLNAPVPQYQTLIVRPGELQQNVLATGKLDALRKVDVGAQVSGQLKTLSVEIGDKVKKGQLLGVIDPEQAQNQIREVEAKLMELRAQRAQAQAERNLAQVTLTRQQALAKTQAISKQDLDTAATELAVKQAQIGTIDAQIKRNQASLDTAKTNLDYTQIVAPMAGEVTQITTLQGQTVIAAQQAPNILTLADMSTMLVKAQVSEADVIHLKPGQKAWFTVLGDPQTRYEGVLKDILPTPEKVNDAIFYYARFEVPNPQGVLRLDMTAQVHIQLTGVKNVLTVPLSALGESAGDNRYKVKVLRNGETREREVVIGARNDTDVVVVKGLEEGEEVVTSESLPGAAQ ->ARGMiner~~~mgrA~~~WP_002508928.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye unknown +>ARGMiner~~~mgrA~~~WP_002508928.1~~~fluoroquinolone;cephalosporin;penam;tetracycline;peptide;acridinedye~~~unknown MSEQLNLKEQLCFSLYNAQRQVNRYYSNNVFKKYKLTYPQFLVLTILWNESPVNVKKVVTELALDTGTVSPLLKRMEQVDLIKRERSEIDQREVFIHLTEKSESIRPELDTACQDVAVASSLDETESAELNRLLDKVITAFTDVKTK ->ARGMiner~~~mexH~~~WP_053065897.1~~~fluoroquinolone;tetracycline;acridinedye unknown +>ARGMiner~~~mexH~~~WP_053065897.1~~~fluoroquinolone;tetracycline;acridinedye~~~unknown MAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR ->ARGMiner~~~hmrM~~~WP_001174958.1~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~WP_001174958.1~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRSMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGSVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPDPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~mdtH~~~WP_006174654.1~~~fluoroquinolone unknown +>ARGMiner~~~mdtH~~~WP_006174654.1~~~fluoroquinolone~~~unknown MSRVSQARSLGKYFLLVDNMLVVLGFFVVFPLISIRFVDQMGWAALMVGIALGLRQLVQQGLGVFGGAIADRFGAKPMIVTGMLLRAAGFATMAIAHEPWVLWFSCFLSGIGGTLFDPPRTALVVKLIRPQHRGRFFSILMMQDSAGAVVGALLGSWLLQYDFRLVCATGAVLFILCALFNGLYLPAWKLSTVKAPVREGLGRVLSDKRFVTYVLTLTGYYMLAVQVMLMLPIMVNDIAGTPTAVKWMYAIEACLSLTLLYPIARWSERRFRLEHRLMAGLLIMTLSMMPIGLVSSLQQLFTLICTFYIGSVIAEPARETLSASLADARARGSYMGFSRLGLALGGALGYAGGGWLFDAGKAMSQPELPWVMLGVVGFITFIALWWQFSQKRSASGMLEPGA ->ARGMiner~~~tolC~~~WP_040233965.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_040233965.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDSNGINSNATSASLQLTQTLFDMSKWRALTLQEKSAGIQDVTYQTDQQTLILNTATAYFNVLNAIDVLSYTQAQKDAVYRQLDQTTQRFNVGLVAITDVQNARSQYDTVLANEVTARNNLDNAVEQLRQVTGNYYPELASLNVDGFKTNKPQAVNSLLKEAENRNLTLLQARLSQDLAREQIRLAQDGHLPTLGLTASTGVSDTSYSGSKTNTSQYDDSNQGQNKIGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLANARYTYLINQLNIKSALGTLNEQDLVALNNTLGKPISTAPDSVAPENTQQDATADGYTANSAAPAVKPAAARTTSSSNGNNPFRN ->ARGMiner~~~tetM~~~ABY63608.1~~~tetracycline unknown +>ARGMiner~~~tetM~~~ABY63608.1~~~tetracycline~~~unknown MKIINIGVLAHVDAGKTTLTESLLYNSGAITELGSVDKGTTRTDNTLLERQRGITIQTGITSFQWENTKVNIIDTPGHMDFLAEVYRSLSVLDGAILLISAKDGVQAQTRILFHALRKMGIPTIFFINKIDQNGIDLSTVYQDIKEKLSMEIIIKQKVELHPNMCVMSCTEPEQWDVVIEGNDDLLEKYMSGKSLEALELEQEEIRRFQNCSLYPVYHGSAKSNIGIEQLIEVITNKFYSSTYRKKSELCGNVFKIEYSEERQRLAYVRLYGGILHLRDSVRISEKEKIKITEMYTSINGELCKIDKAYSGEIVILQNEFLKLNSVLGDTKLLPQRERIENPLPLLQTTVEPSKPQQREMLLDALLEISDSDPLLQYYVDSTTHEIILSFLGKVQMEVISALLQEKYHVEIELKEPTVIYMERPLKNAEYTVHIEVPPNPFWASIGLSVSPLPLGSGMQYESSVSLGYLNQSFQNAVMEGIRYGCEQGLYGWNVTDCKICFKYGLYYSPVSTPADFRMLAPIVLEQVLKKAGTELLEPYLSFKIYAPQEYLSRAYNDAPKYCANIVDTQLKNNEVILSGEIPARCIQEYRSDLTFFTNGRSVCLTELKGYHVTTGEPVCQPRRPNSRIDKVRYMFNKIT ->ARGMiner~~~tetX~~~AMP50242.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetX~~~AMP50242.1~~~glycylcycline;tetracycline~~~unknown MRIDTDKQMNLLSDKNVAIIGGGPVGLTMAKLLQQNGIDVSVYERDNDREARIFGGTLDLHKGSGQEAMKKAGLLQTYYDLALPMGVNIADKKGNILSTKNVKPENRFDNPEINRNDLRAILLNSLENDTVIWDRKLVMLEPGKKKWTLTFENKPSETADLVILANGGMSKVRKFVTDTEVEETGTFNIQADIHQPEINCPGFFQLCNGNRLMASHQGNLLFANPNNNGALHFGISFKTPDEWKNQTQVDFQNRNSVVDFLLKEFSDWDERYKELIHTTLTFVGLATRIFPLEKPWKSKRPLPITMIGDAAHLMQPFAGQGVNSGLVDALILSDNLADGKFNSIEEAVKNYEQQMFIYGKEAQEESTQNEIEMFKPDFTFQQLLNV ->ARGMiner~~~adeG~~~WP_032029994.1~~~fluoroquinolone;tetracycline unknown +>ARGMiner~~~adeG~~~WP_032029994.1~~~fluoroquinolone;tetracycline~~~unknown MSFSRKQFALSAIFVAILATGGSFMLLHENADAKAAPTAAQQAATVDVAPVVSKTIIDWQEYSGRLEAIDQVDIRPQVSGKLIAVHFKDGSLVKKGDLLFTIDPRPFEAELNRAKAQLASAEAQVTYTASNLSRIQRLIQSNAVSRQELDLAENDARSANANLQAARAAVQSARLNLEYTRITAPVSGRISRAEVTVGNVVSAGNGAQVLTSLVSVSRLYASFDVDEQTYLKYISNQRNSSQVPVYMGLANETGFTREGTINSIDNNLNTTSGTIRVRATFDNPNGVLLPGLYARIRLGGGQPRPAILISPTAVGVDQDKRFVVVVDAKNQTAYREVKLGTQQDGLQIVNSGLQAGDRIVVNGLQRIRPGDPVTPHLVPMPNSQITANATPPQPQPTDKTSTPAKG ->ARGMiner~~~BLA1~~~WP_042988862.1~~~penam unknown +>ARGMiner~~~BLA1~~~WP_042988862.1~~~penam~~~unknown MIVLKNKKMLKIGMCVGILGLSITSIEAFTGGPLQVEAKEKTGQVKHKNQATHKEFSQLEKKFDARLGVYAIDTGTNQTIAYRPNERFAFASTYKALAAGVLLQQNSTKKLDEVITYTKEDLVDYSPVTEKHVDTGMTLGEIAEAAVRYSDNTAGNILFHKIGGPKGYEKALRKMGDRVTMSDRFETELNEAIPGDIRDTSTAKAIARNLKDFTVGNALPHQKRNILTEWMKGNATGDKLIRAGVPTDWVVADKSGAGSYGTRNDIAIVWPPNRSPIIIAILSSKDEKEATYDNQLIAEAAEVVVNAFK ->ARGMiner~~~TEM-1~~~ANG16208.1~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~ANG16208.1~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVVYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~macB~~~WP_001728978.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001728978.1~~~macrolide~~~unknown MTALLELRNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNAQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLVGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_002949857.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_002949857.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVTGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEVPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLVAAQAERMIEIHDGKIVHNPPAQEKKREQDVAAAAVSTASGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQVLKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNASGVSGDYFNVYGISFSEGNTFNAVQQRDRAQVVVLDANTRRQLFPNKANVIGEVVLVGNMPVIVIGVAEEKQSMYGNSNLLQIWLPYSTMSDRIMGQSWLNSITVRVKDGVNSNQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMLIAFMLQLFLPGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~macB~~~WP_023899061.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_023899061.1~~~macrolide~~~unknown MTALLELRDIRRSYPSGETDVEVLKGVTLTINAGEMVAIVGASGSGKSTLMNILGCLDKPSSGSYKVADVDVATLSDDALARLRREHFGFIFQRYHLLSHLTAAQNVEVPAIYAGTGRAARQARARELLARLGLEARVDYQPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVIATLKQLRDRGHTVIIVTHDPDVAAQAERIIEIRDGEIISNPPPVGKRDAGGLPAQPQDAPAFGQFINSFREALTMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLEDIRSIGTNTIDVYPGKDFGDDDPQYQQALQYDDLQAIQRQPWVSSATPTVSQNLRLRYGNVDVAASANGVSGQYFNVYGMTFSEGNTFNDEQLRGRAQVVVIDSNARRQLFPNKANVVGEVVLVGNMPATVIGVAGEKQSMFGSSKILRVWMPYSTMSGRIMGQSWLNSITVRVKEGYDSGEAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARKSDVLQQFLIEAVLVCLVGGALGIGLSLLIALALQLILPGWEIGFSPVALLTAFLCSSATGVLFGWLPARNAARLNPVDALARE ->ARGMiner~~~adeB~~~WP_000987593.1~~~glycylcycline;tetracycline unknown +>ARGMiner~~~adeB~~~WP_000987593.1~~~glycylcycline;tetracycline~~~unknown MMSQFFIRRPVFAWVIAIFIIIFGLLSIPKLPIARFPSVAPPQVNISATYPGATAKTINDSVVTLIERELSGVKNLLYYSATTDTSGTAEITATFKPGTDVEMAQVDVQNKIKAVEARLPQVVRQQGLQVEASSSGFLMLVGINSPNNQYSEVDLSDYLVRNVVEELKRVEGVGKVQSFGAEKAMRIWVDPNKLVSYGLSISDVNNAIRENNVEIAPGRLGDLPAEKGQLITIPLSAQGQLSSLEQFKNISLKSKTNGSVIKLSDVADVEIGSQAYNFAILENGKPATAAAIQLSPGANAVKTAEGVRAKIEELKLNLPEGMEFSIPYDTAPFVKISIEKVIHTLLEAMVLVFIVMYLFLHNVRYTLIPAIVAPIALLGTFTVMLLAGFSINVLTMFGMVLAIGIIVDDAIVVVENVERIMATEGLNPKDATSKAMKEITSPIIGITLVLAAVFLPMAFASGSVGVIYKQFTLTMSVSILFSALLALILTPALCATILKPIDGHHQKKGFFAWFDRSFDKVTKKYELMLLKIIKHTVPMMVIFLVIIGITFAGMKYWPTAFMPEEDQGWFMTSFQLPSDATAERTRNVVNQFENNLKDNPDVKSNTTILGWGFSGAGQNVAVAFTTLKDFKERTSSASKMTSDVNTSMANSTEGETMAVLPPAIDELGTFSGFSLRLQDRANLGMPALLAAQDELMAMAAKNKKFYMVWNEGLPQGDNISLKIDREKLSALGVKFSDVSDIISTSMGSMYINDFPNQGRMQQVIVQVEAKSRMQLKDILNLKVMGSSGQLVSLSEVVTPQWNKAPQQYNRYNGRPSLSIAGIPNFDTSSGEAMREMEQLIAKLPKGIGYEWTGISLQEKQSESQMAFLLGLSMLVVFLVLAALYESWAIPLSVMLVVPLGIFGAIIAIMSRGLMNDVFFKIGLITIIGLSAKNAILIVEFAKMLKEEGMSLIEATVAAAKLRLRPILMTSLAFTCGVIPLVIASGASSETQHALGTGVFGGMISATILAIFFVPVFFIFILGAVEKLFSSKKKISS ->ARGMiner~~~Escherichia coli ampC~~~WP_063269641.1~~~cephalosporin;penam unknown +>ARGMiner~~~Escherichia coli ampC~~~WP_063269641.1~~~cephalosporin;penam~~~unknown MFKTTLCTLLITASCSTFAAPQQINDIVHRTITPLIEQQRIPGMAVAVIYQGKPYYFTWGYADIAKKQPVTQQTLFELGSVSKTFTGVLGGDAIARGEIKLSDPTTKYWPELTAKQWNGITLLHLATYTAGGLPLQVPDEVKSSSDLLRFYQNWQPAWAPGTQRLYANSSIGLFGALAVKPSGLSFEQAMKTRVFQPLKLNHTWINVPSAEEKNYAWGYREGKAVHVSPGALDAEAYGVKSTIEDMARWVQSNLNPLDINEKILQQGIQLAQSRYWQTGDMYQGLGWEMLDWPVNPDIIINGSDNKIALAARPVKPITPPTPAVRASWVHKTGATGGFGSYVAFIPEKELGIVMLANKNYPNPARVTAAWQILNTLQ ->ARGMiner~~~macB~~~WP_001612725.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_001612725.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEILDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~MexA~~~WP_004346590.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~MexA~~~WP_004346590.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MQRTPAMRVLVPALLVAISALSGCGKSEAPPPAQTPEVGIVTLEAQTVTLNTELPGRTNAFRIAEVRPQVNGIILKRLFKEGSDVKAGQQLYQIDPATYEADYQSAQANLASTQEQAQRYKLLVADQAVSKQQYADANAAYLQSKAAVEQARINLRYTKVLSPISGRIGRSAVTEGALVTNGQANAMATVQQLDPIYVDVTQPSTALLRLRRELASGQLERAGDNAAKVSLKLEDGSQYPLEGRLEFSEVSVDEGTGSVTIRAVFPNPNNELLPGMFVHAQLLEGVKQKAILAPQQGVTRDLKGQATALVVNAQNKVELRVIKADRVIGDKWLLTEGLNAGDKIITEGLQFVQPGVEVKTVPAKNVASAQKAEAAPAKTDSKG ->ARGMiner~~~MexF~~~WP_033978234.1~~~fluoroquinolone;diaminopyrimidine;phenicol unknown +>ARGMiner~~~MexF~~~WP_033978234.1~~~fluoroquinolone;diaminopyrimidine;phenicol~~~unknown MNFSQFFIQRPIFAAVLSLLILIGGAISLFQLPISEYPEVVPPTVVVRANFPGANPKVIGETVASPLEQAITGVENMLYMSSQSTSDGKLTLTITFALGTDLDNAQVQVQNRVTRTEPKLPEEVTRLGITVDKASPDLTMVVHLTSPDNRYDMLYLSNYAVLNVKDELARLDGVGDVQLFGLGDYSLRVWLDPNKVASRNLTATDVVNAIREQNRQVAAGTLGAPPAPSDTSFQLSINTQGRLVTEEEFENIIIRAGANGEITRLRDIARVELGSNQYALRSLLNNKPAVAIPIFQRPGSNAIEISNLVREKMAELKHSFPQGMDYSIVYDPTIFVRGSIEAVVHTLFEALVLVVLVVILFLQTWRASIIPLAAVPVSLIGTFAVMHMLGFSLNALSLFGLVLAIGIVVDDAIVVVENVERNIGLGLKPVEATKRAMREVTGPIIATALVLCAVFIPTAFISGLTGQFYRQFALTIAISTVISAFNSLTLSPALAAVLLKGHHEPKDRFSVFLDKLLGSWLFRPFNRFFDRASHGYVGTVNRVLRGSSIALLVYGGLMVLTYFGFSSTPTGFVPQQDKQYLVAFAQLPDAASLDRTEAVIKQMSEIALAQPGVADSVAFPGLSINGFTNSPNSGIVFTPLKPFDERKDPSQSAGAIAAALNAKYADIQDAYIAIFPPPPVQGLGTIGGFRLQIEDRGNQGYEELFKQTQNIITKARALPELEPSSVFSSYQVNVPQIDADIDREKAKTHGVAISDIFDTLQVYLGSLYANDFNRFGRTYQVNVQAEQRFRLEPEQIGQLKVRNNLGEMVPLASFIKVSDTSGPDRVMHYNGFITAELNGAPAAGYSSGQAQAAIEKLLKEELPNGMTYEWTELTYQQILAGNTALFVFPLCVLLAFLVLAAQYESWSLPLAVILIVPMTLLSAITGVILAGSDNNIFTQIGLIVLVGLACKNAILIVEFAKDKQEEGMDRVAAVLEACRLRLRPILMTSIAFIMGVVPLVISTGAGAEMRHAMGVAVFSGMIGVTFFGLLLTPVFYVLIRRFVENREARRAANDKGLPEVHA ->ARGMiner~~~nalC~~~WP_060853009.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem unknown +>ARGMiner~~~nalC~~~WP_060853009.1~~~macrolide;fluoroquinolone;monobactam;carbapenem;cephalosporin;cephamycin;penam;tetracycline;peptide;aminocoumarin;diaminopyrimidine;sulfonamide;phenicol;penem~~~unknown MNDAPPRLTERGRQRRRAMLDAATQAFLEHGFEGTTLDMVIERAGGSRGTLYSSFGGKEGLFAAVIAHMIEEIFDDSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQRPAQD ->ARGMiner~~~emrA~~~WP_024907689.1~~~fluoroquinolone unknown +>ARGMiner~~~emrA~~~WP_024907689.1~~~fluoroquinolone~~~unknown MSANAESTTPQQPGNKKGKRKSALLLLTLLFIIIAVTYGIYWFLVLRHAEETDDAYVAGNQVQIMAQVSGSVTKVWADNTDFVQKGDVLVTLDPTDAQQAFEKAQTALASSVRQTRQLMINSKQLQANIDVQKTALAQAQSDLNRRVPLGTANLIGREELQHARDAVASAQAQLDVAIQQYNANQAMVLGTSLENQPAVQQAATEVRNAWLALQRTKIVSPMTGYVSRRSVQPGAQISPTTPLMAVVPADNLWVDANFKETQLAHMRIGQTATVVSDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDAKQLADHPLRIGLSTLVTVDTANRDGQILASQVRSSPAYESNAREISLDPVNKLIDDIVKANAG ->ARGMiner~~~hmrM~~~Q7UAH8~~~fluoroquinolone;acridinedye unknown +>ARGMiner~~~hmrM~~~Q7UAH8~~~fluoroquinolone;acridinedye~~~unknown MQKYISEARLLLALAIPVILAQIAQTAMGFVDTVMAGGYSATDMAAVAIGTSIWLPAILFGHGLLLALTPVIAQLNGSGRRERIAHQVRQGFWLAGFVSVLIMLVLWNAGYIIRYMENIDPALADKAVGYLRALLWGAPGYLFFQVARNQCEGLAKTKPGMVMGFIGLLVNIPVNYIFIYGHFGMPELGGVGCGVATAAVYWVMFLAMVSYIKRARSMRDIRNEKGTAKPEPAVMKRLIQLGLPIALALFFEVTLFAVVALLVSPLGIVDVAGHQIALNFSSLMFVLPMSLAAAVTIRVGYRLGQGSTLDAQTAARTGLMVGVCMATLTAIFTVSLREQIALLYNDNPEVVTLAAHLMLLAAVYQISDSIQVIGSGILRGYKDTRSIFYITFTAYWVLGLPSGYILALTDLVVEPMGPAGFWIGFIIGLTSAAIMMMLRMRFLQRMPSAIILQRASR ->ARGMiner~~~tolC~~~gi:693581505:dbj:BAP68286.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~gi:693581505:dbj:BAP68286.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MLVAGLWSFTSSSFALDLVETYERAKQNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLTARQPLFRMDAWEGYKQVKTSVALSEVTLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASVELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~macB~~~WP_000188175.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000188175.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQELLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTASGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAEAEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFMTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTVTGILFGWLPARNAERLDPVDALARE ->ARGMiner~~~CblA-1~~~WP_016273783.1~~~cephalosporin unknown +>ARGMiner~~~CblA-1~~~WP_016273783.1~~~cephalosporin~~~unknown MKAYFIAILTLFTCIATVVRAQQMSELENRIDSLLNGKKATVGIAVWTDKGDMLRYNDHVHFPLLSVFKFHVALAVLDKMDKQSISLDSIVSIKASQMLPNTYSPLRKKFPDQDFTITLRELMQYSISQSDNNACDILIEYAGGIKHINDYIRRLGIDSFNLSETEDDMHSSFEAVYRNWSTPSAMARLLRTADEKELFSNKELKDFLWQTMIDTETGANKLKGTLPAKTVVGHKTGSSDRNADGMKTADNDAGLVILPDGRKYYIAAFVMDSYETDEDNADIIARISRMVYDAMR ->ARGMiner~~~macB~~~WP_000125878.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_000125878.1~~~macrolide~~~unknown MTALLELCNVSRSYPSGEEQVAVLKDISLQIHAGEMVAIVGVSGSGKSTLMNILGCLDKPTSGTYRVAGRDVSTLDPDALAQLRREHFGFIFQRYHLLSHLTAAQNVEIPAVYAGIERKKRQARARELLLRLGLSDRVDYPPSQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILRQLRDRGHTVIIVTHDPLIAAQAERIIEIHDGKIVHNPPAQEKKREQGVDAAVVNTAPGWRQFASSFREALSMAWLAMAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRAMGTNTIDIHPGKDFGDDNPQYRQALKYDDLVAIQKQPWVNSATPSVSKSLRLRYGNIDIAVNANGVSGDYFNVYGMSFREGNTFNAVQQQDRAQVVVLDANTRRQLFPNKANVVGEVVLAGNMPVIVIGVAEEKPSMYGNSNLLQVWLPYSTMSDRIMGQSWLNSITVRVKDGVDSDQAEQQLTRLLTLRHGKKDFFTWNMDSVLKTAEKTTYTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGISLSMFIAFMLQLFLSGWEIGFSLTALASAFLCSTFTGILFGWLPARNAARLDPVDALARE ->ARGMiner~~~tolC~~~WP_038397613.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_038397613.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLSGFSTLSQAENLMQVYQQARLSNPELRKSAADRDAAFEKINEARSPLLPQLGLGADYTYSNGYRDANGINSNATSASLQLTQTLFDMSKWRALTLQEKAAGIQDVTYQTDQQTLILNTANAYFKVLNAIDVLSYTQAQKEAIYRQLDQTTQRFNVGLVAITDVQNARAQYDTVLANEVTARNNLDNAVEELRQVTGNYYPELASLNVEHFKTDKPKAVNALLKEAENRNLSLLQARLSQDLAREQIRQAQDGHLPKLNLTASTGISDTSYNGSGTHGPGSQYDDSNMGQNKVGLNFSLPLYQGGMVNSQVKQAQYNFVGASEQLESAHRSVVQTVRSSFNNINASISSINAYKQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYEAKQQLANARYTYLINQLNIKYALGTLNEQDLLALNSTLGKPIPTSPESVAPETPEQDAAVDNFNGNAPAAQPVSAHTTSTRGNGNPFRH ->ARGMiner~~~y56 beta-lactamase~~~WP_050882202.1~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~WP_050882202.1~~~cephalosporin;penam~~~unknown MKHSPLRRSLLLAGITVPLLNFALPSWAVGAKLSLDNQLAELEKSSNGRLGLALINTGKGTKIHYRGGQRFPFCSTFKLMLVAAVLGHSQSQPNLLSKHITYHESDLLAYAPITRKHLAQGMTVAELCAATIQYSDNTAANLLIKQLGGLEMVNQFARSIGDQTFRLDRWEPELNTALPNDPRDTTTPAAMAASVNKLVLGDALAAPQRDQLALWLKGNTTGAAAIRAGAPTDWVIGDKTGSGDYGTTNDVAVLWPAKGAPLVLVVYFTQLKKAAEPRRDVLAAATKIVLAHLS ->ARGMiner~~~tolC~~~WP_007796209.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan unknown +>ARGMiner~~~tolC~~~WP_007796209.1~~~macrolide;fluoroquinolone;cephalosporin;glycylcycline;cephamycin;penam;tetracycline;aminocoumarin;rifamycin;phenicol;triclosan~~~unknown MKKLLPILIGLSLTGFSAMSQAENLLQVYQQARLSNPDLRSSAADRDAAFEKINEARSPLLPQLGLGADYTYNSGFRDNDGVDSTAKSASLQLTQTIFDMSKWRALTLQEKTAGIQDVTYQTDQQTLMLNTATAYFQVLSAIDALSYTEAQKQAIYRQLDQTTQRFNVGLVAITDVQNARAQYDNVLANEVTARNNLDNALEQLRQVTGNYYPQLASLNVDNFKTTKPAAVNALLKEAEQRNLTLLQARLSQDLAREQIRYAETGHMPTLGLTASSSVSDTDYSGSKTGGAAASRYADSKIGQNSIGLSFNLPLYSGGSVTSQVKQAQYSFVGASEKLESAHRNVVQTVRSSYNNVNASISSIKAYEQAVVSAQSSLDAMEAGYSVGTRTIVDVLDATTTLYNAKQQLSSARYNYLINQLNIKSALGTLNEQDLVALNNSLGKPVSTAPESVAPENPEQDAAVNNMANGGGSAPAMQPAAATRSGNSNSGNPFRQ ->ARGMiner~~~mdtO~~~WP_021563013.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021563013.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGCMFLMRTHRLGLVFFAVAIVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTNSLTPLPETRIEREALALQKLNVFCLADDADWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAIAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPATKPPSMVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVALERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~macB~~~WP_024215613.1~~~macrolide unknown +>ARGMiner~~~macB~~~WP_024215613.1~~~macrolide~~~unknown MTPLLELKDIRRSYPAGDEQVEVLKGITLDIYAGEMVAIVGASGSGKSTLMNILGCLDKATSGTYRVAGQDVATLDADALAQLRREHFGFIFQRYHLLSHLTAEQNVEVPAVYAGLERKQRLLRAQGLLQRLGLEDRTEYYPAQLSGGQQQRVSIARALMNGGQVILADEPTGALDSHSGEEVMAILHQLRDRGHTVIIVTHDPQVAAQAERVIEIRDGEIVRNPPAIEKVNVAGGTEPVVNTVSGWRQFVSGFNEALTMAWRALAANKMRTLLTMLGIIIGIASVVSIVVVGDAAKQMVLADIRSIGTNTIDVYPGKDFGDDDPQYQQALKYDDLIAIQKQPWVASATPAVSQNLRLRYNNVDVAASANGVSGDYFNVYGMTFSEGNTFNQEQLNGRAQVVVLDSNTRRQLFPHKADVVGEVILVGNMPARVIGVAEEKQSMFGSSKVLRVWLPYSTMSGRVMGQSWLNSITVRVKEGFDSAETEQQLTRLLSLRHGKKDFFTWNMDGVLKTVEKTTRTLQLFLTLVAVISLVVGGIGVMNIMLVSVTERTREIGIRMAVGARASDVLQQFLIEAVLVCLVGGALGITLSLLIAFTLQLFLPGWEIGFSPLALLLAFLCSTATGIIFGWLPARNAARLDPVDALARE ->ARGMiner~~~mdtO~~~WP_021555845.1~~~nucleoside;acridinedye unknown +>ARGMiner~~~mdtO~~~WP_021555845.1~~~nucleoside;acridinedye~~~unknown MSALNSLPLPVVRLLAFFHEELSERRPGRVPQTVQLWVGCLLVILISMTFEIPFVALSLAVLFYGIQSNAFYTKFVAILFVVATVLEIGSLFLIYKWSYGEPLIRLIIAGPILMGSMFLMRTHRLGLVFFAVAIVAIYGQTFPAMLDYPEVVVRLTLWCIVVGLYPTLLMTLIGVLWFPSRAITQMHQALNDRLDDAISHLTDSLAPLPETRIEREALALQKLNVFCLADDANWRTQSAWWQSCVATVTYIYSTLNRYDPTSFADSQAIIEFRQKLASEINKLQHAIAEGQCWQSDWRITESEAMAARECNLENICQTLLQLGQMDPNTPPTPATKPPSIVADAFTNPDYMRYAVKTLLACLICYTFYSGVDWEGIHTCMLTCVIVANPNVGSSYQKMVLRFGGAFCGAILALLFTLLVMPWLDNIVELLFVLAPIFLLGAWIATSSERSSYIGTQMVVTFALATLENVFGPVYDLVEIRDRALGIIIGTVVSAVIYTFVWPESEARTLPQKLAGALGMLSKVMRIPRQQEVTALRTYLQIRIGLHAAFNACEEMCQRVVLERQLDSEERALLIERSQTVIRQGRDILHAWDATWNSAQALDNALQPDRAGQFADALEKYAAGLATALSRSPQITLEETPASQAILPTLLKQEQHVCQLFARLPDWTAPALTPATEQAQGATQ ->ARGMiner~~~bcr-1~~~NP_288765~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~NP_288765~~~bicyclomycin~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAVAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR ->ARGMiner~~~bcr-1~~~YP_002293726~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~YP_002293726~~~bicyclomycin~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAVAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCASSSILFCLYASRPKKR ->ARGMiner~~~bcr-1~~~NP_456779~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~NP_456779~~~bicyclomycin~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSAQMTLSTYILGFALGQLIYGPMADSLGRKPVILGGTLVFAAAAVACALAQTIDQLIVMRFFHGLVAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAIAAILASVMIFALIKETLPVERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVPPQDFGYYFALNIVFLFVMTIINSRFVRRVGALNMFRAGLWIQFAMAVWMVFSALMGIGFWALVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIALCAACSILFYLYASRPKKR ->ARGMiner~~~bcr-1~~~NP_754605~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~NP_754605~~~bicyclomycin~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSTQMTLSTYILGFALGQLIYGPMADSFGRKPVVLGGTLVFAAAAVACALANTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAVAAILASAMIFFLIKETLPPERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNILFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR ->ARGMiner~~~bcr-1~~~NP_461165~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~NP_461165~~~bicyclomycin~~~unknown MTTRQHSSFAIVFILGLLAMLMPLSIDMYLPALPVISAQFGVPAGSAQMTLSTYILGFALGQLIYGPMADSLGRKPVILGGTLVFAAAAVACALAQTIDQLIVMRFFHGLAAAAASVVINALMRDIYPKEEFSRMMSFVMLVTTIAPLMAPIVGGWVLVWLSWHYIFWILAIAAILASVMIFALIKETLPVERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVPPQDFGYYFALNIVFLFVMTIINSRFVRRVGALNMFRAGLWIQFAMAVWMVFSALMGIGFWALVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIALCAACSILFYLYASRPKKR ->ARGMiner~~~aad(6)~~~AJ489618.1.orf2.gene.p01~~~aminoglycoside unknown +>ARGMiner~~~aad(6)~~~AJ489618.1.orf2.gene.p01~~~aminoglycoside~~~unknown GNIIMMQKPEDMELFPAEESGYSYIMYFDDYNKIDLTLLPLEELKDYLNGDKLMQVILDKDGRIDRDVVPTDIDYHVRKPSAREYDDCCNEFWNVTPYVVKGLCRKEILFAIDHLNEIVRHELLRMISWKVGIETGFQLSVGKNYKFIDRYISEDLWKRLLSTYRMDSCENVWEALLLCHQLFREVSGEVAERLHYDYPEYDKNITKYTRDMHKKYTGETGCLDSTYAADIEERREQ ->ARGMiner~~~lmrP~~~YP_001033686~~~macrolide;lincosamide;streptogramin;tetracycline unknown +>ARGMiner~~~lmrP~~~YP_001033686~~~macrolide;lincosamide;streptogramin;tetracycline~~~unknown MKEFWNLDKNLQLRLGIVFLGAFSYGTVFSSMTIYYNQYLGSAITGILLALSAVATFVAGILAGFFADRNGRKPVMVFGTIIQLLGAALAIASNLPGHVNPWSTFIAFLLISFGYNFVITAGNAMIIDASNAENRKVVFMLDYWAQNLSVILGAALGAWLFRPAFEALLVILLLTVLVSFFLTTFVMTETFKPTVKVDEKAENIFQAYKTVLQDKTYMIFMGANIATTFIIMQFDNFLPVHLSNSFKTITFWGFEIYGQRMLTIYLILACVLVVLLMTTLNRLTKDWSHQKGFIWGSLFMAIGMIFSFLTTTFTPIFIAGIVYTLGEIVYTPSVQTLGADLMNPEKIGSYNGVAAIKMPIASILAGLLVSISPMIKAIGVSLVLALTEVLAIILVLVAVNRHQKTKLN ->ARGMiner~~~lmrB~~~NC_002758.1122377.p01~~~lincosamide unknown +>ARGMiner~~~lmrB~~~NC_002758.1122377.p01~~~lincosamide~~~unknown MTTTFIISYIILALIIVGVINLFLIRSRKKGKRQQKEQQFTTRQSNQSKFKASDLDKTTDQSTQRMTHEELRVDNQDDHSQVSLNGYTKGSEKDQEAFTNNNGEEAVAAKNPESEEYKVNEKIKKEHKNFIFGEGVSRGKILAALLFGMFIAILNQTLLNVALPKINTEFNISASTGQWLMTGFMLVNGILIPITAYLFNKYSYRKLFLVALVLFTIGSLICAISMNFPIMMVGRVLQAIGAGVLMPLGSIVIITIYPPEKRGAAMGTMGIAMILAPAIGPTLSGYIVQNYHWNVMFYGMFIIGIIAILVGFVWFKLYQYTTNPKADIPGIIFSTIGFGALLYGFSEAGNKGWGSVEIETMFAIGIIFIILFVIRELRMKSPMLNLEVLKFPTFTLTTIINMVVMLSLYGGMILLPIYLQNLRGFSALDSGLLLLPGSLIMGLLGPFAGKLLDTIGLKPLAIFGIAVMTYATWELTKLNMDTPYMTIMGIYVLRSFGMAFIMMPMVTAAINALPGRLASHGNAFLNTMRQLAGSIGTAILVTVMTTQTTQHLSAFGEELDKTNPVVQDHMRELASQYGGQEGAMKVLLQFVNKLATVEGINDAFIVATIFSIIALILCLFLQSNKKAKATAQKLEASSNNNHE ->ARGMiner~~~tet(A)~~~YP_002394587~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tet(A)~~~YP_002394587~~~glycylcycline;tetracycline~~~unknown MIELFYHSLSVIEKSEMNSSTKIALVITLLDAMGIGLIMPVLPTLLREFIASEDIANHFGVLLALYALMQVIFAPWLGKMSDRFGRRPVLLLSLIGASLDYLLLAFSSALWMLYLGRLLSGITGATGAVAASVIADTTSASQRVKWFGWLGASFGLGLIAGPIIGGFAGEISPHSPFFIAALLNIVAFLVVMFWFRETKNTRDNTDTEVGVETQSNSVYITLFKTMPILLIIYFSAQLIGQIPATVWVLFTENRFGWNSMMVGFSLAGLGLLHSVFQAFVAGRIATKWGEKTAVLLGFIADSSAFAFLAFISEGWLVFPVLILLAGGGIALPALQGVMSIQTKSHQQGALQGLLVSLTNATGVIGPLLFAVIYNHSLPIWDGWIWIIGLAFYCIIILLSMTFMLTPQAQGSKQETSA ->ARGMiner~~~lmrB~~~NC_009487.5169637.p01~~~lincosamide unknown +>ARGMiner~~~lmrB~~~NC_009487.5169637.p01~~~lincosamide~~~unknown MSKKQKLTMIITMLMGGFFGLLNETLLVTALPSIMKDFEISYTQVQWLTTAFLLTNGIVIPLSALVIQRYTTRQVFLVGISIFFLGTLLGGLSPHFATLLVARIIQALGAGIMMPLMMTTILDVFQPHERGKYMGIFGLVIGLAPAIGPTLSGYLVEYLNWRSLFHVVAPIAAVTFLIGFKTIKNVGTTIKVPIDFISVIFSVLGFGGLLYGTSSISEKGFDNPIVLVSMIGGVVLVALFVLRQYRLSTPLLNFAVFKNKQFTVGIIIMGVTMVSMIGSETILPIFVQNLLHRSALDSGLTLLPGAIVMAFMSMTSGALYEKFGPRKLALVGMAIVVITTAYFVVMDEQTSTIMLATVYAIRMVGIALGLIPVMTHTMNQLKPEMNAHGSSMTNTVQQIAGSIGTAALITILSHASKNFSPTMSDYNGMNKIDMMNQIKVDTMLHGYHAGFLFALLITVVSFFCSFMLQGKKKEVDSRQ ->ARGMiner~~~tet(J)~~~CP004022.1.gene2534.p01~~~tetracycline unknown +>ARGMiner~~~tet(J)~~~CP004022.1.gene2534.p01~~~tetracycline~~~unknown MNKSIIIILLVTVLDAIGIGLIMPVLPTLLNEFVSENRLANHYGILLALYATMQVIFAPILGKLSDKYGRKPILLFSLLGAALDYLLMACSTSLWMLYIGRIIAGITGATGAVCASAMTDVTHPHERTRYFGFLGGAFGVGLIIGPMLGGLLGEISAHTPFIFAAISHSLLFIFSLLCFQETQTTKISTEISALNQDTAPHSTTGFIKKSLFFWLIAYFIIQLIGQIPATIWVLFTQVRFAWHTTEVGLSLAFLGVLHIFFQAVLAGKLAQKWGERNTVIISMSIDAFGCLLLAWISHVWVMLPALICLAAGGMGQPALQGYLSKSVDHHVQGQLQGTLVSLTNITGIVGPLLFSFIYSYSVEYWDGLLWFIGAMLYSGLLVASYFKQKSPILKKFPS ->ARGMiner~~~tmrB~~~ZP_03589973~~~nucleoside unknown +>ARGMiner~~~tmrB~~~ZP_03589973~~~nucleoside~~~unknown MAMIIWINGAFGSGKTQTAFELHRRLNPSYVYDPEKMGFALRSMVPQEIAKDDFQSYPLWRAFNYSLLASLTDTYRGILIVPMTIVHPEYFNEIIGRLRQEGRIVHHFTLMASKETLLKRLRTRAEGKNSWAAKQIDRCVEGLSSPIFEDHIQTDNLSIQDVAENIAARAELPLDPDTRGSLRRFADRLMVKLNHIRIK ->ARGMiner~~~amrA~~~YP_002106217~~~aminoglycoside unknown +>ARGMiner~~~amrA~~~YP_002106217~~~aminoglycoside~~~unknown MKYEWARTRRLSAALAVAAFVAAGCGKHESEHDAAAPREASVVTVKKTSVPLSVELPGRLDAYRQAEVRARVAGIVTARTYEEGQEVKRGAVLFRIDPAPFKAARDAAAGALEKAQAAHLAALDKRRRYDELVRDRAVSERDHTEALADERQAKAAVASARAELARAQLQLDYATVTAPIDGRARRALVTEGALVGQDQATPLTTVEQLDPIYVNFSQPAADVESLRRAVKSGRAAGIAQQDVEVTLVRPDGSTYARKGKLLFADLAVDPSTDTVAMRALFPNPERELLPGAYVRIALDRAVARDAILVPRDALLRTADSATVKVVGQNGKIRDVTVEAAQMKGRDWIVTRGLAGGERVVVVDAAQFEAGTTVKALERGAAAQPASGAAAASAPGRRST ->ARGMiner~~~amrB~~~ZP_03577554~~~aminoglycoside unknown +>ARGMiner~~~amrB~~~ZP_03577554~~~aminoglycoside~~~unknown MARFFIDRPVFAWVIALFIMLGGAFAIRALPVAQYPDIAPPVVSIYATYPGASAQVVEESVTALIEREMNGAPGLLYTSATSSAGMASLYLTFRQGVNADLAAVEVQNRLKTVEARLPEPVRRDGIQVEKAADNIQLVVSLTSDDGRMTGVQLGEYASANVVQALRRVDGVGRVQFWGAEYAMRIWPDPVKLAGHGLTASDIAAAVRAHNARVTVGDIGRSAVPDSAPIAATVFADAPLKTPADFGAIALRSQADGAALYLRDVARIEFGGSDYNYPSYVNGKVAVGMGIKLAPGSNAVATEKRIRAAMDELSAYFPPGVKYQIPYETSSFVRVSMNKVVTTLIEAGVLVFLVMFLFMQNLRATLIPTLVVPVALAGTFGAMYAAGFSINVLTMFGMVLAIGILVDDAIVVVENVERLMVEERLAPYDATVKAMKQISGAIVGITVVLTSVFVPMAFFGGAVGNIYRQFALSLAVSIAFSAFLALSLTPALCATLLKPVDDGHHDKRGFFGWFNRFVARSTQRYATRVGAMLNKPLRWLVVYGVLTAVAALMLTRLPSAFLPDEDQGNFMVMVIRPQGTPLAETMQSVREVESYLRREEPAAYTFALGGFNLYGEGPNGGMIFVTLKNWNARQAARDQVQAIVARVNERFAGTPNTTVFAMNSPALPDLGSTGGFDFRMQNRGGLDYAAFSAAREQLLAAGAKDAALTDLMFAGTQDAPQLKLDIDRAKASALGVSMDEINTTLAVMFGSDYIGDFMHGTQVRRVIVQADGQHRLDPDDVKKLRVRNARGEMVPLAAFATLHWTLGPPQLTRYNGYPSFTINGSAAPGHSSGEAMAAIERIAATLPAGIGHAWSGQSFEERLSGAQAPLLFALSVLVVFLALAALYESWSIPLAVMLVVPLGVIGAVLGVTLRAMPNDIYFKVGLIATIGLSAKNAILIVEVAKDLLAQRMSLAEAALEAARLRLRPIVMTSLAFGVGVLPLAFASGAASGAQTAIGTGVLGGVIAATVLAVFLVPLFFVVVGRLFGFGTRRRGSAPAVNVEGSR ->ARGMiner~~~mexX~~~AAG05407~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol unknown +>ARGMiner~~~mexX~~~AAG05407~~~macrolide;fluoroquinolone;aminoglycoside;carbapenem;cephalosporin;cephamycin;penam;tetracycline;acridinedye;phenicol~~~unknown MHIQWTGSLRGLLAALVALFLLGCEEAADAGKTAEAPAEVGVIVARPAPIGITSELPGRLEAYRQAEVRARVAGIVTRRLYEEGQDVRAGTVLFQIDPAPLKAALDISRGALARAEASHAAAADKLKRYADLIKDRAISEREYTEAQTDARQALAQIASAKAELEQARLRLGYATVTAPIDGRARRALVTEGALVGEDSPTPLTRVEQIDPIYVNFSQPAGEVAAMQRAIREGQVKGVADKDIAVRLVLADGSEYPLAGELLFSDLAVDPGTDTIAMRALFRNPHRELLPGGYVQVRLQRAVNPQAITVPRDALIRTAQSAVVKVVNPKGLVEDVEVRADTLQGRDWIISRGLKGGEWVIVENAAQHAAGSSVQAVVRQPASADAPSPLAASPAGQ ->ARGMiner~~~ANT(2'')-Ia~~~CAG34229~~~aminoglycoside unknown +>ARGMiner~~~ANT(2'')-Ia~~~CAG34229~~~aminoglycoside~~~unknown MLRSSNDVTQQGSRPKTKLGRMDTTQVTLIHKILAAADERNLPLWIGGGWAIDARLGRVTRKHDDIDLTFPGERRGELEAIVEMLGGRVMEELDYGFLAEIGDELLDCEPAWWADEAYEIAEAPQGSCPEAAEGVIAGRPVRCNSWEAIIWDYFYYADEVPPVDWPTKHIESYRLACTSLGAEKVEVLRAAFRSRYAA ->ARGMiner~~~AAC(2')-Ib~~~(AGly)aac2-Ib:U41471:266-822:588~~~aminoglycoside unknown +>ARGMiner~~~AAC(2')-Ib~~~(AGly)aac2-Ib:U41471:266-822:588~~~aminoglycoside~~~unknown VPFQDVSAPVRGGILHTARLVHTSDLDQETREGARRMVIEAFEGDFSDADWEHALGGMHAFICHHGALIAHAAVVQRRLLYRDTALRCGYVEAVAVREDWRGQGLATAVMDAVEQVLRGAYQLGALSASDTARGMYLSRGWLPWQGPTSVLQPAGVTRTPEDDEGLFVLPVGLPAGMELDTTAEITCDWRDGDVW ->ARGMiner~~~AAC(2')-Ic~~~(AGly)aac2-Ic:U72714:373-918:546~~~aminoglycoside unknown +>ARGMiner~~~AAC(2')-Ic~~~(AGly)aac2-Ic:U72714:373-918:546~~~aminoglycoside~~~unknown VHTQVHTARLVHTADLDSETRQDIRQMVTGAFAGDFTETDWEHTLGGMHALIWHHGAIIAHAAVIQRRLIYRGNALRCGYVEGVAVRADWRGQRLVSALLDAVEQVMRGAYQLGALSSSARARRLYASRGWLPWHGPTSVLAPTGPVRTPDDDGTVFVLPIDISLDTSAELMCDWRAGDVW ->ARGMiner~~~AAC(2')-Id~~~(AGly)aac(2')-Id:U72743:386-1018:533~~~aminoglycoside unknown +>ARGMiner~~~AAC(2')-Id~~~(AGly)aac(2')-Id:U72743:386-1018:533~~~aminoglycoside~~~unknown VLTQHVSEARTRGAIHTARLIHTSDLDQETRDGARRMVIEAFRDPSGDSDFTDDFTDDDWDHALGGMHALISHHGALIAHGAVVQRRLMYRGPDGRGHALRCGYVEAVAVREDRRGDGLGTAVLDALEQVIRGAYQIGALSASDIARPMYIARGWLSWEGPTSVLTPTEGIVRTPEDDRSLFVLPVDLPDGLELDTAREITCDWRSGDPW ->ARGMiner~~~AAC(3)-IV~~~(AGly)aac-IVa:X01385:244-1029:786~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-IV~~~(AGly)aac-IVa:X01385:244-1029:786~~~aminoglycoside~~~unknown VQYEWRKAELIGQLLNLGVTPGGVLLVHSSFRSVRPLEDGPLGLIEALRAALGPGGTLVMPSWSGLDDEPFDPATSPVTPDLGVVSDTFWRLPNVKRSAHPFAFAAAGPQAEQIISDPLPLPPHSPASPVARVHELDGQVLLLGVGHDANTTLHLAELMAKVPYGVPRHCTILQDGKLVRVDYLENDHCCERFALADRWLKEKSLQKEGPVGHAFARLIRSRDIVATALGQLGRDPLIFLHPPEGGMRRMRCRSPVDWLSS ->ARGMiner~~~AAC(3)-Id~~~(AGly)aac3-Id:AB114632:104-580:477~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-Id~~~(AGly)aac3-Id:AB114632:104-580:477~~~aminoglycoside~~~unknown VSVEIIHLTGNDVALLQSINAMFGEAFNDQDSYARNKPSSSYLQKLLSTSSFIALAAVDEQKVIGAIAAYELQKFEQQRSEIYIYDLAVAATRRREGIATALIKKLKAIGAARGAYVIYVQADKGVEDQPAIELYKKLGTIEDVFHFDIAVEQSKNHA ->ARGMiner~~~AAC(3)-VIIIa~~~(AGly)aac8:M55426:466-1326:861~~~aminoglycoside unknown +>ARGMiner~~~AAC(3)-VIIIa~~~(AGly)aac8:M55426:466-1326:861~~~aminoglycoside~~~unknown VDEKELIERAGGPVTRGRLVRDLEALGVGAGDTVMVHTRMSAIGYVVGGPQTVIDAVRDAVGADGTLMAYCGWNDAPPYDLAEWPPAWREAARAEWPAYDPLLSEADRGNGRVPEALRHQPGAVRSRHPDASFVAVGPAAHPLMDDHPWDDPHGPDSPLARLAGAGGRVLLLGAPLDTLTLLHHAEARAEAPGKRFVAYEQPVTVGGRRVWRRFRDVDTSRGVPYGRVVPEGVVPFTVIAQDMLAAGIGRTGRVAAAPVHLFEAADVVRFGVEWIESRMGGAAGGA ->ARGMiner~~~AAC(6')-Iaf~~~(AGly)aac6-Iaf:AB462903:1200-1751:552~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iaf~~~(AGly)aac6-Iaf:AB462903:1200-1751:552~~~aminoglycoside~~~unknown LDYSICDIAESNELILEAAKILKKSFLDVGNESWGDIKKAIEEVEECIEHPNICLGICLDDKLIGWTGLRPMYDKTWELHPMVIKTEYQGKDFGKVLLRELETRAKGRGIIGIALGTDDEYQKTSLSMIDINERNIFDEIENIKNINNHPYEFYKKCGYMIVGIIPNANGKRKPDIWMWKDIS ->ARGMiner~~~AAC(6')-Iq~~~(AGly)aac6-Iq:AF047556:127-678:552~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iq~~~(AGly)aac6-Iq:AF047556:127-678:552~~~aminoglycoside~~~unknown LDYSICDIAESNELILEAAKILRKSFLDAGNESWVDIKKAIEEVEDCIEHPNLCLGICLDDKLIGWTGLRPMYDKTWELHPMVIKTEYQCRGIGKVLIKELEKRAKGRGIIGIALGTDDEYQKTSLSMIDINERNIFDEIGNIKNVTNHPYEFYKKCGYMIVGIIPNANGKRKPDIWMWKDIS ->ARGMiner~~~AAC(6')-Iz~~~(AGly)aac6-Iz:AF140221:390-851:462~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~(AGly)aac6-Iz:AF140221:390-851:462~~~aminoglycoside~~~unknown VIASAPTIRQATPADAAAWAQLRLGLWPDADDPLEELTQSLADAEGAVFLACAADGETVGFAEVRLRHDYVNGTESSPVGFLEGWYVQPQWQGSGVGRALLAAVQAWTRDAGCRELASDSRVEDVQAHAAHRACGFEETERVVYFRMPLEPSA ->ARGMiner~~~AAC(6')-Ib8~~~(AGly)aacA4:AF416297:2738-3304:567~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Ib8~~~(AGly)aacA4:AF416297:2738-3304:567~~~aminoglycoside~~~unknown MYSIVTNSTDSVTLRLMTEHDLAMLYEWLNRSHIVEWWGGEEARPTLADVQEQYLPSVLAQESVTPYIAMLNGEPIGYAQSYVALGSGDGWWEEETDPGVRGIDQSLANASQLGKGLGTKLVRALVELLFNDPEVTKIQTDPSPSNLRAIRCYEKAGFERQGTVTTPDGPAVYMVQTRQAFERTRSDA ->ARGMiner~~~AAC(6')-29b~~~(AGly)aacA29b:AY139599:768-1148:381~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-29b~~~(AGly)aacA29b:AY139599:768-1148:381~~~aminoglycoside~~~unknown VKEQDAADWLALRNLLWLADDHASEIEQYFSGGLEEPVEVLIARDATGAAVGHVELSIRHDLEELQGIKTGYIEGLYVAPSHRSTDLVKRFLRESEKWALEQGCSAFASDRSDRVITHRKFAGSAV ->ARGMiner~~~aadA~~~(AGly)aadA1-pm:JQ690540:7968-8798:831~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~(AGly)aadA1-pm:JQ690540:7968-8798:831~~~aminoglycoside~~~unknown VRASLVAKTKLNIMREAVIAEVSTQLSEVVGVIERHLEPTLLAVHLYGSAVDGGLKPHSDIDLLVTVTVRLDETTRRALINDLLETSASPGESEILRAVEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLTLWNSPPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVILEARQAYLGQEEDRLASRADQLEEFVHYVKGEITKVVGK ->ARGMiner~~~aadA~~~(AGly)aadA24:HQ123586:88-768:781~~~aminoglycoside unknown +>ARGMiner~~~aadA~~~(AGly)aadA24:HQ123586:88-768:781~~~aminoglycoside~~~unknown VIAEISTQLLEVLSVIERHLEPTLLAVHLYGSAVNGGLKPYSDIDLLVTVTVRLNETTRRALLNDLLEVSTFPGESEALRAIEVTIVVHDDIIPWRYPAKRELQFGEWQRNDILAGIFEPATIDIDLAILLTKAREHSVALVGPAAEELFDPVPEQDLFEALNETLKLWNSQPDWAGDERNVVLTLSRIWYSAVTGKIAPKDVAADWAMERLPAQYQPVLLEARQAYLGQEEDRLALHADQLEEFVHYVKGESTKVVGK ->ARGMiner~~~spd~~~(AGly)aad9:M69221:271-1038:768~~~aminoglycoside unknown +>ARGMiner~~~spd~~~(AGly)aad9:M69221:271-1038:768~~~aminoglycoside~~~unknown VRRIYLNTYEQINKVKKILRKHLKNNLIGTYMFGSGVESGLKPNSDLDFLVVVSEPLTDQSKEILIQKIRPISKKIGDKSNLRYIELTIIIQQEMVPWNHPPKQEFIYGEWLQELYEQGYIPQKELNSDLTIMLYQAKRKNKRIYGNYDLEELLPDIPFSDVRRAIMDSSEELIDNYQDDETNSILTLCRMILTMDTGKIIPKDIAGNAVAESSPLEHRERILLAVRSYLGENIEWTNENVNLTINYLNNRLKKL ->ARGMiner~~~aadK~~~(AGly)aadE-Pp:HE577054:1299623-1300477:855~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~(AGly)aadE-Pp:HE577054:1299623-1300477:855~~~aminoglycoside~~~unknown VRSEPEMMNMLVKFAMNDKRIRLVTMEGSRTNFNVPPDSFQDYDISYFVTDMDSFKESDQWLNVFGDRLMMQKPEDMELFPSELGNWFSYIILFEDGNKLDLTLIPINEVEDYFTNSDGLVEVLLDKDVLIQEEVIANDHQYWIKKPTAREFDDCCNEFWMVSTYIVKGLARKEILFAIDHLNEIARPNLLRMMAWKIGSEQGYTFSVGKNYKFINQYLPNEDWKSLLSTYSENGYQEMWQSLLTCYSLFRTYAKAVASSLKYEYPEYDEAITRYTVNIYNSLN ->ARGMiner~~~aadK~~~(AGly)aadK-Pm:CP002869:c4442322-4441435:888~~~aminoglycoside unknown +>ARGMiner~~~aadK~~~(AGly)aadK-Pm:CP002869:c4442322-4441435:888~~~aminoglycoside~~~unknown MKPVGTEEYCLRSEHEMMSTIINFAKNDDRIRLATLEGSRTNKNIPVDTFRDYDISYFVTDIESFKETDQWLEFFGNRLMMQKPEDMELFPSELGNWFSYIILFEDGNKLDLTLIPINEVGDYFTKNDGLVEVLLDKDTLINNEVIADDRQYWIKKPTARAFDDCCNEFWMVSTYVVKGLARKEILFAIDHLNEIGRPNLLRMMAWQIGSEHGYTFSVGKNYKFINRYLPNEDWEKLLSTYSENGYQEMWQSLLTCYELFRKYSKAVSESLGYTYPDYDEAITKYTENIYSSLVI ->ARGMiner~~~ANT(4')-IIb~~~(AGly)ant4-IIb:AY114142:1061-1816:756~~~aminoglycoside unknown +>ARGMiner~~~ANT(4')-IIb~~~(AGly)ant4-IIb:AY114142:1061-1816:756~~~aminoglycoside~~~unknown VQHTIARWVDRLREEYADAVAILLKGSYARGDAATWSDIDFDVLVSTQDVEDYRTWIEPVGDRLVHISAAVEWVTGWERDTVDPSSWSYGLPTQETTRLMWAINDETRRRMDRPYKTHPAAEPEVENTVEALGKIRNAIARGDDLGVYQSAQTVAKLVPTLLIPINPPVTVSHARQAIEAILAFPRVPVGFAADWLTCLGLVEERSARSTAAAAERMVRGVLEMLPTDPDLLGEDIARLMNAGLLEKYVQQ ->ARGMiner~~~APH(3'')-Ic~~~(AGly)aph(3'')-Ic:DQ336355:603-1367:816~~~aminoglycoside unknown +>ARGMiner~~~APH(3'')-Ic~~~(AGly)aph(3'')-Ic:DQ336355:603-1367:816~~~aminoglycoside~~~unknown VTEWLPVTRGESGAGVFRNSDGSSYAKVVDAAAVADLAAERDRVSWAHRHGVPGPAVIDWRVTEDGGACLITSTVRGVAADRLSESALRAAWPAIVEAVRTLHALPADGCPYRRDLDDMLARARAVVGAGAVNPEFLSDEDREVPAEALLDRVEREADLRRREEAADWVVCHGDLCLPNILVDPDRHTVEGFIDLGRLGLADRHADLALLLANTADTVPGFAEEATAGLAAGYPAQVDPERLRFYLALDPLTWG ->ARGMiner~~~APH(3')-VIa~~~(AGly)aphA6:JF949760:695-1474:771~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-VIa~~~(AGly)aphA6:JF949760:695-1474:771~~~aminoglycoside~~~unknown MELPNIIQQFIGNSVLEPNKIGQSPSDVYSFNRNNETFFLKRSSTLYTETTYSVSREAKMLSWLSEKLKVPELIMTFQDEQFEFMITKAINAKPISALFLTDQELLAIYKEALNLLNSIAIIDCPFISNIDHRLKESKFFIDNQLLDDIDQDDLDTELWGDHKTYLSLWNELTETRVEERLVFSHGDITDSNIFIDKFNEIYFLDLGRAGLADEFVDISFVERCLREDASEETAKIFLKHLKNDRPDKRNYFLKLDELN ->ARGMiner~~~APH(7'')-Ia~~~(AGly)aph4-Ib:X03615:232-1230:999~~~aminoglycoside unknown +>ARGMiner~~~APH(7'')-Ia~~~(AGly)aph4-Ib:X03615:232-1230:999~~~aminoglycoside~~~unknown VTQESLLLLDRIDSDDSYASLRNDQEFWEPLARRALEELGLPVPPVLRVPGESTNPVLVGEPDPVIKLFGEHWCGPESLASESEAYAVLADAPVPVPRLLGRGELRPGTGAWPWPYLVMSRMTGTTWRSAMDGTTDRNALLALARELGRVLGRLHRVPLTGNTVLTPHSEVFPELLRERRAATVEDHRGWGYLSPRLLDRLEDWLPDVDTLLAGREPRFVHGDLHGTNIFVDLAATEVTGIVDFTDVYAGDSRYSLVQLHLNAFRGDREILAALLDGAQWKRTEDFARELLAFTFLHDFEVFEETPLDLSGFTDPEELAQFLWGPPDTAPGA ->ARGMiner~~~APH(4)-Ia~~~(AGly)aph7:GG774704:686456-687373:918~~~aminoglycoside unknown +>ARGMiner~~~APH(4)-Ia~~~(AGly)aph7:GG774704:686456-687373:918~~~aminoglycoside~~~unknown MKSDYSKESITEFLSRKYGEDIRLFPIKEGQESQAYWFSRGGREYVVRINSNMEGFKKDKYAYEHFRSDRVPIPEVVETGNFDGTHYFCISVKADGITYEDSDEETVVRLLGDITDVTEAISRTDISGTSGCGVFDSDTGNAPFYSWREYLAEVFERDWTAVSRSYVNLSLIDELLAAYRELISYCPEERALFHGDFGSNNVIVGKKSRISGVIDWDCAAYGDFLYDIATAYFWRTWLMCMEKTAAYWERKYSHLPRYTERILCYELRIGLTEIYENAVENDTETTEWLQNRCREILREYRQRKA ->ARGMiner~~~APH(6)-Ib~~~(AGly)aph9-Ib:U70376:3618-4541:996~~~aminoglycoside unknown +>ARGMiner~~~APH(6)-Ib~~~(AGly)aph9-Ib:U70376:3618-4541:996~~~aminoglycoside~~~unknown MDTSKAIEVPEHLAASYSRGFGEEGRAWIAALPTLAAAFLDRWELRRDGAARAGEASLVLPVLRQDGTRAVLKLQMPREETTAALIGLCTWNGAGTVRLLDHDPHSSTMLLERLDSARTLASLEDDDVAMGILAELLARLVSVPAPRELRRLKDIAADMLERVPRAVTALADPADRQLLRGWAAAVAELIEEPGDQMLHWDLHYDNVLAAEREPWLAIDPEPLAGDPGFDLWPALDSRWDDIVATGDTRRVVRRRFDLLTGVLGLDRGRAAGWTLGRLLQNELWDIEGGGSALAPSSVAMAEALQNR ->ARGMiner~~~npmA~~~(AGly)npmA:AB261016:3069-3728:660~~~aminoglycoside unknown +>ARGMiner~~~npmA~~~(AGly)npmA:AB261016:3069-3728:660~~~aminoglycoside~~~unknown LLILKGTKTVDLSKDELTEIIGQFDRVHIDLGTGDGRNIYKLAINDQNTFYIGIDPVKENLFDISKKIIKKPSKGGLSNVVFVIAAAESLPFELKNIADSISILFPWGTLLEYVIKPNRDILSNVADLAKKEAHFEFVTTYSDSYEEAEIKKRGLPLLSKAYFLSEQYKAELSNSGFRIDDVKELDNEYVKQFNSLWAKRLAFGRKRSFFRVSGHVSKH ->ARGMiner~~~SAT-4~~~(AGly)sat4A:X92945:38870-39412:543~~~nucleoside unknown +>ARGMiner~~~SAT-4~~~(AGly)sat4A:X92945:38870-39412:543~~~nucleoside~~~unknown VITEMKAGHLKDIDKPSEPFEVIGKIIPRYENENWTFTELLYEAPYLKSYQDEEDEEDEEADCLEYIDNTDKIIYLYYQDDKCVGKVKLRKNWNRYAYIEDIAVCKDFRGQGIGSALINISIEWAKHKNLHGLMLETQDNNLIACKFYHNCGFKIGSVDTMLYANFENNFEKAVFWYLRF ->ARGMiner~~~APH(3')-IIa~~~(AGly)aphA2:X57709:1-795:795~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-IIa~~~(AGly)aphA2:X57709:1-795:795~~~aminoglycoside~~~unknown MIEQDGLHAGSPAAWVERLFGYDWAQQTIGCSDGAVFRLSAQGRPVLFVKTDLSGALNELQDEAARLSWLATTGVPCAAVLDVVTEAGRDWLLLGEVPGQDLLSSHLAPAEKVSIMADAMRRLHTLDPATCPFDHQAKHRIERARTRMEAGLVDQDDLDEEHQGLAPAELFARLKARMPDGEDLVVTHGDACLPNIMVENGRFSGFIDCGRLGVADRYQDIALATRDIAEELGGEWADRFLVLYGIAAPDSQRIAFYRLLDEFF ->ARGMiner~~~APH(3')-Ib~~~(AGly)aph3-Ib:M20305:779-1594:816~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ib~~~(AGly)aph3-Ib:M20305:779-1594:816~~~aminoglycoside~~~unknown VNDIDREEPCAAAAVPESMAAHVMGYKWARDKVGQSGCAVYRLHSKSGGSDLFLKHGKDAFADDVTDEMVRLRWLAGHISVPSVVSFVRTPNQAWLLTTAIHGKTAYQVLKSDFGARLVVVDALAAFMRRLHAIPVSECSVQQWTTHAGLPERGSIEAGVVDVDDFDKEREGWTAEQVWEAMHRLLPLAPDPVVTHGDFSLDNLLIVEGKVVGCIDVGRAGIADRYQDLAVLWNCLEEFEPSLQERLVAQYGIADPDRRKLQFHLLLDELF ->ARGMiner~~~APH(3')-Ia~~~(AGly)aph(3')-Ic:KP119857:914-1729:816~~~aminoglycoside unknown +>ARGMiner~~~APH(3')-Ia~~~(AGly)aph(3')-Ic:KP119857:914-1729:816~~~aminoglycoside~~~unknown MSHIQRETSCSRPRLNSNLDADLYGYRWARDNVGQSGATIYRLYGKPNAPELFLKHGKDSVANDVTDEMVRLNWLTAFMPLPTIKHFIRTPDDAWLLTTAIPGKTAFQVLEEYPDSGENIVDALAVFLRRLHSIPVCNCPFNSDRVFRLAQAQSRMNNGLVDASDFDDERNGWPVEQVWKEMHKLLPFSPDSVVTHGDFSLDNLIFDEGKLIGCIDVGRVGIADRYQDLAILWNCLGEFSPSLQKRLFQKYGIDNPDMNKLQFHLMLDEFF ->ARGMiner~~~y56 beta-lactamase~~~(Bla)blaA:CP003048:1-885:885~~~cephalosporin;penam unknown +>ARGMiner~~~y56 beta-lactamase~~~(Bla)blaA:CP003048:1-885:885~~~cephalosporin;penam~~~unknown MKKIITLIIGIIFITFSFATTKIDTQVTNDIQNIEKKHGGKIGVYTINRNDWSNFAVNASFYFPICSTYKFLVVGAILKQSMTDNKLLNQKIKISKNQIVEYSPITRRHINQIMTVKQLCQASMQGDNTATNILIEKLGGLKNLNKFILSLADHATKVANLEPKVNHVSLTTNENKTTPKIMARDINKLAFSDDILDKKHRLMFKQWLIASNTSNNRIAAEVPDEWEVGDKTGTCQYGTTNDVAIIWPDDNRAVIMAIFYTQSQKNAKPNSKIVREVTKILLNRLQLNNTTKNA ->ARGMiner~~~OKP-B-2~~~(Bla)blaOKP-B-14:DQ149136:47-907:861~~~cephalosporin;penam unknown +>ARGMiner~~~OKP-B-2~~~(Bla)blaOKP-B-14:DQ149136:47-907:861~~~cephalosporin;penam~~~unknown MRYVCLCLISLVAALPLAVFASPQPLEQIKISEGQLAGRVGYVEMDLASGRTLAAWRASERFPLMSTFKVLLCGAVLARVDAGDEQLDRRIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAGNLLLKSVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDVRDTTTPASMATTLRKLLTTPSLSARSQQQLLQWMVDDQVAGPLIRAVLPAGWFIADKTGAGERGSRGIVALLGPDGKAERIVVIYLRDTAATMAERNEQIAGIGAALIEHWQR ->ARGMiner~~~SFH-1~~~(Bla)blaSFH-1:NZ_AUZV01000091:3453-4220:768~~~carbapenem unknown +>ARGMiner~~~SFH-1~~~(Bla)blaSFH-1:NZ_AUZV01000091:3453-4220:768~~~carbapenem~~~unknown IILNIKYLFTAVTFLLIACESMASEKNLTLTHFKGPLYIVEDKEYVQENSMVYIGTDGITIIGATWTPETAETLYKEIRKVSPLPINEVINTNYHTDRAGGNAYWKTLGAKIVATQMTYDLQKSQWGSIVNFTRQGNNKYPNLEKSLPDTVFPGDFNLQNGSIRAMYLGEAHTKDGIFVYFPAERVLYGNCILKENLGNMSFANRTEYPKTLEKLKGLIEQGELKVDSIIAGHDTPIHDVGLIDHYLTLLEKAPK ->ARGMiner~~~NmcR~~~(Bla)blaSFO-1:FJ848785:4719-5594:876~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~NmcR~~~(Bla)blaSFO-1:FJ848785:4719-5594:876~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown MRSNPPLNALRAFEASARHLSFTRAALELYVTQAAVSQQVRLLEERLGMVLFKRLPRGLEMTEESRALFAVLTDAFGHIEKAFRQFEGGQFQEVLTVAAVGTFAVGWLLPRLDRFRQEHPFVKLRLRTNNNVVNLAAEGLDFAIRFGTGLWPSTHNEMLFNAPLTVLCTPATAKRLVTPADLLQEDLLRSYRAEEWENWFAAAGLQAVRVNGAIFDSSRLMIESAIHSGGVALAPAKMFVRELTTAQLVRPFATEINMGSYWLTHLKSKAMTPAMEIFSEWLLKEAAEDNT ->ARGMiner~~~KPC-13~~~(Bla)blaKPC-13:HQ342890:1-882:882~~~monobactam;carbapenem;cephalosporin;penam unknown +>ARGMiner~~~KPC-13~~~(Bla)blaKPC-13:HQ342890:1-882:882~~~monobactam;carbapenem;cephalosporin;penam~~~unknown MSLYRRLVLLSCLSWPLAGFSATALTNLVAEPFAKLEQDFGGSIGVYAMDTGSGATVSYRAEERFPLCSSFKGFLAAAVLARSQQQAGLLGTPIRYGKNALVPWSPISEKYLTTGMTVAELSAAAVQYSDNAAANLLLKELGGPAGLTAFMRSIGDTTFRLDCWELELNSAIPGDARDTSSPRAVTESLQKLTLGSALAAPQRQQFVDWLKGNTTGNHRIRAAVPADWAVGDKTGTCGVYGTANDYAVVWPTGRAPIVLAVYTRAPNKDDKYSEAVIAAAAGLALEGLGVNGQ ->ARGMiner~~~IND-7~~~(Bla)blaIND-1:EF394436:1-720:720~~~carbapenem unknown +>ARGMiner~~~IND-7~~~(Bla)blaIND-1:EF394436:1-720:720~~~carbapenem~~~unknown MKKSIRFFIVSILLSPFASAQVKDFVIEPPIKNNLHIYKTFGVFGGKEYSANSMYLVTKKGVVLFDVPWEKVQYQSLMDTIKKRHNLPVVAVFATHSHDDRAGDLSFFNNKGIKTYATAKTNEFLKKDGKATSTEIIKIGKPYRIGGEEFVVDFLGEGHTADNVVVWFPKYNVLDGGCLVKSNSATDLGYIKEANVEQWPKTINKLKAKYSKATLIIPGHDEWKGGGHVEHTLELLNKK ->ARGMiner~~~IND-2~~~(Bla)blaIND-2:EF394439:1-732:732~~~carbapenem unknown +>ARGMiner~~~IND-2~~~(Bla)blaIND-2:EF394439:1-732:732~~~carbapenem~~~unknown MKKSIQLLMMSMFFSPLINAQVKDFVIEPPVKPNLYLYKSFGVFGGKEYSANAVYLTTKKGVVLFDVPWQKEQYQTLMDTIQKRHHLPVIAVFATHSHDDRAGDLSFYNQKGIKTYATAKTNELLKKDGKATSTEIIKTGKPYKIGGEEFMVDFLGEGHTVDNVVVWFPKYKVLDGGCLVKSRTATDLGYTGEANVKQWPETMRKLKTKYAQATLVIPGHDEWKGGGHVQHTLDLLDKNKKPE ->ARGMiner~~~GOB-1 beta-lactamase~~~(Bla)blaGOB-2:AF189296:1-756:756~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~(Bla)blaGOB-2:AF189296:1-756:756~~~carbapenem;cephalosporin;penam~~~unknown KEPENMPNEWNQAYEPFRIAGNLYYLGTYDLASYLIVTDKGNILINTGTAESLPIIKANIQKLGFNYKDIKILLLTQAHYDHTGALQDFKTETAAKFYADKADVDVLRTGGKSDYEMGKYGVTFKPVTPDKTLKDQDKIKLGNITLTLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSVIVDKKFSEVTAYPNIQSDYAYTFGVMKKLDFDIWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLN ->ARGMiner~~~GOB-1 beta-lactamase~~~(Bla)blaGOB-3:AF189291:1-756:756~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~(Bla)blaGOB-3:AF189291:1-756:756~~~carbapenem;cephalosporin;penam~~~unknown KEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLPIIKANIQKLGFNYKDIKILLLTQAHYDHTGALQDLKTETGAKFYADKADADVLRTGGNSDYEMGKYGVTFKPVTPDKTLKDQDKITLGNTILTLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSIIVDKKFSEVTAYLNIQSDYAYTFKAMKNLDFDLWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLN ->ARGMiner~~~GOB-1 beta-lactamase~~~(Bla)blaGOB-4:AF189293:1-756:756~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~(Bla)blaGOB-4:AF189293:1-756:756~~~carbapenem;cephalosporin;penam~~~unknown KEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLPIIKANIQKLGFNYKDIKILLLTQAHYDHTGALQDLKTETAAKFYADKADADVLRTGGNSDYEMGKYGVTFKPVTPDKTLKDQDKITLGNTILTLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSVIVDKKFSEVTAYPNIQSDYAYTFKAMKNLDFDLWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLN ->ARGMiner~~~GOB-1 beta-lactamase~~~(Bla)blaGOB-6:AF189292:1-756:756~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~GOB-1 beta-lactamase~~~(Bla)blaGOB-6:AF189292:1-756:756~~~carbapenem;cephalosporin;penam~~~unknown KEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLPIIKANIQKLGFNYKDIKILLLTQAHYDHTGALQDLKTETAAKFYADKADADVLRTGGNSDYEMGKYGVTFKPVTPDKTLKDQDKIKLGNITLTLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSVIVDKKFSEVTAYPNIQSDYAYTFKAMKNLDFDLWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLN ->ARGMiner~~~AER-1~~~(Bla)blaBRO-1:Z54180:84-1028:945~~~penam unknown +>ARGMiner~~~AER-1~~~(Bla)blaBRO-1:Z54180:84-1028:945~~~penam~~~unknown MMQRRHFLQKTLLALPIIFSGNLLTGCKTNLSDDYLPDDKITNNPNLLQNKLKEILPIWENKFNAKIGMTIIADNGELSSHRGNEYFPVNSTIKAFIASHILLLVDKEKLDLNEKIIIKESDLIEYSPVCKKYFDENKPISISELCEATITLSDNGSANILLDKIGGLTAFNQFLKEIGADMVLANNEPLLNRSHYGETSDTAKPIPYTKSLKALIVGNILSNQSKEQLITWLINDKVADNLLRKYLPKNWRIGDKTGTGSESKNIIAVIWNENNKPYFISLFITQPHDGKSLDFKNQKDEIMAQIGKEIYPFL ->ARGMiner~~~AER-1~~~(Bla)blaBRO-2:Z54181:63-1007:945~~~penam unknown +>ARGMiner~~~AER-1~~~(Bla)blaBRO-2:Z54181:63-1007:945~~~penam~~~unknown MMQRRHFLQKTLLALPIIFSGNLLTGCKTNLSDDYLPDDKITNNPNLLQNKLKEILPIWENKFNAKIGMTIIADNGELSSHRGNEYFPVNSTIKAFIASHILLLVDKEKLDLNEKIIIKESDLIEYSPVCKKYFDENKPISISELCEATITLSDNGSANILLDKIGGLTAFNQFLKEIGADMVLANNEPLLNRSHYGETSDTAKPIPYTKSLKALIVGNILSNQSKEQLITWLINDKVADNLLRKYLPKNWRIGDKTGTGSESKNIIAVIWNENNKPYFISLFITQPHDGKSLGFKNQKDEIMAQIGKEIYPFL ->ARGMiner~~~L1 beta-lactamase~~~(Bla)blaPOM-1:EU315252:972-1832:861~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~(Bla)blaPOM-1:EU315252:972-1832:861~~~cephalosporin~~~unknown VRTLTTLGLALLLAQPAVAAQAVLPQLQPYTAPAAWLTPVAPLRIADNTWHIGTASITALLVKTPEGAVLLDGGMPQVADHLLANMRELGVAPGDLKLILHSHAHIDHVGPLAAIKKATGAQLVSNAESAVLLQRGDSQDIHFGDDMVFAPVQVDRLVQDGETVELGGMTFTAHFTPGHTPGSLSWTWTDRRDGKPLRIAYSDSLSAPGYSLWMNPRFPKIAEAFRSGFAAVRALPCDLLITPHAEASGWDYTNAEHPNPSPMSCKAYADKAEAAFDAQLKKQRGG ->ARGMiner~~~MIR-16~~~(Bla)blaCMG:AY265892:1-1054:1054~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-16~~~(Bla)blaCMG:AY265892:1-1054:1054~~~monobactam;cephalosporin~~~unknown LSCALLLSVASAAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEITLGDPVTKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPKWKPGTTRLYANTSIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYRDGKAVHVSPGMLDAEAYGVKTNVQDMASWVMVNMMPDSLQDSPLKHGIALAQSRYWRVGAMYQGLGWEMLNWPVDAQTVVGGSDNKVALAPLPAREVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGI ->ARGMiner~~~MIR-8~~~(Bla)blaAZECL-25:KJ949106:1-1146:1146~~~monobactam;cephalosporin unknown +>ARGMiner~~~MIR-8~~~(Bla)blaAZECL-25:KJ949106:1-1146:1146~~~monobactam;cephalosporin~~~unknown MMTKSLSCALLLSVASSAFAAPMSEKQLAEVVERTVTPLMNAQAIPGMAVAVIYQGQPHYFTFGKADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEIALGDPVAKYWPELTGKQWQGIRMLDLATYTAGGLPLQVPDEVTDTASLLRFYQNWQPQWKPGTTRLYANASIGLFGALAVKPSGMSYEQAMTTRVFKPLKLDHTWINVPKAEEAHYAWGYREGKAVHVSPGMLDAEAYGVKTNVKDMASWLIANMKPDSLQASSLKQGIALAQSRYWRVGAMYQGLGWEMLNWPVDAKTVVGGSDNKVALAPLPVAEVNPPAPPVKASWVHKTGSTGGFGSYVAFIPEKQLGIVMLANKSYPNPARVEAAYRILDALQ ->ARGMiner~~~r39 beta-lactamase~~~(Bla)blaBCL-1:EF540343:3313-4236:924~~~penam unknown +>ARGMiner~~~r39 beta-lactamase~~~(Bla)blaBCL-1:EF540343:3313-4236:924~~~penam~~~unknown MKRSFFMLKTKITSSILVGACLLIGCSNGNEQPVSNEPEPEESVETGEAVFKALEEEYAARLGVFALDTGTGQTVSYRSDERFTYASAHKPLAVAVLLQQKSIEELEQLITYSADDLVNYNPITENHVETGMTLRELSDASIRYSDNTAANFIFDEIGGPEGFKEGLRAIGDTVTEPERIEPELNHVEPGEIQDTSTPEALAKSLQEFALGEALPADKQELLIDWLIGNTTGDALIRAGVPEGWEVGDKTGAGSYGTRNDIAILWPPEKEPIILAVLSSKDEKDAEYDDELIAKATEEVINLLAQTE ->ARGMiner~~~LRA-1~~~(Bla)blaAST-1:AF279904:160-1092:933~~~cephalosporin;penam unknown +>ARGMiner~~~LRA-1~~~(Bla)blaAST-1:AF279904:160-1092:933~~~cephalosporin;penam~~~unknown VTFSALPFRRADRRRLLAAALAACALTLTAACDSGTVTVPVTDSVTTSAVADPRFAELETTSGARLGVFAVDTGSGRTVAHRADERFPMASTFKGLACGALLREHPLSTGYFDQVIHYSAAELVEYSPVTETRVETGMTVRELCDAAITVSDNTAGNQLLKLLGGPEGFTASLRSLGDATSRLDRWETDLNTAIPGDERDTTTPAALAADYRALVVGDVLGAPERDQLKAWLVANTTGATRIRAGLPADWTVGDKTGSPAYGSALDVAVAWPPGRAPIVIAVLSTKSEQDAEPDNALLAEATRVVVDALG ->ARGMiner~~~mecC~~~(Bla)mecC:HG515014:42996-44993:1998~~~monobactam;carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~mecC~~~(Bla)mecC:HG515014:42996-44993:1998~~~monobactam;carbapenem;cephalosporin;cephamycin;penam~~~unknown MKKIYISVLVLLLIMIIITWLFKDDDIEKTISSIAKGNYNEVYKNSSEKSKLAYGEEEIIDRNKKIYKDLSVNNLKITNHEIKKTGKDKKQVDVKYNIYTKYGTIRRNTQLNFIYEDKHWKLDWRPDVIVPGLKNGQKINIETLKSERGKIKDRNGIELAKTGNTYEIGIVPNKTPEEKYDDIAHDLQIDKKAIINKVNQKWVQPSSFVPIKKINQKDESIDKLIKLHNLQINTIKSRVYPLNKATAHLLGYVGPINSEELQSKQFKNYSKTSVIGKKGLERLYDKQLQNTDGFRISISNIYDNKPLDTLLEKKANNGKDLHLTIDARVQESIYKHMKNDYGSGTALQPKTGEILALVSTPSYDVYPFMNGLSNNDYRKLTNNKKEPLLNKFQITTSPGSTQKIFTSIIALKENKLDENTNFDIYGKGWQKDASWGDYNITRFKVVDGNIDLKQAIESSDNIFFARIALALGAKKFEQGMQDLGIGENIPSDYPFYKAQISNSNLKNEILLADSGYGQGEILVNPIQILSIYSALENNGNIQNPHVLRKTKSQVWKKDIISKKDIDILTNGMERVVNKTHRDDIYKNYARIIGKSGTAELKMNQGETGRQIGWFVSYNKNNPNMLMAINVKDVQNKGMASYNATISGKVYDDLYDNGKTQFDIDQ ->ARGMiner~~~TEM-104~~~(Bla)blaTEM-1:JQ735917:1-861:861~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-104~~~(Bla)blaTEM-1:JQ735917:1-861:861~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTDGESGNYG ->ARGMiner~~~TEM-85~~~(Bla)blaTEM-102:AY029354:3-833:831~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-85~~~(Bla)blaTEM-102:AY029354:3-833:831~~~monobactam;cephalosporin;penam;penem~~~unknown MDPQHFRVALIPFFAAFCFPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDSWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYMTGSQATMDERNRQIAE ->ARGMiner~~~TEM-1~~~(Bla)blaTEM-128:AY359287:186-1046:861~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~(Bla)blaTEM-128:AY359287:186-1046:861~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGTSLIKHW ->ARGMiner~~~TEM-1~~~(Bla)blaTEM-129:AY452662:184-1044:861~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-1~~~(Bla)blaTEM-129:AY452662:184-1044:861~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAIATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~TEM-54~~~(Bla)blaTEM-144:DQ256080:215-1075:861~~~monobactam;cephalosporin;penam;penem unknown +>ARGMiner~~~TEM-54~~~(Bla)blaTEM-144:DQ256080:215-1075:861~~~monobactam;cephalosporin;penam;penem~~~unknown MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALLAGWFIADKSGAGERGSLGIIEALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~ACT-6~~~(Bla)blaZEG-1:AY265891:1-1013:1013~~~carbapenem;cephalosporin;cephamycin;penam unknown +>ARGMiner~~~ACT-6~~~(Bla)blaZEG-1:AY265891:1-1013:1013~~~carbapenem;cephalosporin;cephamycin;penam~~~unknown APMSDKQLADVVKRTITPLMKAQTIPGMAVAVIYQGQPHYFTFGNADVAANKPVTPQTLFELGSISKTFTGVLGGDAIARGEISLTDPATKYWPELSGKQWQGIRMLDLATYTAGGLPLQVPDEVTDSASLLRFYQNWQPQWKPSTTRLYANASIGLFGALAVKPSGMSYAQAMTERVFKPLKLGHTWINVPKTEEEHYAWGYRDGKPVHVSPGALDAEAYGVKSNVQDMASWVMANIAPEHVADASLKQGIALAQSRYWRIGSMYQGLGWEMLNWPVDAKTVVGGSDNNVALAPLPAREVTPPVPPVKASWVHKTGSTGGFGGYVAFIPEKNLGIV ->ARGMiner~~~SHV-6~~~(Bla)blaSHV-6:Y11069:1-780:780~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-6~~~(Bla)blaSHV-6:Y11069:1-780:780~~~carbapenem;cephalosporin;penam~~~unknown LLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARATTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERN ->ARGMiner~~~SHV-134~~~(Bla)blaSHV-56:AY352599:1-861:861~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-134~~~(Bla)blaSHV-56:AY352599:1-861:861~~~carbapenem;cephalosporin;penam~~~unknown MRYIRLCIIYLLATLPLAVHASPQPLEQIKQSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGASKRGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~SHV-104~~~(Bla)blaSHV-104:EU274581:1-861:861~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-104~~~(Bla)blaSHV-104:EU274581:1-861:861~~~carbapenem;cephalosporin;penam~~~unknown LRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSASSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~SHV-179~~~(Bla)blaSHV-122:GQ290211:1-515:515~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-179~~~(Bla)blaSHV-122:GQ290211:1-515:515~~~carbapenem;cephalosporin;penam~~~unknown ARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVSLLGPN ->ARGMiner~~~SHV-187~~~(Bla)blaSHV-187:LN515533:1-867:867~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-187~~~(Bla)blaSHV-187:LN515533:1-867:867~~~carbapenem;cephalosporin;penam~~~unknown VVKRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~SHV-188~~~(Bla)blaSHV-188:LN515534:1-873:873~~~carbapenem;cephalosporin;penam unknown +>ARGMiner~~~SHV-188~~~(Bla)blaSHV-188:LN515534:1-873:873~~~carbapenem;cephalosporin;penam~~~unknown VVKRYIRLCIISLLATLPLAVHASPQPLEQIKLSESQLSGRVGMIEMDLASGRTLTAWRADERFPMMSTFKVVLCGAVLARVDAGDEQLERKIHYRQQDLVDYSPVSEKHLADGMTVGELCAAAITMSDNSAANLLLATVGGPAGLTAFLRQIGDNVTRLDRWETELKLNEALPGDARDTTTPASMAATLRKLLTSQRLSARSQRQLLQWMVDDRVAGPLIRSVLPAGWFIADKTGAGERGARGIVALLGPNNKAERIVVIYLRDTPASMAERNQQIAGIGAALIEHWQR ->ARGMiner~~~OXA-20~~~(Bla)blaOXA-20:AF024602:1238-2038:801~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-20~~~(Bla)blaOXA-20:AF024602:1238-2038:801~~~cephalosporin;penam~~~unknown LIIRFLALLFSAVVLVSLGHAQEKTHESSNWGKYFSDFNAKGTIVVVDERTNGNSTSVYNESRAQQRYSPASTFKIPHTLFALDAGAVRDEFHVFRWDGAKRSFAGHNQDQNLRSAMRNSTVWVYQLFAKEIGENKARSYLEKLNYGNADPSTKSGDYWIDGNLAISANEQISILKKLYRNELPFRVEHQRLVKDLMIVEAKRDWILRAKTGWDGQMGWWVGWVEWPTGPVFFALNIDTPNRMEDLHKREAIARAILQSVNALPPN ->ARGMiner~~~OXA-36~~~(Bla)blaOXA-36:AF300985:1-739:739~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-36~~~(Bla)blaOXA-36:AF300985:1-739:739~~~cephalosporin;penam~~~unknown IFSLATFAHAQEGTLERSDWRKFFSEFQAKGTIVVADERQADRAMLVFDPVRSKKRYSPASTFKIPHTLFALDAGAVRDEFQIFRWDGVNRGFAGHNQDQDLRSAMRNSTVWVYELFAKEIGDDKARRYLKKIDYGNAYPSTSNGDYWIEGSLAISAQEQIAFLRKLYRNELPFRVEHQRLVKDLMIVEAGRNWILRAKTGWEGRMGWWVGWVEWPTGSVFFALNIDTPNRMDDLFKREAIVRAIL ->ARGMiner~~~OXA-37~~~(Bla)blaOXA-37:AY007784:1123-1923:801~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-37~~~(Bla)blaOXA-37:AY007784:1123-1923:801~~~cephalosporin;penam~~~unknown LIIRFLALLFSAVVLVSLGHAQDKTHESSNWGKYFSDFNAKGTIVVVDERTNGNSTSVYNESRAQQRYSPASTFKIPHTLFALDAGAVRDEFHVFRWDGAKRSFAGHNQDQNLRSAMRNSTVWVYQLFAKEIGENKARSYLEKLNYGNADPSTKSGDYWIDGNLAISANEQISILKKLYRNELPFRVEHQRLVKDLMIVEAKRDWILRAKTGWDGQMGWWVGWVEWPTGPVFFALNIDTPNRMEDLHKREAIARAILQSVNALPPN ->ARGMiner~~~OXA-60~~~(Bla)blaOXA-60:AY664505:1-816:816~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-60~~~(Bla)blaOXA-60:AY664505:1-816:816~~~cephalosporin;penam~~~unknown MFSRWSKTFAFGLAACAVALSTATAHAELVVRNDLKRVFDDAGVSGTFVLMDISADRTYVVDPARAARHIHPASTFKIPNSLIAFDTGAVRDDQEVLPYGGKPQPYKQWEHDMALPEAIRLSAVPIYQEVARRVGFERMQAYVDAFDYGNRQLGSVIDQFWLRGPLEISAFEEARFTSRMALKQLPVKPRTWDMVHRMLLIEQQGDAALYAKTGVATEYQPQIGWWVGWVERAGRIYAFALNIDMPREGDMAKRIPLGKQLMQALAVWPAP ->ARGMiner~~~OXA-23~~~(Bla)blaOXA-134:FJ195387:695-1516:822~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-23~~~(Bla)blaOXA-134:FJ195387:695-1516:822~~~cephalosporin;penam~~~unknown MNKYFTCYVFASLFLSGCTVQHNLINETPSQIAQGHNQVIHQYFDEKNTSGVLVIQTDKKINLYGNALSRANTEYVPASTFKMLNALIGLENQKTDINEIFKWKGEKRSFTAWEKDMTLGEAMKLSAVPVYQELARRIGLDLMQKEVKRIGFGNAEIGQQVDNFWLVGPLKVTPIQEVEFVSQLAHTQLPFSEKVQANVKNMLLLEESNGYKIFGKTGWAMDIKPQVGWLTGWVEQPDGKIVAFALNMEMRSEMPASIRNELLMKSLKQLNII ->ARGMiner~~~OXA-109~~~(Bla)blaOXA-199:HQ637466:1233-2057:825~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-109~~~(Bla)blaOXA-199:HQ637466:1233-2057:825~~~cephalosporin;penam~~~unknown MNIKALLLITSAIFISACSPYIVTANPNHSASKSDVKAEKIKNLFNEAHTTGVLVIQQGQTQQSYGNDLARASTEYVPASTFKMLNALIGLEHHKATTTEVFKWDGKKRLFPEWEKDMTLGDAMKASAIRVYQDLARRIGLELMSKEVKRVGYGNADIGTQVDNFWLVGPLKITPQQEAQFAYKLANKTLPFSQKVQDEVQSMLFIEEKNGNKIYAKSGWGWDVNPQVGWLTGWVVQPQGNIVAFSLNLEMKKGIPSSVRKEITYKSLEQLGIL ->ARGMiner~~~CARB-12~~~(Bla)CARB-8:NG_035647:3623-4489:867~~~penam unknown +>ARGMiner~~~CARB-12~~~(Bla)CARB-8:NG_035647:3623-4489:867~~~penam~~~unknown MKFLLVFSLLIPSVVFASSSKFRQVEQDVKAIEVSLSARIGVSVLDTQNGEYWDYNGNQRFPLTSTFKTIACAKLLYDAEQGKVNPNSTIEIKKADLVTYSPVIEKQVGQAITLDDACFATMTTSDNTAANIILSAVGGSKGVTDFLRQIGDKETRLDRIEPDLNEGKLGDLRDTTTPKAIASTLNKFLFGSALSEMNKKKLESWMVNNQVTGNLLRSVLPAGWNIADRSGAGGFGARSITAVVWSEHQAPIIVSIYLAQTQASMAERNDAIVKIGRSIFDVYTSQSR ->ARGMiner~~~CTX-M-131~~~(Bla)blaCTX-M-43:HQ734713:1-876:876~~~cephalosporin unknown +>ARGMiner~~~CTX-M-131~~~(Bla)blaCTX-M-43:HQ734713:1-876:876~~~cephalosporin~~~unknown MMTQSIRRSMLTVMATLPLLFSSATLHAQANSVQQQLEALEKSSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKQSESDKHLLNQRVEIKKSDLVNYNPIAEKHVNGTMTLAELGAAALQYGDNTAMNKLIAHLGGPDKVTAFARSLGDETFRLDRTEPTLNTAIPGDPRDTTTPLAMAQTLKNLTLGKALAETQRAQLVTWLKGNTTGSASIRAGLPKSWVVGDKTGSGGYGTTNDIAVIWPENHAPLVLVTYFTQPEQKAESRRDILAAAAKIVTHGF ->ARGMiner~~~CTX-M-58~~~(Bla)blaCTX-M-58:HQ734707:1-876:876~~~cephalosporin unknown +>ARGMiner~~~CTX-M-58~~~(Bla)blaCTX-M-58:HQ734707:1-876:876~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYGDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTESTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~CTX-M-61~~~(Bla)blaCTX-M-61:GU125683:1-876:876~~~cephalosporin unknown +>ARGMiner~~~CTX-M-61~~~(Bla)blaCTX-M-61:GU125683:1-876:876~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVDGTMSLAELSAAALQYSDNVAMNKLIAHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-62~~~(Bla)blaCTX-M-62:GU125669:1-876:876~~~cephalosporin unknown +>ARGMiner~~~CTX-M-62~~~(Bla)blaCTX-M-62:GU125669:1-876:876~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAAAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTESTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGDYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTDGL ->ARGMiner~~~CTX-M-79~~~(Bla)blaCTX-M-79:GU125702:1-876:876~~~cephalosporin unknown +>ARGMiner~~~CTX-M-79~~~(Bla)blaCTX-M-79:GU125702:1-876:876~~~cephalosporin~~~unknown MVKKSLRQFTLMATATVTLLLGSVPLYAQTADVQQKLAELERQSGGRLGVALINTADNSQILYRADERFAMCSTSKVMAVAAVLKKSESEPNLLNQRVEIKKSDLVNYNPIAEKHVNGTMSLAELSAAALQYSDNVAMNKLISHVGGPASVTAFARQLGDETFRLDRTEPTLNTAIPGDPRDTTSPRAMAQTLRNLTLGKALGDSQRAQLVTWMKGNTTGAASIQAGLPASWVVGDKTGSGGYGTTNDIAVIWPKDRAPLILVTYFTQPQPKAESRRDVLASAAKIVTNGL ->ARGMiner~~~LEN-6~~~(Bla)blaLEN-6:AY265890:1-472:472~~~penam;penem unknown +>ARGMiner~~~LEN-6~~~(Bla)blaLEN-6:AY265890:1-472:472~~~penam;penem~~~unknown IISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETE ->ARGMiner~~~LEN-24~~~(Bla)blaLEN-24:AM850914:31-891:861~~~penam;penem unknown +>ARGMiner~~~LEN-24~~~(Bla)blaLEN-24:AM850914:31-891:861~~~penam;penem~~~unknown SALTQGSIAVMRYVRLCVISLLANLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~LEN-19~~~(Bla)blaLEN-35:KR738737:1-861:861~~~penam;penem unknown +>ARGMiner~~~LEN-19~~~(Bla)blaLEN-35:KR738737:1-861:861~~~penam;penem~~~unknown MRYIRLCVISLLATLPLAVYAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGLEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTVGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWHD ->ARGMiner~~~LEN-18~~~(Bla)blaLEN-36:KR738738:1-861:861~~~penam;penem unknown +>ARGMiner~~~LEN-18~~~(Bla)blaLEN-36:KR738738:1-861:861~~~penam;penem~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGHTLAAWRADERFPMVSTFKVLLCGAVLARVDAGVEQLDRRIHYRQQDLVDYSPVSEKHLTDGMTVGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMAATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~LEN-2~~~(Bla)blaLEN-37:KR738739:1-861:861~~~penam;penem unknown +>ARGMiner~~~LEN-2~~~(Bla)blaLEN-37:KR738739:1-861:861~~~penam;penem~~~unknown MRYVRLCVISLLATLPLAVDAGPQPLEQIKQSESQLSGRVGMVEMDLASGRTLAAWRADERFPMVSTFKVLLCGAVLARVDAGVEQLDRRIHYRQQDLVDYSPVSEKHLVDGMTIGELCAAAITLSDNSAGNLLLATVGGPAGLTAFLRQIGDNVTRLDRWETALNEALPGDARDTTTPASMSATLRKLLTAQHLSARSQQQLLQWMVDDRVAGPLIRAVLPPGWFIADKTGAGERGARGIVALLGPDGKPERIVVIYLRDTPASMAERNQHIAGIGAALIEHWQR ->ARGMiner~~~AQU-1~~~(Bla)blaAQU-2:KF730243:1-1143:1143~~~cephalosporin unknown +>ARGMiner~~~AQU-1~~~(Bla)blaAQU-2:KF730243:1-1143:1143~~~cephalosporin~~~unknown MKQTSPLSLLALSALLLSPLTQAAPADPLVGVVDDVIRPLVKEHRIPGMAVAVFKEGQPHYFNYGVAELATGKKVSEQTLFEIGSVSKTLTATLGAYAVVKGSIGLDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVESLEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGYLAASSLQQPFAQLMEQTLLPGLGLHHTYINVPKQAMANYAYGYSKEDKPIRVNPGMLADEAYGIKTSSADLLAFVQANINGVDDKGLQQAIALTHQGHYSVGGMTQGLGWESYPYPVSEQTLLAGNSAQVIMKANPTTAAPXEMGSQRLFNKTGSTNGFGAYVAFVPAKGVGIVMLANRNYPIQERVKAAHAILSKLAP ->ARGMiner~~~AQU-1~~~(Bla)blaAQU-3:KF730244:1-1149:1149~~~cephalosporin unknown +>ARGMiner~~~AQU-1~~~(Bla)blaAQU-3:KF730244:1-1149:1149~~~cephalosporin~~~unknown MKQTSPLSLLALSALLLSPLTQAAPADPLVGVVDDVIRPLVKEHRIPGMAVAVLKGGQAHYFNYGVAELATGKKVSEQTLFEIGSVSKTLTATLGAYAVVKGSIGLDDKVSRHAPWLKGSAFDGITMAELATYSAGGLPLQFPDEVESLEQMQAYYRQWTPAYQPGSHRQYSNPSIGLFGYLAASSLQQPFAQLMEQTLLPGLGLHHTYINVPKQAMANYAYGYSKEDKPIRVTPGVLADEAYGIKTSSADLLAFVKANINGVDDKGLQQAIALTHQGHYSVGGMTQGLGWESYPYPVSEQTLQVGNSREVSFKGNPVKGFKAPVTFGEARLYNKTGSTNGFGAYVAFVXAKGVGIVMLANRNYPIQERVKAAHAILSKLAP ->ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~(Bla)beta-lactamase_class-C:NC_010410:1184557-1185747:1191~~~cephalosporin;penam unknown +>ARGMiner~~~Acinetobacter baumannii AmpC beta-lactamase~~~(Bla)beta-lactamase_class-C:NC_010410:1184557-1185747:1191~~~cephalosporin;penam~~~unknown MSYLFLSCTEELIMRFKKISCLLLSPLFIFSTSIYAGNTPKDQEIKKLVDQNFKPLLEKYDVPGMAVGVIQNNKKYEMYYGLQSVQDKKAVNRSTIFELGSVSKLFTATAGGYAKNKGKISFDDTPGKYWKELKNTPIDQVNLLQLATYTSGNLALQFPDEVQTDQQVLTFFKDWQPKNPIGEYRQYSNPSIGLFGKVVALSMNKPFDQVLEKTIFPALGLKHSYVNVPKTQMQNYAFGYNQENQPIRVNPGPLDAPAYGVKSTLPDMLSFIHANLNPQKYPADIQRAINETHQGFYQVNTMYQALGWEEFSYPATLQTLLDSNSEQIVMKPNKVTAISKEPSVKMYHKTGSTNGFGTYVVFIPKENIGLVMLTNKRIPNEERIKAAYAVLDAIKK ->ARGMiner~~~L1 beta-lactamase~~~(Bla)blaPAM-1:AB858498:1-864:864~~~cephalosporin unknown +>ARGMiner~~~L1 beta-lactamase~~~(Bla)blaPAM-1:AB858498:1-864:864~~~cephalosporin~~~unknown MRFLASLALPLFAANLAVAAPKPLPQLEAYEGLQAWLVPVEPLRISDHVWQIGTASISALLVKTDAGAVLIDGGMPQVADHLLANMKKLGVQPQDLRLILHSHAHIDHVGPLAAIKRATGAVLVSNAESAVLLQRGGADDIHFGSGMLFAPLTPERLVQDGEAVTLGDTTFTVHFTPGHTPGSMSWTWTDTQDGKPLRIAYADSLSAPGYQLRDNARYPHLVDAFRASFAAVRALPCDLLLTPHAEGSGWDYTNAEKPHPAPVSCKAYADKAEQKLDQMLAEQAKSR ->ARGMiner~~~QnrB13~~~(Flq)qnrB13:EU273756:1-681:681~~~fluoroquinolone unknown +>ARGMiner~~~QnrB13~~~(Flq)qnrB13:EU273756:1-681:681~~~fluoroquinolone~~~unknown MTPLLYKKTSTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRRVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~QnrB57~~~(Flq)qnrB32:JN173054:1-681:681~~~fluoroquinolone unknown +>ARGMiner~~~QnrB57~~~(Flq)qnrB32:JN173054:1-681:681~~~fluoroquinolone~~~unknown MTPLLYKKTGTNMALALVGEKIDRNRFTGEKIENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLKDAIFKSCDLSMADFRNASALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNSNLSYANFSKVVLEKCELWENRWMGAQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAIIG ->ARGMiner~~~QnrB5~~~(Flq)qnrB46:JQ349154:1-680:680~~~fluoroquinolone unknown +>ARGMiner~~~QnrB5~~~(Flq)qnrB46:JQ349154:1-680:680~~~fluoroquinolone~~~unknown MTPLLYKKTGIDMTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLRDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDTRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~QnrB5~~~(Flq)qnrB47:JQ349155:1-680:680~~~fluoroquinolone unknown +>ARGMiner~~~QnrB5~~~(Flq)qnrB47:JQ349155:1-680:680~~~fluoroquinolone~~~unknown MTPLLYKKTGIDMTLALVGEKIDRNRFTGEKVENSTFFNCDFSGADLSGTEFIGCQFYDRESQKGCNFSRAMLRDAIFKSCDLSMADFRNVSALGIEIRHCRAQGADFRGASFMNMITTRTWFCSAYITNTNLSYANFSKVVLEKCELWENRWMGTQVLGATFSGSDLSGGEFSTFDWRAANFTHCDLTNSELGDLDIRGVDLQGVKLDNYQASLLMERLGIAVIG ->ARGMiner~~~QnrC~~~(Flq)qnrC:EU917444:1717-2382:666~~~fluoroquinolone unknown +>ARGMiner~~~QnrC~~~(Flq)qnrC:EU917444:1717-2382:666~~~fluoroquinolone~~~unknown LNYSHKTYDQIDFSGQDLSSHHFSHCKFFGCNFNRVNLRDAKFMGCTFIESNDFEGCNFIYADLRDASFMNCMLSMANFQGANCFGLELRECDLKGANFSQANFVNHVSNKMYFCSAYITGCNLSYANFDKQCLEKCDLFENKWVGASLQGASFKESDLSRGSFSDDFWEQCRIQGCDLTHSELNGLEPRKVDLTGVKICSWQQEQLLEQLGVIVIPDKVF ->ARGMiner~~~vanSG~~~(Gly)vanS-D:AB242319:689-1834:1146~~~glycopeptide unknown +>ARGMiner~~~vanSG~~~(Gly)vanS-D:AB242319:689-1834:1146~~~glycopeptide~~~unknown LKNKNMTSYEDDYLLFKNRLSVKILLMMACSILIISVVYLFVLKDNFANVVVAILDRFIYHDRDEAVAVYLRTFKAYEIWLFLIAVMGVFFVIFRRYLDSISKYFKEINRGIDTLVHEDTNDIALPPELASTERKINSIRHTLTKRKTDAELAEQRKNDLVMYLAHDLKIPLSSVIGYLNLLRDEKQISEELREKYLSISLDKAERLEELINEFFEITRFNLSNITLVYSKINLTMMLEQLGHEFKPMLAGKNLKCEFDIQPDMLLSCDANKLQRVFDNLLRNAVSYCYENTTIQVNARQAEDHVLIKIINEGDTIPRERLERIFEQFYRLDMSRSSSTGGAGLGLAIAREIVELHHGQITARSENGITSFEVTLPTVGKS ->ARGMiner~~~vanYD~~~(Gly)vanY-D:AB242319:1958-3025:1068~~~glycopeptide unknown +>ARGMiner~~~vanYD~~~(Gly)vanY-D:AB242319:1958-3025:1068~~~glycopeptide~~~unknown VERQNNNENQYGRNRRKDKRKKLFFYRAACAMLGLLIVCVIFGAVYFLRESKDPVLPSKENTKTGKDYSFLADGQSEDESPISEPAISNRANAIDLNIIAANAIVMNKDTDALLYQKNGTDRIAPASTAKMITALTVLEYCSPEEEMRVGAEIEMIHSDSSTAWLMKGDTLTVRQLLIALMLPSGNDAAYTLAVNTGKVIAGDNSLSNQQAIQIFMDKVNEKARAIGVTDSNFVVPDGYDAEGQYTTAYDLAIIAKACLEDPIISEIVASNTSYEKWPNGREVTYNNSNELLDPNSPYYRPEVIGLKTGTSSLGGACVVSAAVIDGETYICVVMGSTKESRFQDSVAILDKIKAQ ->ARGMiner~~~vanSL~~~(Gly)vanS-L:EU250284:5416-6510:1095~~~glycopeptide unknown +>ARGMiner~~~vanSL~~~(Gly)vanS-L:EU250284:5416-6510:1095~~~glycopeptide~~~unknown LKSKAETTTIKQILIKYLVTIGLSMLAYLVFLLTILIIMRNFVWDGTEPIYRVLHFFYRLFNFEGILIIGVILILFVVTLFFVMKIIGYLKQIIEATKQLLEKPEQRVKLSSGLFELQEEMNQLREKNNADNRAAKEAEKRKNDLIVYLAHDLRTPLTSVIGYLTLLKEEPEISVQTRAKYTNIALSKAFRLEELLSEFFDVTRFNLTNLTINEELVDLSVMLEQISYEFLPILEEKKLSWNLHVESNIKSLLDPGKMERVFDNLMRNAINYSFEDTIIDLSLEKKESQAIFKITNRTYTIPKEKLEKIFEPFYRMDTSRSSSTGGTGLGLPIVREIIEASKGTINVSSSNNEMTFIIYLPYID ->ARGMiner~~~vanC~~~(Gly)vanC2/3:EU151755:29-1081:1083~~~glycopeptide unknown +>ARGMiner~~~vanC~~~(Gly)vanC2/3:EU151755:29-1081:1083~~~glycopeptide~~~unknown MKKIAIIFGGNSPEYTVSLASATSAIEALQSSPYDYDLSLIGIAPDAMDWYLYTGELENIRQDTWLLDTKHTQKIKPLFEGNGFWLSEEQQTLVPDVLFPIMHGKYGEDGSIQGLFELMKLPYVGCGVAGSALCMNKWLLHQAAAAIGVQSAPTILLTNQANQQEQIEAFIQTHGFPVFFKPNEAGSSKGITKVTCVEEIASALKEAFTYCSAVLLQKNIAGVEIGCGILGNDSLTVGACDAISLVDGFFDFEEKYQLISAKITVPAPLPETIETKVKEQAQLLYRSLGLKGLARIDFFVTDQGELYLNEINTMPGFTSHSRYPAMMAAVGLSYQELLQKLLVLAKEEVK ->ARGMiner~~~vanSC~~~(Gly)vanSc3:AY033764:4604-5662:1059~~~glycopeptide unknown +>ARGMiner~~~vanSC~~~(Gly)vanSc3:AY033764:4604-5662:1059~~~glycopeptide~~~unknown ILIIIPFAVRFVAGMRVWYGYEPIYQILRLFADYWLLCLAIGALLIWVSTTIYYMSKAISYLNETIQATNQLIAEPTKRIVLSSNMIDVQEEMNQLREKNLQDQRAAKEAEQRKNDLIVYLAHDLRTPLTSVIGYLTLLEEEPQLSTELRARYTKIALQKAQRLELLISEFFEITRFNLTTIALQTETTDLSLMLEQLTFEFLPLLEEKNLHWQLQLQKKVFATVDTEKMERVFDNLIRNAINYSYPNSPLRLAISESDDILIRLTNQGKTIPAEKIGLIFEPFYRMDASRATATGGTGLGLPIAKEIVEALGGKIWAESRDETIVFSIRLPKAAMEKNEQLSKPHPSEPTA ->ARGMiner~~~vanF~~~(Gly)vanAE-Pp:AF155139:5940-6971:1032~~~glycopeptide unknown +>ARGMiner~~~vanF~~~(Gly)vanAE-Pp:AF155139:5940-6971:1032~~~glycopeptide~~~unknown LNRLKIAILFGGCSEEHDVSVKSAKEIANNIDTEKYEPIYIGITRSGVWKMCEKPCMDWDNENCRSAVLSPDKKMHGLLVMRNKGYQIQRIDAVFSVLHGKSGEDGAIQGLFELSSIPYVGCDVQSSAVCMDKSLTYIVAQNAGFGTPEFLILNHGDIPDSNTLTYPVFVKPARSGSSFGVNKVNNEDELDAAIETARQYDSKVLIEQAVPGLEVGCAVLGNGTDLIVGEVDQISLSHGIFRIHQEDQPEKGSENAVVLVPANLSAEKRIKIQETAKAIYKALGCKGLSRVDMFLQENGRIILNEVNTLPGFTAYSRYPRMMAAAGMTLSGLIDHCITLALKG ->ARGMiner~~~vanHO~~~(Gly)vanH-Ac2:AY618461:1-714:714~~~glycopeptide unknown +>ARGMiner~~~vanHO~~~(Gly)vanH-Ac2:AY618461:1-714:714~~~glycopeptide~~~unknown HIDVEYAESIGITVGNVAYSPDSVADFTLMLMLMVIRDAKSIIRRTEVHDYRLNAVRGKELRDLTVGVVGTGRIGVAVMDRLKGFGCRVLAYDTIPNASADYVPLDDLLQQSDIVTLHVPLNTDTYHLLDRRNIEEMKGGAYIINTGRGPLIETEALISALESGNLGGAALDVLEGEEGIFYADCRDKAIDGNKPLLRLQELSNVIISPHTAYYTDHALSDTVENSIINCLEFESGK ->ARGMiner~~~vanSA~~~(Gly)vanS-A:M97297:4649-5803:1155~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~(Gly)vanS-A:M97297:4649-5803:1155~~~glycopeptide~~~unknown LVIKLKNKKNDYSKLERKLYMYIVAIVVVAIVFVLYIRSMIRGKLGDWILSILENKYDLNHLDAMKLYQYSIRNNIDIFIYVAIVISILILCRVMLSKFAKYFDEINTGIDVLIQNEDKQIELSAEMDVMEQKLNTLKRTLEKREQDAKLAEQRKNDVVMYLAHDIKTPLTSIIGYLSLLDEAPDMPVDQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKTHIDLYYMLVQMTDEFYPQLSAHGKQAVIHAPEDLTVSGDPDKLARVFNNILKNAAAYSEDNSIIDITAGLSGDVVSIEFKNTGSIPKDKLAAIFEKFYRLDNARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNYTTFRVELPAMPDLVDKRRS ->ARGMiner~~~vanSC~~~(Gly)vanS-C:DQ022190:4672-5757:1086~~~glycopeptide unknown +>ARGMiner~~~vanSC~~~(Gly)vanS-C:DQ022190:4672-5757:1086~~~glycopeptide~~~unknown LKNRNPLIRKLLTQYFVTTGILLAFLVMIPLVIRFIAGTRTWYGTEPIYYILRFFADRWLFCVAIGALLIWFGTTIYYMTKAIGYLNETIQATTQLIEEPTKRITLSSHLVDVQEEMNQLREKSLQDQRAAKEAEQRKNDLIVYLAHDLRTPLTSVIGYLTLLKEEPQLSNAMRNRYTEIALQKAQRLELLISEFFEITRFNLTTIVLQTETTDLSLMLEQLTFEFLPLLEEKNLNWQLNLQKNVLATVDTEKIARVFDNLIRNAINYSYPDSPLLLELVESDSIHIRLTNRGKTIPEEMIGRLFEPFYRMDSSRATATGGTGLGLPIAKEILLASGGDISAESKDETIIFNVRLPKPANS ->ARGMiner~~~vanSA~~~(Gly)vanS-Pt2:DQ018710:3721-4881:1161~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~(Gly)vanS-Pt2:DQ018710:3721-4881:1161~~~glycopeptide~~~unknown LAIKLKNKNKKTDYSKLKRKLYQYIVVIVMAAVVFVLFLRLFIKGTLGEWIVRFLENSYHLERQDAMKIYQYTIRNNIEIFIYVAIAISILILCRVMLSKFAKYFDEINTGIDILIQNEDKQIELSAEMEFMEQKLNTLKRTLEKREQDAKLAEQRKNDVVMYLAHDIKTPLTSVIGYLSLLDEAPDMPVEQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKKHIDLYYMLVQMTDEFYPQLAANGKQAVIHASEDLTVSGDPDKLARVFNNILKNAAAYSEDNSVIDITAGLSGDVVSIVFKNAGSIPKDKLAAIFEKFYRLDDARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNYTTFTVELPALPDLVDKRSS ->ARGMiner~~~vanSA~~~(Gly)vanS-Pt:AY926880:1391-2551:1461~~~glycopeptide unknown +>ARGMiner~~~vanSA~~~(Gly)vanS-Pt:AY926880:1391-2551:1461~~~glycopeptide~~~unknown LAIKLKNKNKKTDYSKLKRKLYQYIVVIVMAAVVFVLFLRLFIKGTLGEWIVRFLENSYHLDRLDAMKLYQYSIRNNIDIFIYVAIVISILILCRVMLSKFAKYFDEINTGIDVLIQNEDKQIELSAEMDVMEQKLNTLKRTLEKREQDAKLAEQRKNDVVMYLAHDIKTPLTSIIGYLSLLDEAPDMPVDQKAKYVHITLDKAYRLEQLIDEFFEITRYNLQTITLTKTHIDLYYMLVQMTDEFYPQLSAHGKQAVIHAPEDLTVSGDPDKLARVFNNILENAAAYSEDNSVIDITAGLSGDVVSIVFKNAGSIPKDKLAAIFEKFYRLDDARSSDTGGAGLGLAIAKEIIVQHGGQIYAESNDNYTTFTVELPALPDLVDKRSS ->ARGMiner~~~vanWB~~~(Gly)vanZ-Pa:DQ018711:2047-2862:816~~~glycopeptide unknown +>ARGMiner~~~vanWB~~~(Gly)vanZ-Pa:DQ018711:2047-2862:816~~~glycopeptide~~~unknown VTQIFPFLLPVRVMQRKMCFYAGMRFDGRRYAETIDGKQLPYKLFEAGCALYNGNTGFDMAYQENKVFNLKLAAKTLNGLLIRPGETFSFWRLVRHADKHIPYKDGLTVTNGKLTTAPGGGLCQMSNLLFWMFLHTPLTVTERSGHEVKEFPEPNSDEIKGVDATISEGWIDLKARNGTDCTYQISVAFDDENIIGTVFVDKRPQVLYRVANGGIEYSRESGGIYESVKVERAEIDSDTGEITGQKPLYTNKCKICYPLPENVEIKEAKKV ->ARGMiner~~~vanXO~~~(Gly)vanX-Ac2:AY618461:1756-2316:561~~~glycopeptide unknown +>ARGMiner~~~vanXO~~~(Gly)vanX-Ac2:AY618461:1756-2316:561~~~glycopeptide~~~unknown MKDDFVFVDEVVPGIRWDAKYATWDNFTGKPVDGYLVNRVVGTRAFCAALEKAREKAESLGFGLLLWDVYRPQRAVDCFMRWAEQPEDGRKKLRHYPNIDRPQMFEKGYVATKSGHSRGSTVDLTLYHLDTGELADMGGDHDVMDVVSHHGAAGITPEQEKNREHLCSIMVDSGFGSYEFEWWHYTL ->ARGMiner~~~vanZA~~~(Gly)vanZ-A:M97297:10116-10601:486~~~glycopeptide unknown +>ARGMiner~~~vanZA~~~(Gly)vanZ-A:M97297:10116-10601:486~~~glycopeptide~~~unknown LGKILSRGLLALYLVTLIWLVLFKLQYNILSVFNYHQRSLNLTPFTATGNFREMIDNVIIFIPFGLLLNVNFKEIGFLPKFAFVLVLSLTFEIIQFIFAIGATDITDVITNTVGGFLGLKLYGLSNKHMNQKKLDRVIIFVGILLLVLLLVYRTHLRINYV ->ARGMiner~~~carA~~~(MLS)car(A):M80346:411-2066:1656~~~macrolide unknown +>ARGMiner~~~carA~~~(MLS)car(A):M80346:411-2066:1656~~~macrolide~~~unknown VSTAQLALHDITKRYQDHVVLDRIGFTIKPGEKVGVIGDNGSGKSTLIKLIAGREQPDNGAVTVVAPGGVGYLAQTLELPLEATVQDAVDLALADLRELEEGMRRTEAELAERPYQTGQDPELAGLLESYAALVDRYQARGGYEADSRVEIALHGLGLPGLERGRRLGTLSGGERSRLALAATLASEPELLLLDEPTNDLDDRAVDWLEEHLRKHKGTVVAVTHDRLFLDRLTTTILEIDSGKVMRYGNGYEGYLAAKAAERQRRLLEYEQWRAELDRSRDLIASNVARLDAIPRKLPFAVFGAGQFRMRGRGHGAMVRIRNAKERVARLTENPVAPPPEPLTFTAEITTEAAQSRETVAELTGVRVGDRLSVDSLHLGPGERLLVTGPNGAGKTTLLRVLSGELEPDSGSLLVSGRVGHLRQEQTPWRPGMTVLQAFSSGRAGDIDEHTEALLSLGLFSPDDLRQRVQDLSYGQRRRIELARLVTEPVDLLLLDEPTNHLSPALVEELEEALTGYQGTVVVVTHDRRMRSRFNGAHLTLQDGRVAEFTAA ->ARGMiner~~~Erm(38)~~~(MLS)erm(38):AY154657:63-1223:1161~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(38)~~~(MLS)erm(38):AY154657:63-1223:1161~~~macrolide;lincosamide;streptogramin~~~unknown VSTPHHGRHELGQNFLSDRRVIADIVEIVSRTNGPIIEIGAGDGALTIPLQRLARPLTAVEVDARRARRLAQRTARSAPGPASRPTEVVAADFLRYPLPRSPHVVVGNLPFHLTTAILRRLLHGPGWTTAVLLMQWEVARRRAAVGGATMMTAQWWPWFEFGLARKVSAASFTPRPAVDAGLLTITRRSRPLVDVADRARYQALVHRVFTGRGHGMAQILQRLPTPVPRTWLRANGIAPNSLPRQLSAAQWAALFEQTRLTGAQRVDRPRDVQHGRAHRRRGGEVDRPATHHKQTGPVVGQRQPQRGRDADADPDDQRTAPPVTRHHQGERRDEDQADHQDRPLTGEHLAGEFLWRHASFDSSASTTLVSRKARVNGPTPPGLGDT ->ARGMiner~~~Erm(39)~~~(MLS)erm(39):AY487229:2153-2893:741~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(39)~~~(MLS)erm(39):AY487229:2153-2893:741~~~macrolide;lincosamide;streptogramin~~~unknown VSSVHHGRHENGQNFLRDRRVVGDIVRMVSHTAGPIVEIGAGDGALTLPLQRLGRPLTAIEIDLHRARRLADRTTAEVIATDFLRYRLPRTPHVVVGNLPFHLTTAILRRLLHENGWTDAILLVQWEVARRRAGVGGATMMTAQWWPWFEFGLARKVSADAFRPRPSVDAGLLTIQRRAEPLLPWADRRAYQALVHRVFTGRGRGLAQILRPHVHPRWLSANGIHPSALPRALTARQWVALFDAAG ->ARGMiner~~~Erm(39)~~~(MLS)erm(40):AY570506:2035-2790:756~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(39)~~~(MLS)erm(40):AY570506:2035-2790:756~~~macrolide;lincosamide;streptogramin~~~unknown VSSKNQGRHEHGQNFLCDRRVVADIVKIVSHTTGSIVEIGAGDGALTVPMQRLGRPLTAIEIDRRRAERLARRTTAHVVTADFLRYRLPPTEHVVVGNLPFHLTTAILRRLLHSPAWTDAVLLMQWEVARRRAAVGGATMMTAQWWPWFEFGLARKVSADAFRPRPSVDAGLLTITRRREPLIDGADRRRYQALVHAVFTGRGRGVAQIVGPRVPRHWLRHNGITPSALPRDLTAAQWAALFEVTSEAKRC ->ARGMiner~~~Erm(41)~~~(MLS)erm(41):EU590124:258-779:522~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(41)~~~(MLS)erm(41):EU590124:258-779:522~~~macrolide;lincosamide;streptogramin~~~unknown VSGQRSRRQWGWYPLTDDWAARIVAESGVRSGEFVVDLGAGHGALTAHLVAAGARVLAVELHPGRARHLRSRFAEEDVRIAEADLLAFRWPRRPFRVVASPPYQVTSALIRSLLTPESRLLAADLVLQRGAVHKHAKRALVRHWTLRAGITLPRSAFHHPPQVDSSVLVIRRR ->ARGMiner~~~ErmE~~~(MLS)erm(E):M11200:284-1396:1146~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmE~~~(MLS)erm(E):M11200:284-1396:1146~~~macrolide;lincosamide;streptogramin~~~unknown VSSSDEQPRPRRRNQDRQHPNQNRPVLGRTERDRNRRQFGQNFLRDRKTIARIAETAELRPDLPVLEAGPVEGLLTRELADRARQVTSYEIDPRLAKSLREKLSGHPNIEVVNADFLTAEPPPEPFAFVGAIPYGITSAIVDWCLEAPTIETATMVTQLEFARKRTGDYGRWSRLTVMTWPLFEWEFVEKVDSAIMRLRRRAEPLLEGAALERYESMVELCFTGVGGNIQASLLRKYPRRRVEAAFDHAGVGGGAVVAYVRPEQWLRLFERLDQKNEPRGGQPQRGRRTGGRDHGDRRTGGQDRGDRRTGGRDHRDRQASGHGDRRSSGRNRDDGRTGEREQGDQGGRRGPSGGGRTGGRPGRRGGPGQR ->ARGMiner~~~ErmS~~~(MLS)erm(S):M19269:460-1419:960~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmS~~~(MLS)erm(S):M19269:460-1419:960~~~macrolide;lincosamide;streptogramin~~~unknown VARAPRSPHPARSRETSRAHPPYGTRADRAPGRGRDRDRSPDSPGNTSSRDGGRSPDRARRELSQNFLARRAVAERVARLVRPAPGGLLLEVGAGRGVLTEALAPYCGRLVAHEIDPRLLPALRDRFGGPHHAHVRISGGDFLAAPVPREPFALAGNIPYSRTAGIVDWALRARTLTSATFVTQLEYARKRTGDYGRWSLLTVRTWPRHEWRLLGRVSRREFRPVPRVDSGILRIERRERPLLPSAALGDYHRMVELGFSGVGGSLYASLRRAHRAGPLDAAFRAARLDRSVVVAYVTPEQWLTVFRTLRPVRSRPAGR ->ARGMiner~~~ErmN~~~(MLS)erm(Z):AM709783:2817-3665:849~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmN~~~(MLS)erm(Z):AM709783:2817-3665:849~~~macrolide;lincosamide;streptogramin~~~unknown VTLKSPLPPQSVSAPADSRSTARREWGQNFFRTAAAACRFSAQLDGSDTIPPDSPNDLMTVEIGAGSGRVTKVLASPGTPLLAVEIDPRWARRLAAESLPDVTVVNEDFLTLQLPGQPVRLIGNLPFVTGTRMLRRCLDMGPARMRQGVFLLQREYVGKRTGAWGGNLFNAQWEPWYSFDRGLAFSRQDFTPVPRADTQTLMVAPHRRPSVPWREKAAYQRFVQRVFDTGQMTVGDAARKVLRRGHAQFVRGAGVRPADRVKDLTVPEWTALFRAYGRTADR ->ARGMiner~~~ErmU~~~(MLS)lmr(B):X79146:27840-28679:840~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmU~~~(MLS)lmr(B):X79146:27840-28679:840~~~macrolide;lincosamide;streptogramin~~~unknown VPSRYGSRQELGQNFLVDPDIIKLIRRAAERTEGPIVDLGAGDGALTLPLSRLGRPVTAVELDPRRVKRLSARAPENVKVVGEDILRFRLPTVPHTVVGNIPFHVTTATMRRILVAPAWVSAVLVVQWEVARRRAGIGGCSLVTAESWPWFDFSVLKRVPRFAFRPAPSVDGGILVIERRPEPLVRERREYQDFVRQVFTGRGHGLREILQRIGRVQDSDLSAWFRAHGVSPQALPKDLTAEQWASLWGMARGGRSVPRTRRPRGLPPRTSRGPRRNSG ->ARGMiner~~~ErmU~~~(MLS)lmr(B):X62867:361-1197:837~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~ErmU~~~(MLS)lmr(B):X62867:361-1197:837~~~macrolide;lincosamide;streptogramin~~~unknown VPSRYGSRQDLGQNFLVDPDIIKLIRRAPNERKVPSLIWRRRGHVTLPLSRLGRPVTAVELDPRRVKRLSARAPENVKVVGEDILRFRLPTVPHTVVGNIPFHVTTATMRRILVAPAWVSAVLVVQWEVARRRAGIGGCSLVTAESWPWFDFSVLKRVPRFAFRPAPSVDGGILVIERRPEPLVRERREYQAFVRQVFTGRGHGLREILQRIGRVQDSDLSAWFRAHGVSPQALPKDLTAEQWASLWGMARGGRSVPRTRRPRGLPPRTSRGPRRNSG ->ARGMiner~~~optrA~~~(Oxzln)OptrA:KP399637:31477-33444:1968~~~oxazolidinone unknown +>ARGMiner~~~optrA~~~(Oxzln)OptrA:KP399637:31477-33444:1968~~~oxazolidinone~~~unknown LSKATFAIASTNAKEDMKMQYKIINGAVYYDGNMVLENIGIEINDNEKIAIVGRNGCGKTTLLKAIIGEIELEEGTGESEFQVIKTGNPYISYLRQMPFEDESISMVDEVRTVFKTLIDMENKMKQLIDKMENQYDDKIINEYSDISERYMALGGLTYQKEYETMIRSMGFTEADYKKPISEFSGGQRTKIAFIKILLTKPDILLLDEPTNHLDIETIQWLESYLRSYKSTLVIISHDRMFLNRIVDKVYEIEWGETKCYKGNYSAFEEQKRENHIKQQKDYDLQQIEIERITRLIERFRYKPTKAKMVQSKIKLLQRMQILNAPDQYDTKTYMSKFQPRISSSRQVLSASELVIGYDTPLAKVNFNLERGQKLGIVGSNGIGKSTLLKTLMGGVAALSGDFKFGYNVEISYFDQQLAQISGDDTLFEIFQSEYPELNDTEVRTALGSFQFSGDDVFRPVSSLSGGEKVRLTLCKLLYKRTNVLILDEPTNHMDIIGKENLENILCSYQGTIIFVSHDRYFTNKIADRLLVFDKDGVEFVQSTYGEYEKKRMNSEKPFNNIKVEQKVEKNNTVKGDRNSIEKEKVKKEKRIEKLEVLINQYDEELERLNKIISEPNNSSDYIVLTEIQKSIDDVKRCQGNYFNEWEQLMRELEVM ->ARGMiner~~~oleC~~~(MLS)ole(C):L06249:1528-2505:978~~~macrolide unknown +>ARGMiner~~~oleC~~~(MLS)ole(C):L06249:1528-2505:978~~~macrolide~~~unknown VTVRGLVKHYGETKALDGVDLDVREGTVMGVLGPNGAGKTTLVRILSTLITPDSGQATVAGYDVVRQPRQLRRVIGLTGQYASVDEKLPGWENLYLIGRLLDLSRKEARARADELLERFSLTEAARRPAGTYSGGMRRRLDLAASMIGRPAVLYLDEPTTGLDPRTRNEVWDEVKAMVGDGVTVLLTTQYMEEAEQLASELTVVDRGRVIAKGGIEELKARVGGRTLRVRPVDPLQLRPLAGMLDELGITGLASTTVDTETGALLVPILSDEQLTAVVGAVTARGITLSSITTELPSLDEVFLSLTGHRASAPQDAEPARQEVAV ->ARGMiner~~~srmB~~~(MLS)srm(B):X63451:558-2210:1653~~~macrolide unknown +>ARGMiner~~~srmB~~~(MLS)srm(B):X63451:558-2210:1653~~~macrolide~~~unknown VSIAQYALHDITKRYHDCVVLDRVGFSIKPGEKVGVIGDNGSGKSTLLKILAGRVEPDNGALTVVAPGGVGYLAQTLELPLDATVQDAVDLALSDLRELEAAMREAEAELGESDENGSERELSAGLQRYAALVEQYQARGGYEADVRVEVALHGLGLPSLDRDRKLGTLSGGERSRLALAATLASSPELLLLDEPTNDLDDRAMEWLEDHLAGHRGTVIAVTHDRVFLDRLTTTILEVDSGSVTRYGNGYEGYLTAKAVERERRLREYEEWRAELDRNRGLITSNVARMDGIPRKMSLSVFGHGAYRRRGRDHGAMVRIRNAKQRVAQLTENPVHAPADPLSFAARIDTAGPEAEEAVAELTDVRVAGRLAVDSLTIRPGERLLVTGPNGAGKSTLLRVLSGELEPDGGSVRVGCRVGHLRQDETPWAPGLTVLRAFAQGREGYLEDHAEKLLSLGLFSPSDLRRRVKDLSYGQRRRIEIARLVSDPMDLLLLDEPTNHLTPVLVEELEQALADYRGAVVVVTHDRRMRSRFTGARLTMGDGRIAEFSAG ->ARGMiner~~~vatA~~~(MLS)vat(A):L07778:258-917:660~~~streptogramin unknown +>ARGMiner~~~vatA~~~(MLS)vat(A):L07778:258-917:660~~~streptogramin~~~unknown LNLNNDHGPDPENILPIKGNRNLQFIKPTITNENILVGEYSYYDSKRGESFEDQVLYHYEVIGDKLIIGRFCSIGPGTTFIMNGANHRMDGSTYPFHLFRMGWEKYMPSLKDLPLKGDIEIGNDVWIGRDVTIMPGVKIGDGAIIAAEAVVTKNVAPYSIVGGNPLKFIRKRFSDGVIEEWLALQWWNLDMKIINENLPFIINGDIEMLKRKRKLLDDT ->ARGMiner~~~oleB~~~(MLS)TlrC:NC_016113:803268-384890:1623~~~macrolide unknown +>ARGMiner~~~oleB~~~(MLS)TlrC:NC_016113:803268-384890:1623~~~macrolide~~~unknown MPTQITALAVTKAYQGQVVLDSVTCSLTEGERTGIIGENGSGKTTLLRLLAGPEQPDSGEIVLHATGGVGYFAQDGELPPHVTVAQVVDHALGELRAVEARLRDLEARMADGDTSSLAEYGDLLTVFELRGGYEADARLERALHGLGLGHLPRDRVVGGLSGGEQARLRLACVLASGPEVLLLDEPTNHLDASALTWLETYLSTRRGTTVAVSHDRAFLEHVTTTLLEVDADRRQVFRYGNGYAGYLAEKAAARQRWAQAYDEWRAETDRLRETAATTARRVAPGRAMTDRNKMAYGRAAGRVQQSVASRVRNAEERLRRLLADPVPAPPEPLRFTAAPRPAGDPQGAVLDAAAITVTGRLAATDLTVTAGERVLITGANGAGKTTLLRVLAGELAPDGGRVSRRGRIGYLSQEPPPGRPGETLLAAFARGRAGTAEEHAERLLALGLFTADRFDTPVTRLSTGQRQRLALARLVTAPADVLLLDEPTNHLSPALVEELEEALAGFPGALVVVSHDRRLRERWRGSRVELADSGTAALRM ->ARGMiner~~~Bacillus pumilus cat86~~~(Phe)cat-86:K00544:145-807:663~~~phenicol unknown +>ARGMiner~~~Bacillus pumilus cat86~~~(Phe)cat-86:K00544:145-807:663~~~phenicol~~~unknown LFKQIDENYLRKEHFHHYMTLTRCSYSLVINLDITKLHAILKEKKLKVYPVQIYLLARAVQKIPEFRMDQVNDELGYWEILHPSYTILNKETKTFSSIWTPFDENFAQFYKSCVADIETFSKSSNLFPKPHMPENMFNISSLPWIDFTSFNLNVSTDEAYLLPIFTIGKFKVEEGKIILPVAIQVHHAVCDGYHAGQYVEYLRWLIEHCDEWLNDSLHIT ->ARGMiner~~~catB3~~~(Phe)catB4:EU935739:59054-59602:549~~~phenicol unknown +>ARGMiner~~~catB3~~~(Phe)catB4:EU935739:59054-59602:549~~~phenicol~~~unknown MTNYFDSPFKGKLLSEQVKNPNIKVGRYSYYSGYYHGHSFDDCARYLFPDRDDVDKLIIGSFCSIGSGASFIMAGNQGHRYDWASSFPFFYMQEEPAFSSALDAFQKAGNTVIGNDVWIGSEAMVMPGIKIGHGAVIGSRSLVTKDVGHCCKVSDEAAFCLIQRPYISKTLLTRRISPRGSP ->ARGMiner~~~cmlA6~~~(Phe)cmlA1:M64556:601-1860:1260~~~phenicol unknown +>ARGMiner~~~cmlA6~~~(Phe)cmlA1:M64556:601-1860:1260~~~phenicol~~~unknown VSSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWALQSVLGFIAPMWLVGIGVATAVSVAPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRVKGSRGQGEHDVVALQSAGSTSNPNR ->ARGMiner~~~cmlB1~~~(Phe)cmlB1:NG_036292:776-2041:1266~~~phenicol unknown +>ARGMiner~~~cmlB1~~~(Phe)cmlB1:NG_036292:776-2041:1266~~~phenicol~~~unknown VRSKDFSWRYSLAATLLLLSPFDLLASLGMDMYLPVVPFMAGALGAGAGTIQLTLTVYLVLLGAGQLLFGPLSDLLGRRPVLLGGGITYILASFGLAAASSPEVFLSFRILQACGASACLVSTFATVRDIYSGSEESNVIYGLLGSMLAMVPAIGPLLGALVDAWLGWRAIFGLLGIAMIGAVTAAWRFWPETRRQRTADLQWSQLLLPVKCLNFWLYTLCYSAGMGSFFVFFSTAPWLMMGRQALSQLSFSLLFATVAIAMMATARIMGRLIPRWGSLNTLRVGMGCLVVGALLLAVGETLIPNSVLGFIAPMWLVGVGIATAASVAPNGALRGFDHIAGTATAVYFCLGGLLLGGIGTFIIALLPSDTTWPIIAYCLILAIAVLCLSCFNPNRHHPSDDEHDSLATQDIGRSQSGHGHD ->ARGMiner~~~Rhodococcus fascians cmr~~~(Phe)cmrA:Z12001:993-2168:1176~~~phenicol unknown +>ARGMiner~~~Rhodococcus fascians cmr~~~(Phe)cmrA:Z12001:993-2168:1176~~~phenicol~~~unknown VPFAIYVLGIAVFAQGTSEFMLSGLIPDMAQDLQVSVPTAGLLTSAFAIGMIIGAPLMAIVSMRWQRRRALLTFLITFMVVHVIGALTDSFGVLLVTRIVGALANAGFLAVALGAAMSMVPADMKGRATSVLLGGVTIACVVGVPGGALLGELWGWRASFWEVVLISAPAVAAIMASTPADSPTDSVPNATRELSSLRQRKLQLILVLGALINGATFCSFTYLAPTLTDVAGFDSRWIPLLLGLFGLGSFIGVSVGGRLADTRPFQLLVAGSAALLVGWIVFAITASHPVVTLVMLFVQGTLSFAVGSTLISRVLYVADGAPTLGGSFATAAFNVGAALGPALGGVAIGIGMGYRAPLWTSAALVALAIVIGAATWTRWREPRPALDTVPP ->ARGMiner~~~fexA~~~(Phe)fexA:JQ041372:14024-15451:1428~~~phenicol unknown +>ARGMiner~~~fexA~~~(Phe)fexA:JQ041372:14024-15451:1428~~~phenicol~~~unknown MKKDSKSKEMIQSEKRGSTRLLMMVLSLSVLVGAITADLVNPVLPLISKDLEASKSQVSWIVSGIALVLAIGVPIYGRISDFFELRKLYIFAIMILASGSLLCAIAPNLPLLVLGRMVQGAGMSAIPVLSVIAISKVFPQGKRGGALGIIAGSIGVGTAAGPIFGGVVGQYLGWNALFWFTFLLAIMIVIGAYYALPTIKPAESVGSNKNFDFIGGLFLGLTVGLLLFGITQGETSGFSSFSSLTSLIGSVVALVGFIWRIVTAENPFVPPVLFNNKDYVNTVIIAFFSMFAYFAVLVFVPLLVVEVNGLSSGQAGMILLPGGVAVAILSPFVGRLSDRFGDKRLIITGMTLMGLSTLFLSTYASGASPLLVSVGVLGVGIAFAFTNSPANNAAVSALDADKVGVGMGIFQGALYLGAGTGAGMIGALLSARRDATEPINPLYILDAMSYSDAFLAATGAILIALIAGLGLKKRG ->ARGMiner~~~otr(B)~~~(Tet)otrB:AF079900:40-1733:1692~~~tetracycline unknown +>ARGMiner~~~otr(B)~~~(Tet)otrB:AF079900:40-1733:1692~~~tetracycline~~~unknown VSSANPGPAGTADQAGGAFTHRQILTAXSGLLLAVFLAALDQTVIATAMRTIADDLHGQTEQAWATTGYLIASVLAMPFYGKLSDIYGRKPMYLISIVVFIGGSVLCGTAGSMWELALFRAVQGLGGGGLMSLPTAVVADLAPVRERGRYFAFLQMAWVVASVAGPLAGGFFAEAGQVFGIDGWRWVFLLNVPLGLLALVTVRKALNLPHERREHRMDVLGAAALALFLVPLLIVAEQGRTWGWGSPAALALFALGAAGLAVFIPVELRRGDEAILPLGLFRRGSIALCSAVNFTIGVGIFGTVTTLPLFLQMVQGRTPTQAGLVVIPFMLGTIASQMVSGKLIASSGRFKKLAIVGLGSMAGALLAMATTGATTPMWGIVLIVLWLGVGIGLSQTVITSPMQNSAPKSQLGVANGASGLCRQIGGSTGIAVLFSVMFAVALGRLADLLHTPRYERLLTDPAITGDPANHRFLDMAESGQGAGINLDDTSLLNGIDARLMQPVTDSFAHGFHIMFLAGGVVLLAGFVMTWFLRELQEETAPEEERPAESGAGAKNGPLPASDA ->ARGMiner~~~tet(33)~~~(Tet)tet(33):AJ420072:22940-24163:1224~~~tetracycline unknown +>ARGMiner~~~tet(33)~~~(Tet)tet(33):AJ420072:22940-24163:1224~~~tetracycline~~~unknown VSSLTSARGSLATVLITASLDAAGMGLVMPILPALLHEAGVTADAVPLNVGVLIALYAVMQFIFAPVLGTLSDRFGRRRVLLVSLAGATVDYLVLATTSALSVFYIARAVAGITGATNAVTATVIADITPPHQRAKRFGLLSACYGGGMIAGPAMGGLFGAISPHLPFLLAALLSASNLALTFILLRETRPDSPARSASLAQHRGRPGLSAVPGITFLLIAFGLVQFIGQAPGATWVLFTEHRLDWSPVEVGISLSVFGIVQVLVQALLTGRIVEWIGEAKTVIIGCITDALGLVGLAIVTDAFSMAPILAALGIGGIGLPALQTLLSQRVDEQHQGRLQGVLASINSVTSIFGPVAFTTIFALTYINADGFLWLCAAALYVPCVILIMRGTAASPKFGSWASGDSM ->ARGMiner~~~tet(39)~~~(Tet)tet(39):AY743590:749-1936:1188~~~tetracycline unknown +>ARGMiner~~~tet(39)~~~(Tet)tet(39):AY743590:749-1936:1188~~~tetracycline~~~unknown VKKSLSVILITIFLDAVGIGLIMPILPELLRSLAGAEAGGVHYGALLAVYALMQFIFAPILGALSDRFGRRPVLIISIAGATADYLLMAAAPSLLWLYIGRIFAGITGANMAVATAYVSDITPAHERAKRFGLLGAVFGIGFIAGPVIGGVLGEWNLHAPFFAAAFMNGINLIMTAVLLKESKHSNKMTEKVQEQSILKKLSYLITQPNMAPLLGIFLIITLVSQVPATLWVIYGQDRYGWSIFIAGVSLASYGICHSIAQAFAIAPMVKRFGEKNTLLCGIACDAIGLLLLSIAVEEWVPFALLPLFALGGVAVPALQAMMSRGISDERQGELQGLLSSFNSLGAIIGPVLVTSLYFMTQASAPGMVWALAAILYVITLPLLLKYRLNKYSGVP ->ARGMiner~~~tet(41)~~~(Tet)tet(41):AY264780:1825-3006:1182~~~tetracycline unknown +>ARGMiner~~~tet(41)~~~(Tet)tet(41):AY264780:1825-3006:1182~~~tetracycline~~~unknown LKKPMLVILLTVLLDAVGIGLIMPILPALLRSLGGLDAGSVHYGALLAAYALMQFLFSPILGALSDRFGRRPVLLISLAGAAADYLLMAFAPTLAWLYLGRLLAGITGANMAVATAYVTDITPVGQRARRFGLVGAVFGVGFIVGPLLGGSLGEWHLHAPFLAAAMMNALNLVMAFFLLPESRKSRPRAAEKIRLNPFSSLRRLHGKPGLLPLAGIYLVMALVSQAPATLWILYGQDRFGWSMMVAGLSLAGYGACHALSQAFAIGPLVARLGERKALLIGLAADAVGLALLSVATRGWAPFALLPFFAAGGMALPALQALMAHKVDDDHQGELQGTLASMGSLIGVAGPLVATALYAATRDVWPGLVWALAAALYLVVPPLLARSRARDAAP ->ARGMiner~~~tetR~~~(Tet)tetR:HF545434:53576-54226:651~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetR~~~(Tet)tetR:HF545434:53576-54226:651~~~glycylcycline;tetracycline~~~unknown MTKLQPNTVIRAALDLLNEVGVDGLTTRKLAERLGVQQPALYWHFRNKRALLDALAEAMLAENHTHSVPRADDDWRSFLIGNARSFRQALLAYRDGARIHAGTRPGAPQMETADAQLRFLCEAGFSAGDAVNALMTISYFTVGAVLEEQAGDSDAGERGGTVEQAPLSPLLRAAIDAFDEAGPDAAFEQGLAVIVDGLAKRRLVVRNVEGPRKGDD ->ARGMiner~~~tetR~~~(Tet)tetR(G):S52438:113-745:633~~~glycylcycline;tetracycline unknown +>ARGMiner~~~tetR~~~(Tet)tetR(G):S52438:113-745:633~~~glycylcycline;tetracycline~~~unknown MTKLDKGTVIAAGLELLNEVGMDSLTTRKLAERLKVQQPALYWHFQNKRALLDALPEAMLRERHTRSLPEENEDWRVFLKENALSFRTALLSYRDGARIHAGTRPTEPNFGTAETQIRFLCAEGFCPKRAVWALRAVSHYVVGSVLEQQASDADERVPDRPDVSEQAPSSFLHVLFHELETDGMDAAFNFGLDSLIAGFERLRAAVLATD ->ARGMiner~~~tet(G)~~~(Tet)tetG:AF133140:757-1932:1176~~~tetracycline unknown +>ARGMiner~~~tet(G)~~~(Tet)tetG:AF133140:757-1932:1176~~~tetracycline~~~unknown VRSSAIIALLIVGLDAMGLGLIMPVLPTLLRELVPAEQVAGHYGALLSLYALMQVVFAPMLGQLSDSYGRRPVLLASLAGAAVDYTIMASAPVLWVLYIGRLVSGVTGATGAVAASTIADSTGEGSRARWFGYMGACYGAGMIAGPALGGMLGGISAHAPFIAAALLNGFAFLLACIFLKETHHSHGGTGKPVRIKPFVLLRLDDALRGLGALFAVFFIIQLIGQVPAALWVIYGEDRFQWNTATVGLSLAAFGATHAIFQAFVTGPLSSRLGERRTLLFGMAADATGFVLLAFATQGWMVFPILLLLAAGGVGMPALQAMLSNNVSSNKQGALQGTLTSLTNLSSIAGPLGFTALYSATAGAWNGWVWIVGAILYLICLPILRRPFATSL ->ARGMiner~~~tet(K)~~~(Tet)tetK:M16217:305-1684:1380~~~tetracycline unknown +>ARGMiner~~~tet(K)~~~(Tet)tetK:M16217:305-1684:1380~~~tetracycline~~~unknown LFSLYKKFKGLFYSVLFWLCILSFFSVLNEMVLNVSLPDIANHFNTTPGITNWVNTAYMLTFSIGTAVYGKLSDYINIKKLLIIGISLSCLGSLIAFIGHNHFFILIFGRLVQGVGSAAFPSLIMVVVARNITRKKQGKAFGFIGSIVALGEGLGPSIGGIIAHYIHWSYLLILPMITIVTIPFLIKVMVPGKSTKNTLDIVGIVLMSISIICFMLFTTNYNWTFLILFTIFFVIFIKHISRVSNPFINPKLGKNIPFMLGLFSGGLIFSIVAGFISMVPYMMKTIYHVNVATIGNSVIFPGTMSVIVFGYFGGFLVDRKGSLFVFILGSLSISISFLTIAFFVEFSMWLTTFMFIFVMGGLSFTKTVISKIVSSSLSEEEVASGMSLLNFTSFLSEGTGIAIVGGLLSLQLINRKLVLEFINYSSGVYSNILVAMAILIILCCLLTIIVFKRSEKQFE ->ARGMiner~~~tet(L)~~~(Tet)tetL:FN435329:1-1377:1377~~~tetracycline unknown +>ARGMiner~~~tet(L)~~~(Tet)tetL:FN435329:1-1377:1377~~~tetracycline~~~unknown VNTSYSQSNLRHNQILIWLCILSFFSVLNEMVLNVSLPDIANDFNKPPASTNWVNTAFMLTFSIGTAVYGKLSDQLGIKRLLLFGIIINCFGSVIGFVGHSFFSLLIMARFIQGAGAAAFPALVMVVVARYIPKENRGKAFGLIGSIVAMGEGVGPAIGGMIAHYIHWSYLLLIPMITIITVPFLMKLLKKEVRIKGHFDIKGIILMSVGIVFFMLFTTSYSISFLIVSVLSFLIFVKHIRKVTDPFVDPGLGKNIPFMIGVLCGGIIFGTVAGFVSMVPYMMKDVHQLSTAEIGSVIIFPGTMSVIIFGYIGGILVDRRGPLYVLNIGVTFLSVSFLTASFLLETTSWFMTIIIVFVLGGLSFTKTVISTIVSSSLKQQEAGAGMSLLNFTSFLSEGTGIAIVGGLLSIPLLDQRLLPMEVDQSTYLYSNLLLLFSGIIVISWLVTLNVYKHSQRDF ->ARGMiner~~~tetQ~~~(Tet)tetQ:Z21523:362-2287:1926~~~tetracycline unknown +>ARGMiner~~~tetQ~~~(Tet)tetQ:Z21523:362-2287:1926~~~tetracycline~~~unknown VRFDNASIVVYYCLIQMNIINLGILAHIDAGKTSVTENLLFASGATEKCGRVDNGDTITDSMDIEKRRGITVRASTTSIIWNGVKCNIIDTPGHMDFIAEVERTFKMLDGAVLILSAKEGIQAQTKLLFNTLQKLQIPTIIFINKIDRDGVNLERLYLDIKTNLSQDVLFMQTVVDGLVYPICSQTYIKEEYKEFVCNHDDNILERYLADSEISPADYWNTIIDLVAKAKVYPVLHGSAMFNIGINELLDAISSFILPPESVSNRLSAYLYKIEHDPKGHKRSFLKIIDGSLRLRDIVRINDSEKFIKIKNLKTIYQGREINVDEVGANDIAIVEDMEDFRIGDYLGTKPCLIQGLSHQHPALKSSVRPDRSEERSKVISALNTLWIEDPSLSFSINSYSDELEISLYGLTQKEIIQTLLEERFSVKVHFDEIKTIYKERPVKKVNKIIQIEVPPNPYWATIGLTLEPLPLGTGLQIESDISYGYLNHSFQNAVFEGIRMSCQSGLHGWEVTDLKVTFTQAEYYSPVSTPADFRQLTPYVFRLALQQSGVDILEPMLYFELQIPQAASSKAITDLQKMMSEIEDISCNNEWCHIKGKVPLNTSKDYASEVSSYTKGLGVFMVKPCGYQITKGDYSDNIRMNEKDKLLFMFQKSMSSK ->ARGMiner~~~tet(V)~~~(Tet)tetV:AF030344:462-1721:1260~~~tetracycline unknown +>ARGMiner~~~tet(V)~~~(Tet)tetV:AF030344:462-1721:1260~~~tetracycline~~~unknown VRSPRPVAGWRVLAPFRIREYRLLIAAVTLSIFAEGMWSVVMALQVIAIDNDPASLSLVATCLGVGLVAFVLVGGITADRINQRTIIIAVEVVNFVTVAVISALALLGVLKIWHMAVAAGILGIAAAFFFPAYSAILPRILPPEQLLAANGVEGVVRPVFQRSVGPAVAGMVIGATMPSIGAVVVAVLFALGLALLVATRPPAQPASEHHERPHVLRDLREGFAFVLKTPWLLWTVLFASMFVLVVLGPIEVLLPFIAQDRFADGARAYGFILAFFGIGSAMGALTVSSRRMPRRYLTTMMLMWGLGSIPLVIVGYTSSFPLMAAATFVIGVTDGAGMVIWGTLLQRRVPTEMLGRVSSLDFFVSLAFMPLSFAIVGPLSKVVSMEVIFATAGLVPVAIAAVAFTAARMHRDEVANPLL ->ARGMiner~~~dfrA15~~~(Tmt)dfrA1:JQ794607:474:59-532~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~(Tmt)dfrA1:JQ794607:474:59-532~~~diaminopyrimidine~~~unknown VKLSLMVAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSDNENVLIFPSIKDALTNLKKITDHVIVSGGGEIYKSLIDQVDTLHISTIDIEPEGDVYFPEIPSNFRPVFTQDFASNINYSYQIWQKG ->ARGMiner~~~dfrA15~~~(Tmt)dfrA15b:AJ867237:177-650:474~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA15~~~(Tmt)dfrA15b:AJ867237:177-650:474~~~diaminopyrimidine~~~unknown VKLSLMAAISKNGVIGNGPDIPWSAKGEQLLFKAITYNQWLLVGRKTFESMGALPNRKYAVVTRSSFTSSDENVLVFPSIDEALNHLKTITDHVIVSGGGEIYKSLIDKADTLHISTIDIEPEGDVYFPEIPGSFRPVFSKDFVSNINYSYQIWQKG ->ARGMiner~~~dfrA16~~~(Tmt)dfr16:AF077008:115-558:474~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA16~~~(Tmt)dfr16:AF077008:115-558:474~~~diaminopyrimidine~~~unknown VKLSLMAAKSKNGIIGNGPDIPWSAKGQQLLFRAIIYNQWLLVGRKTFESMGALPNRKYAVVTRSNFSTNDEGVMVFSSIQDALINLEEITDHVIVSGGGEIYKSLISKVDTLHISTVDIERDGDIVFPEIPDTFKLVFEQDFESNINYCYQIWQKS ->ARGMiner~~~dfrA5~~~(Tmt)dfrA27:EU675686:2543-3016:474~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA5~~~(Tmt)dfrA27:EU675686:2543-3016:474~~~diaminopyrimidine~~~unknown VKISLMAAKARNGVIGCGSDIPWNAKGEQLLFKAITYNQWLLVGRKTFEAMGALPNRKYAVVSRSGSVATNDDVVVFPSIEAAMRELKTLTNHVVVSGGGEIYKSLIAHADTLHISTIDSEPEGNVFFPEIPKEFNVVFEQEFHSNINYRYQIWQRG ->ARGMiner~~~dfrA5~~~(Tmt)dfrA28:FM877476:116-589:474~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA5~~~(Tmt)dfrA28:FM877476:116-589:474~~~diaminopyrimidine~~~unknown VKISLMAAKARNGVIGCGSDIPWNAKGEQLLFKAITYNQWLLVGRKTFEAMGALPNRKYAVVSRSGSVATNDDMVVFPSIEAAMGKLKTLTNHVVVSGGGEIYKSLIAHADTLHISTIDSEPEGNVFFPEIPKDFNVVFEQEFHSNINYRYQIWQRG ->ARGMiner~~~dfrA7~~~(Tmt)dfrA29:AM237806:615-1086:472~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA7~~~(Tmt)dfrA29:AM237806:615-1086:472~~~diaminopyrimidine~~~unknown LKISLIAAQSENGVIGNGPDIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGILPNRKYAVLSKNGISHLPENVLVFSSIENALYELAKVTDHLYISGGGQIYNSLIESADTIHLSIIHKEVEGEVRFPKIPPNYKLVFEQYYSSNINYTYQIWQKG ->ARGMiner~~~dfrA5~~~(Tmt)dfrA30:AM997279:705-1178:474~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA5~~~(Tmt)dfrA30:AM997279:705-1178:474~~~diaminopyrimidine~~~unknown VKVSLMAARARNGVIGCGPHIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGALPNRKYAVVTRSAWTANNDNVVVFPSIEEAMGGLAKLNGHVIVSGGGEIYRETLPMASTLHVSTIDIEPEGDVFFPNIPNFFEVVFEQHFSSNINYCYQIWKKG ->ARGMiner~~~dfrA17~~~(Tmt)dfr32:GU067642:535-1008:474~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA17~~~(Tmt)dfr32:GU067642:535-1008:474~~~diaminopyrimidine~~~unknown LKISLISAVSENGVIGSGPDIPWSAKGEQLIFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSKNGISGSNENVLVFPSIENALQELSKITDHVYISGGGQIYESLIEKADIIHLSTIHVEVEGDIKFPILPEGFNLVFEQFFVSNINYTYQIWKKG ->ARGMiner~~~dfrA5~~~(Tmt)dfrA5:X12868:1306-1779:474~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA5~~~(Tmt)dfrA5:X12868:1306-1779:474~~~diaminopyrimidine~~~unknown VKVSLMAAKAKNGVIGCGPHIPWSAKGEQLLFKALTYNQWLLVGRKTFESMGALPNRKYAVVTRSAWTADNDNVIVFPSIEEAMYGLAELTDHVIVSGGGEIYRETLPMASTLHISTIDIEPEGDVFFPNIPNTFEVVFEQHFSSNINYCYQIWQKG ->ARGMiner~~~dfrA7~~~(Tmt)dhfr7:X58425:594-1067:474~~~diaminopyrimidine unknown +>ARGMiner~~~dfrA7~~~(Tmt)dhfr7:X58425:594-1067:474~~~diaminopyrimidine~~~unknown LKISLISATSENGVIGNGPDIPWSAKGEQLLFKALTYNQWLLVGRKTFDSMGVLPNRKYAVVSRKGISSSNENVLVFPSIEIALQELSKITDHLYVSGGGQIYNSLIEKADIIHLSTVHVEVEGDINFPKIPENFNLVFEQFFLSNINYTYQIWKKG ->ARGMiner~~~dfrD~~~(Tmt)dfrD:Z50141:94-582:489~~~diaminopyrimidine unknown +>ARGMiner~~~dfrD~~~(Tmt)dfrD:Z50141:94-582:489~~~diaminopyrimidine~~~unknown MWSFLKISLIVAMDKKRVIGKDNDIPWRISSDWEYVKNTTKGHAIILGRKNLQSIGRALPDRRNIILTRDKNFNFKDCEIAHSIEAAFKLCENEEEVFIFGGEQIYVMFLPYVEKMYVTKIHHEFEGDTFFPVVNFDDWKEVSVEKGIKDEKNPYDYYFHIYERIR ->ARGMiner~~~AAC(6')-Iz~~~(AGly)aac(6')-Iz:NG_052343:1-462:462~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Iz~~~(AGly)aac(6')-Iz:NG_052343:1-462:462~~~aminoglycoside~~~unknown VIDSAPMIRQATPADAAAWARLRLGLWTDADDPLEELTQSLADAEGAVFLACAADGETVGFAEVRLRHDYVNGTESSPVGFLEGWYVQPQWQGSGVGRALLAAVQAWTRDAGCRELASDSRVEDVQAHAAHRACGFEETERVVYFRMPLEPSA ->ARGMiner~~~vanSO~~~(Gly)VanS_Re:NG_051735:101-1201:1101~~~glycopeptide unknown +>ARGMiner~~~vanSO~~~(Gly)VanS_Re:NG_051735:101-1201:1101~~~glycopeptide~~~unknown VDRAPGLGVRLKLTLSYAGFLMLAGVLLLAAVWVFLLRGRSTNFQFPALADFARVFDPSNFGPVVFVPAAILALGFLLVFGLVGGWILAGRMLAPLARITRAAREAGSGSLSYRIELEGRNDEFRELADAFDAMLARLEARDAAQQRFAANASHELRTPLAITQTLLDVARNDPNRDGGELDERLRAVNARAIELTEALLLLSRTDQRSFSREDVDLSLIAEEAAETLLPFAEKHGVSIETSGDIAPVIGSHALLLQLTTNLLHNAIVHNVPEHGSVQISTAIGSESVMLTVENTGDKLSPQLVSTLTEPFQRGTARTRGDDARVGLGLAIVKSITQAHDGSLTLSPRAAGGLSVAVRLPAAQRRP ->ARGMiner~~~mupB~~~(Mupirocin)mupB:NG_048009:91-3192_3102~~~mupirocin unknown +>ARGMiner~~~mupB~~~(Mupirocin)mupB:NG_048009:91-3192_3102~~~mupirocin~~~unknown LENENIIEEQKILNFWKEENIFKKSIDNRKNDNPFVFYDGPPTANGLPHTGHVLGRVIKDLFARYKTMQGFYVERKAGWDTHGLPVELGVEKKLGIKDKNEIEKYGIEKFINECKNSVFMYEKQWREFSELIGYWVDMEKPYKTMDNTYIESIWYILSDFHKKGLLYKGHKVTPYCPSCETSLSSHEVAQGYKEVKDISVILKFPILDSDENFLVWTTTPWSLPGNIALAINAEEIYVKVNYDNEIFIIMESLLQSVFKDEDNIDIVSKHKGKEFVGKEYLAPFPNKSLMNNENSYKVLPADFVTNKDGTGIVHIAPAYGEDDYKLVQENNIPFINVIDSRGKYNQDSPIFKGELAKESDINIIKELTHLNLLFKKEKYEHSYPFCWRCDNPLIYYAMEGWFIKTTAYKNEIKENNQKIEWYPDHIKNGRFGNFLDNMIDWNIGRKRYWGTPLNIWKCSTCSHEFSPKSINDLIQHSIEDIPSDIELHRPYIDNVKCKCQNCGGDMCREEEVIDVWFDSGSMPFAQNHYPFSGPIQNSYPADFIAEGVDQTRGWFYSLLVISTIFKGEAPYKNALSLGHILDSNGQKMSKSKGNVIDPISMIKTYGADSLRWTLVSDSVPWTNKRFSENMVAQSKSRVIDTLKNIFNFYNMYQKIDNYDYTRDTPKQLNLLDNWAISRMNSVIKEVELHLEKYNPTNASRAIGEFINEISNWYIRRSRSRFWSSEMNEDKKSAYFTLRLILINTCKIIAPFTPFTSEEIHLNLTKKSVHLEDFPQAKEEYINLKLEEDMNKVLDIVEKSRSIRNNINIKTKQPLSNMYIYDNNNLDNEFLRKYKDIIKDEINVKKINIVSDLDNFLEYDVKPNFSTLGPKLGKDMKQFQILFKNIKKEEMNKLINDFDKLQKVFDSLGVTIEEKDFIISKIPKKGFSLSSNDSDRLIILDTNLTQELIREGFVRELIRVIQQLRKQQNFNIEERINVVIDIDSDGLLSIKNNINILKENVLINNLKFEKRETMKYFKINQKEIGIQLMSSFTN ->ARGMiner~~~bcr-1~~~(Phe)bcr1:NG_055652:101-1309:1209~~~bicyclomycin unknown +>ARGMiner~~~bcr-1~~~(Phe)bcr1:NG_055652:101-1309:1209~~~bicyclomycin~~~unknown VPASASRIQVGSGERRLLLLLSALVAFGPLSIDMYLPSLPAIAADLGASDAQVQRSISGFLVGFCVGMLFYGPLSDRFGRRPVLLAGIALYLFSSLACALADSAGQLVLLRVLQALGGGAASVLARAMVRDLYPLGEAARMLALMHMVTMLAPLAAPLLGGYLMLWAGWRALFVVLALFAGLCLLAVWRVAESHPPERRGGSLAQAFLAYGRLLGDRRALGYVLCMGLAFAGMFAYISAAPFVFIEHFGVRAERFGWFFGLNILGVMLATWCSARLVRRHGPRPLLRAGSLLACVSGLFLLGYAALGERGGLWALVPGLLCFVSVTGLLGANCIASLLALYPGQAGAASAVAVSGQFGLGCLASLAVGWLALPGVLPMALVMAVCGVGSLLALGLALHGGNR ->ARGMiner~~~viomycin phosphotransferase~~~(AGly)vph:NG_048566:101-982:882~~~peptide unknown +>ARGMiner~~~viomycin phosphotransferase~~~(AGly)vph:NG_048566:101-982:882~~~peptide~~~unknown VETSPTMRIIETHRDLLSRLLPGDTVGGLAVHEGQFHHVVIGSHRVVCFARTRAAADRLPGRADVLRALAGIDLGFRTPQPLSEGGAQGTDEPPYLVLSRIPGAPLEDDVLTSPEVAEAVARQYATLLSGLAAAGDEEKVRAALPEAPANEWQEFATGVRTELFPLMSDGGRERAERELAALDALPHLTSAVVHGDLGGENVLWETVDGVPRMSGVVDWDEVGIGDPAEDLAAIGASYGEELLGRVLALGGWADNGTAERISAIRGTFALQQALYAQRDGDEEELADGLSGYR ->ARGMiner~~~AAC(6')-Isa~~~(AGly)aac(6'):NG_052413:101-583:483~~~aminoglycoside unknown +>ARGMiner~~~AAC(6')-Isa~~~(AGly)aac(6'):NG_052413:101-583:483~~~aminoglycoside~~~unknown VSDLELRGERVLLRSTTPADTALLDRIVREPEVALWWPPPQGYARMLAVVVDGEVIGAIQFSEETDPEFRHAGIDLFLSARWQGQGLGPDAVRTLARWLIAERGHHRLVIDPAAANAHAIRSYRKVGFAPVGIMRAYWRNHRTGEWEDGLLMDLLAGELT ->ARGMiner~~~OXA-247~~~(Bla)blaBPU-1:NG_050941:101-889:789~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-247~~~(Bla)blaBPU-1:NG_050941:101-889:789~~~cephalosporin;penam~~~unknown LKKKYIKRLFSCIMLMAFCISQPSSTEARSIAWSVDEFFKNREGTFVIQEVKEKSPWVYNKKRAKERFAPQSTFKVANALIGLQTGAVRDEYDIKYWDGVKREIDNWNRDHTLGSGMRDSVVWYYQAMARDIGEERMNHWVKAIHYGNKDISGGIDQFWLSSTLRISPIEQVRFLKQLYEETLPFDLKNMRTVKRMMVQEEEKHATLYGKTGSGSDIGWYVGFIKHEHKTYILATNIKGTGIEAKDITYRILKKYHLMEASV ->ARGMiner~~~OXA-247~~~(Bla)BSU-1:NG_050942:101-904:804~~~cephalosporin;penam unknown +>ARGMiner~~~OXA-247~~~(Bla)BSU-1:NG_050942:101-904:804~~~cephalosporin;penam~~~unknown LSKGKVQALFLSLIGAALLMTSSLQTPTEAEAAEKNINSKKLKVDEFFTDLDGTFILRDLKNEKTFVYNDQRAKQRFAPQSTFKVPNALIGLETGAVKDEYDIKYWDGVKRELDVWNQDHTLGSAMRYSVVWYYQAMARDIGEERMKEWIEKTGYGNQDISGGIDQFWLSSTLKISPLEQTDFMESLYKEKLPFDKSVMKTVKRMMIQEDEDHYTLYGKTGSGSGIGWYAGFVKTEHGAYSFVTNIDGTGTEAKSITMDILKKYVLH ->ARGMiner~~~BEL-1~~~(Bla)blaSGM-4:NG_049986:101-1030:930~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~BEL-1~~~(Bla)blaSGM-4:NG_049986:101-1030:930~~~monobactam;cephalosporin;penam~~~unknown LPRRLLLAALLLAGPASAQQEAPRQSLLPPPPRYMVSESAMKSVRNPKEIESESGGRLGVALVDSKGALILGFNRDERFAMCSTFKAPLAAAVLSGAEGNKFGLEGQIGFTKADLLDHAPVVKKNARRGRMSMEELAAAAVEVSDNSAANLLLPMIGGPEGFTRYVRAHGDNVTRLDRTEPALNENAEGDERDTTSPAAMAGLMRRLIFTDLKPESAARLRGWLNASTTGGNRIKAGLPKDWTSGSKTGTCGTAYNDVALVKAPSGEEYILAIYLDRPTVDAKAAEAAIAETARAALDFVGKAQKTGLE ->ARGMiner~~~BEL-1~~~(Bla)blaSGM-3:NG_052647:101-1030:930~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~BEL-1~~~(Bla)blaSGM-3:NG_052647:101-1030:930~~~monobactam;cephalosporin;penam~~~unknown LPRRLIFAALLLAAPAAAQQEAPRPSLLPPPPRYMVSESAMRSVRNPKEIETESGGRLGVALVDGKGALILGFNRDERFAMCSTFKAPLAAAVLAGAEGGKFGLEGQISFAKADLLDYAPVVRKNVKRGRMSMEELAAAAVEVSDNSAANLLLPMVGGPEGLTRFIRAHDDMVTRLDRNEPSLNENAEGDERDTTSPAAMAGLMGRLIFRDLAPESAGKLRGWLNASTTGANRIKAGLPKGWTSGSKTGSCGTAYNDVALVKAPSGEEYILAIYLDRPTVDAKAAEAAIAETARAALDFVDKAQKTGLE ->ARGMiner~~~BEL-1~~~(Bla)blaSGM-2:NG_049984:101-1036:936~~~monobactam;cephalosporin;penam unknown +>ARGMiner~~~BEL-1~~~(Bla)blaSGM-2:NG_049984:101-1036:936~~~monobactam;cephalosporin;penam~~~unknown VSLPRRLIFAALLLAAPAAAQQEAPRQSLLPPPPRYMVSESAMKSVRNPKEIETESGGRLGVALVDGKGALILGFNRDERFAMCSTFKAPLAAAVLAGAEGGKFGLEGQISFAKADLLDYAPVVRKNVKRGRMSMEELAAAAVEVSDNSAANLLLPMVGGPEGLTRFIRAHGDMVTRLDRNEPSLNENAEGDERDTTSPAAMAGLMGRLIFRDLAPESAGKLRGWLNASTTGANRIKAGLPKGWISGSKTGSCGTAYNDVALVKAPSGEEYILAIYLDRPTVDAKAAEAAIAETARAALDFVDKAQKTGLE ->ARGMiner~~~fusD~~~(Fcd)fusF:NG_047903:101-745:645~~~fusidicacid unknown +>ARGMiner~~~fusD~~~(Fcd)fusF:NG_047903:101-745:645~~~fusidicacid~~~unknown LEKQIYPYQFNYIKERIAHLLNAYKSVNDLNTIASIKETTKIDIYQQFHQIDDTLTEAIEKLMNIRITKVQVDKILETLQTYVIPFEHPSKKQVEKTFRKIKKLKSPLISDEILLESTYIGWNDIASNRKFIIYYNEQGTLTGFYGDIANQTVKGYCAICNKESNVALFMRKTRTSGDGQYTKKGDYICFDSIKCNQQLSDITQFYQFVDKIHS ->ARGMiner~~~FosB~~~(Fcyn)fosBx1:NG_050591:101-517:417~~~fosfomycin unknown +>ARGMiner~~~FosB~~~(Fcyn)fosBx1:NG_050591:101-517:417~~~fosfomycin~~~unknown LLRGINHICFSVSNLENSIMFYEKVLEGELLVKGRKLAYFNICGVWIALNEETHIPRNEIHQSYTHIAFSVEKEDFKCLIQRLEENDVHILQGRERDVRDCESIYFVDPDGHKFEFHSGTLQDRLNYYRDEKPHMTFY ->ARGMiner~~~FosC2~~~(Fcyn)FosC2:AB522969:1-399:399~~~fosfomycin unknown +>ARGMiner~~~FosC2~~~(Fcyn)FosC2:AB522969:1-399:399~~~fosfomycin~~~unknown VLRGLNHITIAVSDLERSVEFYTRLLGMKAHVRWDSGAYLSLEATWICLSCDEVHPSQDYCHIAFDVSEENFEPVTKKLREAHVVEWKQNRSEGLSLYLLDPDGHKLEIHSGSLQSRLESLKSKPYQGLVWL ->ARGMiner~~~FosX~~~(Fcyn)FosX_CC:CP014206:371999-372415:417~~~fosfomycin unknown +>ARGMiner~~~FosX~~~(Fcyn)FosX_CC:CP014206:371999-372415:417~~~fosfomycin~~~unknown MIEGLSHITFIVRDLDRMTAFLAAVFDADQVYDSGDATFSLSRERFFRIAGVWVAVMEGDPLPEPTYNHVAFKIPESEFDAYEARVRGCGVEVRAARPRVAGEGRSLYFHDHDNHLFELHTGTLEERLAAYAQAKPTP ->ARGMiner~~~FosC2~~~(Fcyn)fosG:NG_047895:101-499:399~~~fosfomycin unknown +>ARGMiner~~~FosC2~~~(Fcyn)fosG:NG_047895:101-499:399~~~fosfomycin~~~unknown VLRGLNHITIAVSDLGRSLAFYTDIVGMLAHVRWDNGAYLSLGGVWFCLSCDKVMPSKDYSHIALDISEDDFASFLEKLRRADVTEWKQNSSEGYSVYFLDPDGNKLEAHSGSLQSRLSSLKDKPYPGLVWL ->ARGMiner~~~ANT(9)-Ia~~~(AGly)spw:NG_048075:101-910:810~~~aminoglycoside unknown +>ARGMiner~~~ANT(9)-Ia~~~(AGly)spw:NG_048075:101-910:810~~~aminoglycoside~~~unknown LSIDLSNKKIPKEAIQALKTIAELLDNMLIGVYLYGSAVMGGLRMNSDVDILVITNQSLSEKTRRNLTNRLMLISGKIGNIKDMRPLEVTVINQKDIVPWHFPPKYEFMYGEWLREQFEKGEIPESTYDPDLAILLAQLRKNSINLLGPKATEVIEPVPMTDIRKAIKESLPGLIASINGDERNVILTLARMWLTASTGEIRSKDLAAEWAIPQLPDEHATLLNKAREAYLGECVDKWEGMESEVAELVNHMKKSIESSLNIQLPFRIV ->ARGMiner~~~Erm(37)~~~(MLS)erm(37):NG_047780:101-640:540~~~macrolide;lincosamide;streptogramin unknown +>ARGMiner~~~Erm(37)~~~(MLS)erm(37):NG_047780:101-640:540~~~macrolide;lincosamide;streptogramin~~~unknown VSALGRSRRAWGWHRLHDEWAARVVSAAAVRPGELVFDIGAGEGALTAHLVRAGARVVAVELHPRRVGVLRERFPGITVVHADAASIRLPGRPFRVVANPPYGISSRLLRTLLAPNSGLVAADLVLQRALVCKFASRNARRFTLTVGLMLPRRAFLPPPHVDSAVLVVRRRKCGDWQGR ->ARGMiner~~~myrA~~~(MLS)myrA:NG_048010:101-988:888~~~macrolide;lincosamide unknown +>ARGMiner~~~myrA~~~(MLS)myrA:NG_048010:101-988:888~~~macrolide;lincosamide~~~unknown VHPDLLPHLRCPVCGQPLHQADAAPPRALRCPAGHSFDIARQGYVNLLTGRAPHVGDTAEMIAAREEFLAAGHYDPFSAALATAAARAVPRRVRPGDGVGEPVAYPDLVVDAGAGTGRHLAAVLDAVPTAVGLALDVSKPALRRAARAHPRAGAAVCDTWGRLPLADATVAVLVNVFAPRNGPEFRRVLRPDGALLVVTPTAEHLVELVDRLGLLRVDPAKDARVADSLTRHFEPAGQSTHRHRLQLTRKEVLTLVGMGPSAWHTDPARLTARVAALSEPVTVTAAVRLARYRPI ->ARGMiner~~~MCR-4~~~(Col)mcr-4.1:MF543359:5808-7433:1626~~~peptide unknown +>ARGMiner~~~MCR-4~~~(Col)mcr-4.1:MF543359:5808-7433:1626~~~peptide~~~unknown VISRFKTLSVNQFTFITALFYVAIFNLPLFGIVRKGIEKQPEVDPLFIASMPLFLTFALSFLFSIFTVKYLLKPFFIVLTLLSSSVFFAAYQYNVVFDYGMIENTFQTHPAEALMYVNLASITNLLLTGLLPSYLIYKADIHYQPFFKELLHKLAFMLLMFVGIGIVAFFYYQDYAAFVRNNSELRRYIVPTYFVSSASKYLNEHYLQTPMEYQQLGLDAKNASRNPNTKPNLLVVVVGETARSMSYQYYGYNKPTNAHTQNQGLIAFNDTSSCGTATAVSLPCMFSRMGRADYDPRRANAQDTVIDVLSHSGIKVQWFDNDSGCKGVCDQVENLTIDLKSDPKLCSGQYCFDQVLLNKLDKILAVAPSQDTVIFLHIIGSHGPTYYLRYPPEHRKFIPDCPRSDIQNCSQEELINTYDNTILYTDFILSEVVNKLKGKQDMFDTAMLYLSDHGESLGEKGMYLHGAPYSIAPKEQTSVPMLAWVSNDFSQDNQLNMTCVAQRAEQGGFSHDNLFDSLLGLMNVKTTVYQSQLDIFAPCRY ->ARGMiner~~~MCR-4~~~(Col)mcr-4.2:MG459157:1-1626:1626~~~peptide unknown +>ARGMiner~~~MCR-4~~~(Col)mcr-4.2:MG459157:1-1626:1626~~~peptide~~~unknown VISRFKTLSVNQFTFITALFYVAIFNLPLFGIVRKGIEKQPEVDPLFIASMPLFLTFALSFLFSIFTVKYLLKPFFIVLTLLSSSVFFAAYQYNVVFDYGMIENTFQTHPAEALMYVNLASITNLLLTGLLPSYLIYKADIHYQPFFKELLHKLAFMLLMFVGIGIVAFFYYQDYAAFVRNNSELRRYIVPTYFVSSASKYLNEHYLQTPMEYQQLGLDAKNASRNPNTKPNLLVVVVGETARSMSYQYYGYNKPTNAHTQNQGLIAFNDTSSCGTATAVSLPCMFSRMGRADYDPRRANAQDTVIDVLSHSGIKVQWFDNDSGCKGVCDRVENLTIDLKSDPKLCSGQYCFDQVLLNKLDKILAVAPSQDTVIFLHIIGSHGPTYYLRYPPEHRKFIPDCPRSDIQNCSQEELINTYDNTILYTDFILSEVVNKLKGKQDMFDTAMLYLSDHGESLGEKGMYLHGAPYSIAPKEQTSVPMLAWVSNDFSQDNQLNMTCVAQRAEQGGFSHDNLFDSLLGLMNVKTTVYQSQLDIFAPCRY ->ARGMiner~~~MCR-4~~~(Col)mcr-4.4:MG822665:1-1626:1626~~~peptide unknown +>ARGMiner~~~MCR-4~~~(Col)mcr-4.4:MG822665:1-1626:1626~~~peptide~~~unknown VISRFKTLSVNQFTFITALFYVAIFNLPLFGIVRKGIEKQPEVDPLFIASMPLFLTFALSFLFSIFTVKYLLKPFFIVLTLLSSSVFFAAYQYNVVFDYGMIENTFQTHPAEALMYVNLASITNLLLTGLLPSYLIYKADIHYQPFFKELLHKLAFMLLMFVGIGIVAFFYYQDYAAFVRNNSELRRYIVPTYFVSSASKYLNENYLQTPMEYQQLGLDAKNASRNPNTKPNLLVVVVGETARSMSYQYYGYNKPTNAHTQNQGLIAFNDTSSCGTATAVSLPCMFSRMGRADYDPRRANAQDTVIDVLSHSGIKVQWFDNDSGCKGVCDRVENLTIDLKSDPKLCSGQYCFDQVLLNKLDKILAVAPSQDTVIFLHIIGSHGPTYYLRYPPEHRKFIPDCPRSDIQNCSQEELINTYDNTILYTDFILSEVVNKLKGKQDMFDTAMLYLSDHGESLGEKGMYLHGAPYSIAPKEQTSVPMLAWVSNDFSQDNQLNMTCVAQRAEQGGFSHDNLFDSLLGLMNVKTTVYQSQLDIFAPCRY ->ARGMiner~~~MCR-4~~~(Col)mcr-4.5:MG822664:1-1626:1626~~~peptide unknown +>ARGMiner~~~MCR-4~~~(Col)mcr-4.5:MG822664:1-1626:1626~~~peptide~~~unknown VISRFKTLSVNQFTFITALFYVAIFNLPLFGIVRKGIEKQPEVDPLFIASMPLFLTFALSFLFSIFTVKYLLKPFFIVLTLLSSSVFFAAYQYNVVFDYGMIENTFQTHLAEALMYVNLASITNLLLTGLLPSYLIYKADIHYQPFFKELLHKLAFMLLMFVGIGIVAFFYYQDYAAFVRNNSELRRYIVPTYFVSSASKYLNEHYLQTPMEYQQLGLDAKNASRNPNTKPNLLVVVVGETARSMSYQYYGYNKPTNAHTQNQGLIAFNDTSSCGTATAVSLPCMFSRMGRADYDPRRANAQDTVIDVLSHSGIKVQWFDNDSGCKGVCDRVENLTIDLKSDPKLCSGQYCFDQVLLNKLDKILAVAPSQDTVIFLHIIGSHGPTYYLRYPPEHRKFIPDCPRSDIQNCSQEELINTYDNTILYTDFILSEVVNKLKGKQDMFDTAMLYLSDHGESLGEKGMYLHGAPYSIAPKEQTSVPMLAWVSNDFSQDNQLNMTCVAQRAEQGGFSHDNLFDSLLGLMNVKTTVYQSQLDIFAPCRY ->ARGMiner~~~cmlA1~~~(Phe)cmlA8:NG_051879:101-1360:1260~~~phenicol unknown +>ARGMiner~~~cmlA1~~~(Phe)cmlA8:NG_051879:101-1360:1260~~~phenicol~~~unknown VRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTTSTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAIGPLLGALVDTWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPIKCLNFWLYTLCYTAGMGSFFVFFSIAPGLMMGRQGVSQLDFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWVSQSVLGFIAPMWLVGVGVATAVSVAPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIIWLLPRNTAWPVVVYCLTLATVVLGLSCVSRAKGFRGQREHDVVALQNAESTSNPNR ->ARGMiner~~~cmlA6~~~(Phe)cmlA6:NG_047655:101-1360:1260~~~phenicol unknown +>ARGMiner~~~cmlA6~~~(Phe)cmlA6:NG_047655:101-1360:1260~~~phenicol~~~unknown VRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTIQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLALTSSAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAIVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVAGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLMMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWALQSVLGFIAPMWLVGIGVATAVSVAPNGALRGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRVKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~cmlA4~~~(Phe)cmlA10:NG_047649:1-1260:1260~~~phenicol unknown +>ARGMiner~~~cmlA4~~~(Phe)cmlA10:NG_047649:1-1260:1260~~~phenicol~~~unknown VRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTVQLTLTTYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLAFTSLAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVTGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLIMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWASQSVLGFIAPMWLVGIGVATAVSVAPNGALQGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRAEGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~cmlA4~~~(Phe)cmlA4:NG_047652:101-1360:1260~~~phenicol unknown +>ARGMiner~~~cmlA4~~~(Phe)cmlA4:NG_047652:101-1360:1260~~~phenicol~~~unknown VRSKNFSWRYSLAATVLLLSPFDLLASLGMDMYLPAVPFMPNALGTTASTVQLTLATYLVMIGAGQLLFGPLSDRLGRRPVLLGGGLAYVVASMGLAFTSLAEVFLGLRILQACGASACLVSTFATVRDIYAGREESNVIYGILGSMLAMVPAVGPLLGALVDMWLGWRAIFAFLGLGMIAASAAAWRFWPETRVQRVTGLQWSQLLLPVKCLNFWLYTLCYAAGMGSFFVFFSIAPGLIMGRQGVSQLGFSLLFATVAIAMVFTARFMGRVIPKWGSPSVLRMGMGCLIAGAVLLAITEIWASQSVLGFIAPMWLVGIGVATAVSVAPNGALQGFDHVAGTVTAVYFCLGGVLLGSIGTLIISLLPRNTAWPVVVYCLTLATVVLGLSCVSRAKGSRGQGEHDVVALQSAESTSNPNR ->ARGMiner~~~vanZ~~~WP_063856927.1~~~vancomycin vanZ +>ARGMiner~~~vanZ~~~WP_063856927.1~~~vancomycin~~~vanZ MNGGSRLKNWVVRAFFASYMYALFKIIVLKFGPTDVEFLWVRLMRNSTNPECIAARLHAGNLIPLKEISRASDSMSGHSLLNLLGNVGIFVPLGIFLGVLAKSGKLTLAGSFWSSLGVSLVLECTQVLFSIGTFDVDDLILNSIGGSIGFVVYALCALG ->ARGMiner~~~vanY~~~WP_063856871.1~~~vancomycin vanY +>ARGMiner~~~vanY~~~WP_063856871.1~~~vancomycin~~~vanY MDYKNINGNYDNRNRRKSKKKKRVYYRTVCIVLGLLIAVAALGIVNLIRGDKETPSPGKNEAYSLVDGEQNETVFPSLDSAENSLSVNITAANAIVINADTNETLYQKKSTDKIAPASTAKMITALTVLDYCSPNDEMRVGAEIELMHDDSSRAWLMQGDTLTVRQLLVALMLPSGNDAAYTLAVNTGKKIAGDNGLSNAQSIDVFMDKVNQKAKSLGAENSNFVVPDGYDADGQYTTAYDLAVIAKACLDDPYISEIVASYSSYERWPNGREVTYNNTNELLNPNSPYYRPEVIGLKTGTSSLGGACIVSAAVINGNTYICAVMGSTENDRFQDGIDIIDAIKLQ ->ARGMiner~~~multidrug_transporter~~~WP_032489340.1~~~multidrug multidrug_transporter +>ARGMiner~~~multidrug_transporter~~~WP_032489340.1~~~multidrug~~~multidrug_transporter MPYLYLLLSIVSEVIGSAFLKSSDGFSKLYPTITTIISFLICFYFLSKTMQHLPLNITYASWAGLGLVLTTIVSVLIFKEQINLISIISIILIIFGVVLLNTFGSSH ->ARGMiner~~~qacG~~~WP_015740450.1~~~multidrug qacG +>ARGMiner~~~qacG~~~WP_015740450.1~~~multidrug~~~qacG MHYLYLFISIATEIIGTSFLKTSEGFTKLWPTLGTLLSFGICFYFLSLTIKFLPLNITYATWAGLGLVLTTIISVIVFKENVNLISIISIGLIVIGVVLLNVFGESH ->ARGMiner~~~tetA~~~WP_011116969.1~~~tetracycline tetA +>ARGMiner~~~tetA~~~WP_011116969.1~~~tetracycline~~~tetA MKTYSWFVPPAPPADDPARLHPARWSSGNRVVRDMVGAYPGVLVLHILSYLIGSGISAFVPVVVGMIVDGLVGEEKFNAWWLFAVLVGIFIIQFIGEATGDGLATASVRRVTHNAQQHLSSGVLRRGAGAMSPGTVLNTIDADANTVGRYRELLSFPLMAIGYAVCAMVAMWSVSPWISLAIPASALIIALFAAWTAGPVTRVSLKRRAAEADVAGLATDASQGIRTVKGLGAGATVATRFHAETAKANGLMLTHLRVEVWLGFARFCVAWLCNLGIVGLSAWMTLRGEITPGQLTSVALLVQPALTMAGLAFGDLASGWGRAVASGQRIEQLHHAGDDAAGPEPTDTPVPGAGLWILEPAERSYATAAAWAQRADVLFPPHTVNVFEGTIADNVNPRGDVPEDVVKQALAAAHCQDILRRLGGINEAGELPDAPLGEAGLNLSGGQRQRVALARALAADPEVLILDDPTTGLDSVTQADVVAAVAALRADKTTVVITGNAAWQHAGTELEVA ->ARGMiner~~~multidrug_transporter~~~WP_010730188.1~~~multidrug multidrug_transporter +>ARGMiner~~~multidrug_transporter~~~WP_010730188.1~~~multidrug~~~multidrug_transporter MPYLYLLLAIVSEVIGSAFLKSSDGFSKLYPTITTIISYLISFYFLSKTMQHLPLNIAYASWSGLGLVLTTIVSVLIFKEQINLISIISIILIIFGVVLLNTFGSSH ->ARGMiner~~~tet34~~~WP_005592781.1~~~tetracycline tet34 +>ARGMiner~~~tet34~~~WP_005592781.1~~~tetracycline~~~tet34 MSKKFIITWDAMQNYCRQLAEKQMPAEQWKGIWAVSRGGLVPGAILARELGIRHVDTICISSYDHDHQRDMTVLKAPEGDGEGYLIVEDLVDSGDTARKLREMYPKAKLIAVCAKPSGATLLDDYVVDIAQDTWIEQPWDTTVQFVEPINRKQK ->ARGMiner~~~multidrug_transporter~~~WP_003725292.1~~~multidrug multidrug_transporter +>ARGMiner~~~multidrug_transporter~~~WP_003725292.1~~~multidrug~~~multidrug_transporter MKGYVALGIAIIGEIFGTSMLKLSEGFTNIYPTIGVAIGFFIAFYTLSLSLKTLPLSLAYAIWSGVGTALTALIGVLVWNEPFNILTFIGLVMIVGGVIILNQRSADTKTSTSH ->ARGMiner~~~bleO~~~WP_001242578.1~~~bleomycin bleO +>ARGMiner~~~bleO~~~WP_001242578.1~~~bleomycin~~~bleO MRMLQSIPALPVGDIKKSIGFYCDKLGFTLVHHEDGFAVLMCNEVRIHLWEASDEGWRSRSNDSPVCTGAESFIAGTASCRIEVEGIDELYQHIKPLGILHPNTSLKDQWWDERDFAVIDPDNNLISFFQQIKS ->ARGMiner~~~streptothricin_acetyltransferase~~~WP_063854936.1~~~aminoglycoside streptothricin_acetyltransferase +>ARGMiner~~~streptothricin_acetyltransferase~~~WP_063854936.1~~~aminoglycoside~~~streptothricin_acetyltransferase MTTTHGSTYEFRSARPGDAEAIEGLDGSFTTSTVFEVDVTGDGFALREVPADPPLVKVFPDDGGSDGEDGAEGEDADSRTFVAVGADGDLAGFAAVSYSAWNQRLTIEDIEVAPGHRGKGIGRVLMRHAADFARERGAGHLWLEVTNVNAPAIHAYRRMGFAFCGLDSALYQGTASEGEHALYMSMPCP ->ARGMiner~~~multidrug_transporter~~~WP_011100750.1~~~multidrug multidrug_transporter +>ARGMiner~~~multidrug_transporter~~~WP_011100750.1~~~multidrug~~~multidrug_transporter MPYLYLVIAIITEIIGTSFLKTAEGFTKLWPTLGTLISFGICFYFLSVTMKYLPLNVSYATWAGLGLVLTTIVSVVIFKESVNLISIFSIILIIIGVVLLNVFGSSH ->ARGMiner~~~aadK~~~WP_003013318.1~~~aminoglycoside aadK +>ARGMiner~~~aadK~~~WP_003013318.1~~~aminoglycoside~~~aadK MKVREEKLRTIIEWSEKNEDVRVLLLTSSLVNPLALVDEFSDLDIEFVFEDNTNYISDKSWTLKFGNPIAMIEEDESCFNHKHAMKMLLYEDGVKVDFKLYSKSKFIKETQEKELPEDWDIGYKILIDKDGITKQMLKPTYQISIIKKPSEKEFQNLINDFWWDTTYVAKCLVRDEIFYAKFMSETVIRTEYLIPLIEWHIASEHNWNITTNKYGRLFKKYLNQEMWAKTEQTFSGSDIKENWTALFSMTDLVSEIGTELSKKLEYKYPDKLENDIRKYLAGLKPKT ->ARGMiner~~~multidrug_transporter~~~WP_001146389.1~~~multidrug multidrug_transporter +>ARGMiner~~~multidrug_transporter~~~WP_001146389.1~~~multidrug~~~multidrug_transporter MPYIYLIIAISTEVIGSAFLKSSEGFSKFIPSLGTIISFGICFYFLSKTMQHLPLNITYATWAGLGLVLTTVVSIIIFKEQINLITIVSIVLIIVGVVSLNIFGTSH ->ARGMiner~~~tetR~~~WP_038405204.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_038405204.1~~~tetracycline~~~tetR MISSSVHDKNGVDKIIADSNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~msrA~~~HE999704.1.gene1047.p01~~~macrolide-lincosamide-streptogramin msrA +>ARGMiner~~~msrA~~~HE999704.1.gene1047.p01~~~macrolide-lincosamide-streptogramin~~~msrA MSTIEINQLKIEVADRVLVEIPHLLVSKKARIGIIGQNGLGKTTLMEVIAGAKEATSGSVTTQGKLAYIKQLSTDTSTKSGGEKTRKAQHAMRQNPSVLLADEPTSLMLKASNI ->ARGMiner~~~mdtD~~~WP_000130860.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_000130860.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLAIGMAVLTLALDGSKGTGLSPLAIAGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSIDSGTTQTVFMYTWLSMAFIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278891.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278891.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACRAVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~ompF~~~WP_001252386.1~~~multidrug ompF +>ARGMiner~~~ompF~~~WP_001252386.1~~~multidrug~~~ompF MRRFLTTLMILLVVLVAGLSVLVLLVNPNDFRDYMVKQVAARSGYQLQLDGPLRWHVWPQLSILSGRMSLTAQGASQPLVRADNMRLDVALLPLLSHQLSVKQVMLKGAVIQLTPQTEAVRSEDAPVAPRDNTLPDLSDDRGWSFDISSLKVADSVLVFQHEDDEQVTIRNIRLQMEQDPQHRGSFEFSGRVNRDQRDLTISLNGTVDASDYPHDLTAAIEQINWQLQGADLPKQGIQGQGSFQAQWQESHKRLSFNQISLTANDSTLSGQAQVTLTEKPEWQLRLQFPQLNLDNLIPLNETANGENGAAQQGQSQSTLPRPVISSRIDEPAYQGLQGFTADILLQASNVRWRGMNFTDVATQMTNKSGLLEITQLQGKLNGGQVSLPGTLDATSINPRINFQPRLENVEIGTILKAFNYPISLTGKMSLAGDFSGADIDADAFRHNWQGQAHVEMTDTRMEGMNFQQMIQQAVERNGGDVKAAENFDNVTRLDRFTTDLTLKDGVVTLNDMQGQSPVLALTGEGMLNLADQTCDTQFDIRVVGGWNGESKLIDFLKETPVPLRVYGNWQQLNYSLQVDQLLRKHLQDEAKRRLNDWAERNKDSRNGKDVKKLLEKMLVTR ->ARGMiner~~~macA~~~HE999704.1.gene1687.p01~~~macrolide-lincosamide-streptogramin macA +>ARGMiner~~~macA~~~HE999704.1.gene1687.p01~~~macrolide-lincosamide-streptogramin~~~macA MRKIPIFTVLVAILLFGGYYLYQHASSKVDVTFNFVVDQKSKNNTITGKIDGAGNKSITLPLSEESWDAVKKGNRYNVEATFYNKNKISSSEAKELDGPFWSNDSNRGLLVNKVHVENIQELSDDF ->ARGMiner~~~tetR~~~WP_042053629.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_042053629.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWHIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~ompF~~~CP000034.1.gene2198.p01~~~multidrug ompF +>ARGMiner~~~ompF~~~CP000034.1.gene2198.p01~~~multidrug~~~ompF MRRFLTTLMILLVVLVAGLSALVLLVNPNDFRDYMVKQVAARSGYQLQLDGPLRWHVWPQLSILSGRMSLTAQGASQPLVRADNMRLDVALLPLLSHQLSVKQVMLKGAVIQLTPQTEAVRSEDAPVAPRDNTLPDLSDDRGWSFDISSLKVADSVLVFQHEDDEQVTIRNIRLQMEQDPQHRGSFEFSGWVNRDQRDLTISLNGTVDASDYPHDLTAAIEQINWQLQGADLPKQGIQGQGSFQAQWQELHKRLSFNQISLTANDSTLSGQAQVTLTEKPEWQLRLQFPQLNLDNLIPLNETANGENGAAQQGQSQSTLPRPVISSRIDEPAYQGLQGFTADILLQASNVRWRGMNFTDVATQMTNKSGLLEITQLQGKLNGGQVSLPGTLDATSINPRINFQPRLENVEIGTILKAFNYPISLTGKMSLAGDFSGADIDADAFRHNWQGQAHVEMTDTRMEGMNFQQMIQQAVERNGGDVKAAENFDNVTRLERFTTDLTLKAGVVTLNDMQGQSPVLALTGEGMLNLAAQTCDTQFDLRVVGGWNGESKLIDFLKETPVPLRVYGNWQQLNYSLQVDQLLRKHLQDEAKRRLNDWAERNKDSRNGKDVKKLLEKM ->ARGMiner~~~marR~~~WP_032055937.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_032055937.1~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQTDGRITRVVLTEKGTEMINKINDLTSIVLEQSFEGITPLQLEKMMESLKLLLKNLSR ->ARGMiner~~~EmrB-QacA_family_major_facilitator_transporter~~~CP001581.1.gene725.p01~~~multidrug EmrB-QacA_family_major_facilitator_transporter +>ARGMiner~~~EmrB-QacA_family_major_facilitator_transporter~~~CP001581.1.gene725.p01~~~multidrug~~~EmrB-QacA_family_major_facilitator_transporter MVNRTKEETNTYKNNWIILAIVVMSPFMACLDSSIVNVALPVMAKELSTSMAGIQQVVTSYLIVISAAILIFGRLGDIKGKTSVFKYGFIIFVLGSFLCGISTTLNFLIFSRIVQAIGAAMTMSTSQGIITHTFPPNERGRALGISGTSVALGTLLGPPLGGLIISVVSWEYIFLINVPIGLVAFIAAMKYLPKDKVESKQSLDIKGAILFIISIVALFSAMLKGQQTGYNHINIIVPFIISLICFIVFIILELKIENPMLDLSIFKNRVFSVNIFSAFVMFIGISCINIIQPFYLQDVLKLSPGKTGLIMMAYPIVLSIVAPISGYLSDKMGSKKLTLAGIVVASIGLFCMVFLNEQSTFLMITLLLSAVALGNGLFQSPNNSLVMSSVEKTKLGIAGGVNALIRNLGFIFGVSISTTILYNRMSYKIGYRVLNYVEGRGDVFIYGMRWVYFIAAVACIFGFLVSLIDKTKLKSNN ->ARGMiner~~~penA~~~P74872~~~beta-lactam penA +>ARGMiner~~~penA~~~P74872~~~beta-lactam~~~penA MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDISDFRRALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTPAQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQPDQAP ->ARGMiner~~~tetR~~~WP_057066295.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_057066295.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSVDDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIKLETKQKYEAA ->ARGMiner~~~PBP-2X~~~CAO91609~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91609~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGVTDYIFSAVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~penA~~~AAP46584~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46584~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~tetR~~~WP_000043987.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000043987.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSVDDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYNLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKYEAA ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_050939464.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_050939464.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPQQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~penA~~~AAP46610~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46610~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPLSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVGTFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVAGPPFKKIMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~tetR~~~WP_032061318.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_032061318.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSKDFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENL ->ARGMiner~~~PBP-2X~~~P14677~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~P14677~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRTMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGLTDYIFSAVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~marR~~~WP_004879839.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_004879839.1~~~multidrug~~~marR MPKKYARFLPTAESFNLEDFPFYWISQVNAQYVQNIDNVLKKYGLDNSRRRILLALNVKPHASVSELSDMVISKMSTTTKIVYRLKDEGYIETYSCKEDGRITRVHLTEKGQEMIVKINDLTSVILEQSFDGLTPLQIEKTMEILRHMFKNLAR ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278906.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278906.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~ompF~~~WP_001252365.1~~~multidrug ompF +>ARGMiner~~~ompF~~~WP_001252365.1~~~multidrug~~~ompF MRRFLTTLMILLVVLVAGLSALVLLVNPNDFRDYMVKQVAARSGYQLQLDGPLRWHVWPQLSILSGRMSLTAQGASQPLVRADNMRLDVALLPLLSHQLSVKQVMLKGAVIQLTPQTEAVRSEDAPVAPRDNTLPDLSDDRGWSFDISSLKVADSVLVFQHEDDEQVTIRNIRLQMEQDPQHRGSFEFSGRVNRDQRDLTLSLNGTVDASDYPHDLTAAIEQINWQLQGADLPKQGIQGQGSFQAQWQESHKRLSFNQISLTANDSTLSGQAQVTLTEKPEWQLRLQFPQLNLDNLIPLNETANGENGAAQQGQSQSTLPRPVISSRIDEPAYQGLQGFTADILLQASNVRWRGMNFTDVATQMTNKSGLLEITQLQGKLNGGQVSLPGTLDATSINPRINFQPRLENVEIGTILKAFNYPISLTGKMSLAGDFSGADIDADAFRHNWQGQAHVEMTDTRMEGMNFQQMIQQAVERNGGDVKAAENFDNVTRLDRFTTDLTLKDGVVTLNDMQGQSPVLALTGEGMLNLADQTCDTQFDIRVVGGWNGESKLIDFLKETPVPLRVYGNWQQLNYSLQVDQLLRKHLQDEAKRRLNDWAERNKDSRNGKDVKKLLEKM ->ARGMiner~~~mdtD~~~NC_002695.1.916587.p01~~~multidrug mdtD +>ARGMiner~~~mdtD~~~NC_002695.1.916587.p01~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLAITGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSSTTQTVFMYTWLSMAFIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~penA~~~AAP46624~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46624~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSCGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~mdtL~~~KZA89304.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~KZA89304.1~~~multidrug~~~mdtL MNNSSSKRSRAVFQLDAASALTLNTITLMTFMAASSAPTPLYRLYQQLWQFSPVTLTLIFATYAFTLLGSLLIIGSLSDYIGRRPVIIAAISLQIISMSFFLFASDVSMLFIARGLQGVATGLAVSAIGAAILDFSKLHGSLINSIAPMIGMAVGIFLTCSILQFSSHPLQLVFEFLCFLLICELILSFLTPETAQRRSGALASLKPNMAIPPQTKSALLSISPINIALWMVSGFFLSLMPSLLAKIFHTSSAWLNGIMFMALALSGAVGILTLRKSTNFRILLTSTLSIAIGAIVLFIAINLTNAVVLFLGSIITGVGFGTAFMGAIRSVMPLALPEERAGLMAAFFVESYLAFSIPAILADYFVGKIGLMSTANSYISFIILLSLVALLMIIKNFKNK ->ARGMiner~~~tetR~~~WP_000037168.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000037168.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLIELDIKDPTPLTHIIISIIDGIIIDGTIDKDLINPSKKWQYIEYLIKTENP ->ARGMiner~~~mdtL~~~NC_011595.7060598.p01~~~multidrug mdtL +>ARGMiner~~~mdtL~~~NC_011595.7060598.p01~~~multidrug~~~mdtL MAASSAPTPLYRLYQQLWQFSPVTLTLIFATYAFTLLGSLLIIGSLSDYIGRRPVIIAAISLQIISMSFFLFASDVSMLFIARGLQGVATGLAVSAIGAAILDFSKLHGSLINSIAPMIGMAVGIFLTCSILQFSSHPLQLVFEFLCFLLICELILSFLTPETAQRRSGALASLKPNMAIPPQTKSALLSISPINIALWMVSGFFLSLMPSLLAKIFHTSSAWLNGIMFMALALSGAVGILTLRKSTNFRILLTGTLSIAIGAIVLFIAINLTNAVVLFLGSIITGVGFGTAFMGAIRSVMPLALPEERAGLMAAFFVESYLAFSIPAILAGYFVGKIGLMSTANSYISFIILLSLVALLMIIKNFKNK ->ARGMiner~~~mdtL~~~WP_000819613.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~WP_000819613.1~~~multidrug~~~mdtL MKRFLLCSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMATAMLFAGKIADQSGRKPVAIVGALVFMMASLLCSRASEGSLFLSGRFLQGVGAGGCYVVAFAILRDTLDEHRRAKVLSLLNGITCIVPVLAPVVGHLIMLRFPWQSLFYTMSAMGIIVGLLSLFILRETRPARLAPRDLSRSSPAAESLVNRFFVSRLAITTLSVSVILTFVNASPVLLMEVMGFSRGDYAITMALTAGVSMVVSFSTPFALGLFKPRTLMLVSQGLFLTAGMTLSLAHTNTVTLFGLTLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAILGISAMNMLIGILIGCSIVSILLIFSVAPNRSVAEHEEIPYQSRS ->ARGMiner~~~tetR~~~WP_032018131.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_032018131.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENL ->ARGMiner~~~bleomycin_resistance_protein~~~CCP89775.1~~~bleomycin bleomycin_resistance_protein +>ARGMiner~~~bleomycin_resistance_protein~~~CCP89775.1~~~bleomycin~~~bleomycin_resistance_protein MLQSIPALPVGDIKKSIGFYCDKLGFTLVHHEDGFAVLMCNEVRIHLWEASDEGWRSRSNDSPVCTGAESFIAGTASCRIEVEGIDELYQHIKPLGILHPNTSLKDQWWDERDFAVIDPDNNLISFFRARLLNKRYERPLLYLFYFEWFCPLH ->ARGMiner~~~tetR~~~WP_033502205.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_033502205.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIADSNVAKMIFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278901.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278901.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVMQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~tetC~~~WP_052897248.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~WP_052897248.1~~~tetracycline~~~tetC MKNKNHQQENFKSTYQSLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~penA~~~AAP46594~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46594~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDADKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSMLQLARAYTVLTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVVGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~tetR~~~WP_000037167.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000037167.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWFINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~bleomycin_resistance_protein~~~EVW66658.1~~~bleomycin bleomycin_resistance_protein +>ARGMiner~~~bleomycin_resistance_protein~~~EVW66658.1~~~bleomycin~~~bleomycin_resistance_protein MVHHEDGFAVLMCNEVRIHLWEASDEGWRSRSNDSPVCTGAESFIAGTASCRIEVEGIDELYQHIKPLGILHPNTSLKDQWWDERDFAVIDPDNNLISFFQQIKS ->ARGMiner~~~tetR~~~WP_057078061.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_057078061.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWINSKNFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIGKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~penA~~~CAD70592~~~beta-lactam penA +>ARGMiner~~~penA~~~CAD70592~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVMYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTNIDGKGQEGLELSREDSLRGEDGAKVVLRDNKGNIVDSLDSPRNSVPKNGQDMILSLDQRIQTLAYDELNKAVAYHKAKAGAVVVLDAQTGEILALVNSPAYDPNQPGQANSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGPATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQKIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVVPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~penA~~~AAP46607~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46607~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGQADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAEKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHSLGIGVRMHSGFPGESAGVLRDWRKWRPIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~penA~~~ACF30471~~~beta-lactam penA +>ARGMiner~~~penA~~~ACF30471~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAMAVLFACLIARGLYLQTVTYNFLKEQGDNRIVRTQALPATRGTVSDRNGAVLALSAPTESLFAVPKDMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLYGEDGAEVVLRDRQGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPLSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVGTFIGFAPAKNPRVIVAVTIDEPTAHSYYGGVVAGPPFKKIMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~marR~~~WP_004639282.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_004639282.1~~~multidrug~~~marR MTKKYARFLPTADSFKLEDFPYYWISQVNAQYVQNIDNVLKKYGLDNSRRRILIALDVKPHASVSELSDMVISKMSTTTKIVYRLKDEGYIETYSCNEDGRITRVYLTDKGKEMIIKINDLTSVILEQSFDGLTPLQIEKTMEILRHMFKNLAR ->ARGMiner~~~tetR~~~WP_057690908.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_057690908.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSADDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYHEWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKYEAA ->ARGMiner~~~penA~~~AAM97234.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97234.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~tetC~~~EFZ47443.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~EFZ47443.1~~~tetracycline~~~tetC MFLRLSRPHHHDTINILTVCYFKSIMENKNHQQENFKSIYQSLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278897.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278897.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDLVLLDELTYMVAYDYLPLEEVLQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQVGIDY ->ARGMiner~~~tetR~~~WP_002068449.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_002068449.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIRNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_047669155.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_047669155.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACSEVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~DNA-binding_protein_H-NS~~~NC_011035.1.6447920.p01~~~unclassified DNA-binding_protein_H-NS +>ARGMiner~~~DNA-binding_protein_H-NS~~~NC_011035.1.6447920.p01~~~unclassified~~~DNA-binding_protein_H-NS MAFGGILKKAAKMPSEGFRRHRGPKRANRHSPASRLCREEQGNRPENLAARPDTATNETPGLPGAQAAAQALSTAIEGSFVV ->ARGMiner~~~marR~~~WP_061391973.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_061391973.1~~~multidrug~~~marR MPKKYARFLPTAESFNLEDFPFYWISQVNAQYVQNIDNVLKKYGLDNSRRRILLALNVKPHASVSELSDMVISKMSTTTKIVYRLKDEGYIETYSCKEDGRITRVHLTEKGQEMIIKINDLTSVILEQSFDGLTPLQIEKTMEILRHMFKNLAR ->ARGMiner~~~tetR~~~WP_000037158.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000037158.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIIECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSENFNGCQFKKAFIEVSKQYTSIHEPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENL ->ARGMiner~~~tetC~~~AKK51649.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~AKK51649.1~~~tetracycline~~~tetC MFLRLSRPYHHDTINILTVCYFKSIMENKNHQQENFKSTYQSLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_047662791.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_047662791.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGVDY ->ARGMiner~~~mdtL~~~WP_045717496.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~WP_045717496.1~~~multidrug~~~mdtL MKRFLLCSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMATAMLFAGKIADQSGRKPVAIVGALVFMMASLLCSRASEGSLFLSGRFLQGVGAGGCYVVAFAILRDTLDEHRRAKVLSLLNGITCIVPVLAPVVGHLIMLRFPWQSLFYTMSAMGIIVGLLSLFILRETRPARRAPRDLSRSSPAAESLVNRFFVSRLAITTLSVSVILTFVNASPVLLMEVMGFSRGDYAITMALTAGVSMVVSFSTPFALGLFKPRTLMLVSQGLFLTAGVTLSLAHTNTVTLFGLTLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAILGISAMNMLIGILIGCSIVSILLIFSVAPNRSVAEHEEIPYQSRS ->ARGMiner~~~tetR~~~WP_000043986.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000043986.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSADNPLNKLKSIFNWYIGWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKYEAA ->ARGMiner~~~tetR~~~WP_025469055.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_025469055.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGIDKIIAESNVAKMTFYKYFSSKEILIEECLQRRILEVQASLLDKVNSVNNPLNKLKSVFNWYIDWINTEDFNGCLFKKATIEVLQMYPSVKVQVNKYRNWIYNVVFEIFSDLEIEDPKVLSSLFLNIIDGLIIDATINKPEINPEETWSYINKLIELETTLRCAAA ->ARGMiner~~~tetC~~~WP_058814714.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~WP_058814714.1~~~tetracycline~~~tetC MENKNHQQENFKSTYQSLVNSARILFVEKGYQAVSIDEISGKPLVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~penA~~~AAP46606~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46606~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSGLVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFAFEKELKRHYPMGSLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDGLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPAPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSEEMYDLYHSLGIGVRMHSGFPGESAGVLRDWRKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~penA~~~AAP46619~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46619~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFGPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~tetR~~~WP_032039172.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_032039172.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYMEYLIKTENP ->ARGMiner~~~tetR~~~WP_057693461.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_057693461.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQSSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~tetR~~~WP_045154795.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_045154795.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESNVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSADDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKYEAA ->ARGMiner~~~penA~~~AAP46595~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46595~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDADKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQPTMSFGYGLQLSMLQLARAYTVLTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVVGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~marR~~~WP_021511195.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_021511195.1~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSNMIISKMSTTTKIVYRLKDEGLVETYSCQSDGRITRVYLTERGTEMINKINDLTSVVLEQSFEGITPLQLEKMMESLKLLLKNLSR ->ARGMiner~~~TEM-1~~~ANG27179.1~~~beta-lactam TEM +>ARGMiner~~~TEM-1~~~ANG27179.1~~~beta-lactam~~~TEM MSVQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGARGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW ->ARGMiner~~~tetR~~~WP_032009199.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_032009199.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSADDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIKLETKQKYEAA ->ARGMiner~~~qacG~~~WP_031913065.1~~~multidrug qacG +>ARGMiner~~~qacG~~~WP_031913065.1~~~multidrug~~~qacG MHYLYLFISIATEIIGTSFLKASEGFTKLWPTLGTLLSFGICFYFLSLTIKFLPLNITYATWAGLGLVLTTIISVIVFKENVNLISIISIGLIVIGVVLLNVFGESH ->ARGMiner~~~emrE~~~CQD09651.1~~~multidrug emrE +>ARGMiner~~~emrE~~~CQD09651.1~~~multidrug~~~emrE MQGGAVTYLLLFGAIIAEVVSTSLLKSTEGFSRLWPTVMCLVGYGASFALLAWSIQRGMQTDVAYALWSAIGTAAIVLIAVLFLGSPISVTKVVGVGLIIVGVVTLNLSGAH ->ARGMiner~~~tetR~~~WP_033846339.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_033846339.1~~~tetracycline~~~tetR MSKKEDIINTALDLFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDAGPLEKIHLIFNWYIDWINSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELNIKDPTPLTHIIISIIDGIIIDGTIDKELIDPVKKWRYIEYLIKTENS ->ARGMiner~~~marR~~~WP_014206969.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_014206969.1~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSNKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQTDGRITRVVLTEKGTEMINKINDLTSVVLEQSFEGITPLQLEKMMESLKLLLKNLSR ->ARGMiner~~~mdtD~~~WP_033869793.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_033869793.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAVVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLAIAGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYILPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSGTTQTVFMYTWLSMAFIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~mdtD~~~WP_021564462.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_021564462.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLAIAGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSISIGVTIAGLLLGLFGSQHVSVDSGTTQTVFMYTWLSMAFIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~KFH80994.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~KFH80994.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKXKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_029402253.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_029402253.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVMQALNERPHQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~tetR~~~WP_050441352.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_050441352.1~~~tetracycline~~~tetR MGYNATGVDKIIADSNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001468640.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001468640.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTAARAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~penA~~~AAM97225.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97225.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSLRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~qacG~~~WP_002477903.1~~~multidrug qacG +>ARGMiner~~~qacG~~~WP_002477903.1~~~multidrug~~~qacG MHYLYLFISIATEIIGTSFLKTSEGFTKLWPSLGTLLSFGICFYFLSLTIKFLPLNITYATWAGLGLVLTTIISVIVFKENVNLISIISIGLIVIGVVLINVFGESH ->ARGMiner~~~rifampin_resistance_protein~~~P33810~~~rifamycin rifampin_resistance_protein +>ARGMiner~~~rifampin_resistance_protein~~~P33810~~~rifamycin~~~rifampin_resistance_protein MNNTIINSLIGGDDSIKRSNVFAVDSQIPTLYMPQYISLSGVMTNNGPDNQTIASFEIRDQYITALNHLVLSLELPEVKGMGRFGYVPYVGYKCINHVSVSSCNGVIWEIEGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYSQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGIVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTVFAHISHSINIIDTSIPVSLWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_032328790.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_032328790.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVMQALNERPRQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~tetC~~~WP_001517796.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~WP_001517796.1~~~tetracycline~~~tetC MENKNHQQENFKSTYQSLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~TolC~~~EKK08082.1~~~multidrug TolC +>ARGMiner~~~TolC~~~EKK08082.1~~~multidrug~~~TolC MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLSARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRNDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASAELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~penA~~~U58210.1.gene4.p01~~~beta-lactam penA +>ARGMiner~~~penA~~~U58210.1.gene4.p01~~~beta-lactam~~~penA MTSFWEKNSQKWKKWRQKRKEKRANKPRKPVNISRRVYLLFGVVFVLFLLLFARLTYMQVYNKSFYTKKLEDNSKYTVRIASERGQIFDAKGIALTTNQSKDVITFTRSNLVSSDTMKSVAERLATLVTLTETKVTDRQKREFYLADSANYKRVVNDLPNDKKTDKFGNKLAEATIYNNAINAVPDEAVDYSEDELKIVYIYSHMNAVSNFSTVILKTADLTPDQIAIVAAKQKELNGIRVAKDWERHTSDSSLSPLIGRVSSSEAGLPQEDAKDYLKKGYALNDRVGTSYLEKEYEEELQGKHTVREITVDKEGKVDSDKIIQKGSKGNNLKLTIDLDFQKGVEDILGQQLSSEISGNKATYSEGMYAVVMNADTGAVLAMAGQKHEQGAQDFKADALGTITDVFTPGSVVKGATLTAGWRSGAIYGDQVLTDQPINIASSPPITSWFTDKGSRAITATQALEYSSNTYMVQIAIKRLGQQYVPGMSLSTDNMEKAMTTLRDTYAEFGMGVSTGLDLPGESEGYIPKNYNVANVLTEAFGQYDSYTTIQLAQYVASIANGGKRVAPHIVGGIYDAGKNGSLGTLSSTVDTRVLNKLSLDSKQLGIIQQGFHDVVNSGSSLATGKAMASSIIPISGKTGTAETYATDGSGNSVTTVNLNAVAYATAKDGTKLAVGIMYPHALDWKSKAHQNAVKAIMELYQNTH ->ARGMiner~~~mepA~~~HE999704.1.gene2394.p01~~~multidrug mepA +>ARGMiner~~~mepA~~~HE999704.1.gene2394.p01~~~multidrug~~~mepA MAKNMEILETDSVKKIYFRYLIPSLVGMLLMSLNIVIDGIFVGHKLGGVALAGINIAVPVFTIFTAISIWIGIGAATQFSFAIGEKNVAKAQTIFTNAILAVVSITVIIGIIAFIFKVPLAYFLGANDDTIGYVLEYMNILLVFGFALTLENILSIFVRNDGDPNLSMIALIVTAISNVILNYLFLFVFEWGVTGSALATMIAIIIGVLILTTHFFKKSSRLKFVKVDWNKAFFKKTLAIGLPSFLAEVGVSVFTLGYNISIAAIAGTAGVAAFSVLNYTHSVILMLFLGMGSAIQPLISYYRGAKARQKELETLKIAIVVAFSTGVGFLLVGFFGSNLLVSMFGNFSVEIRELASNGIKLFYTAYLFMGFNFVMMTYFQTSDKVKMATWITISREIIFMVIFLLVLPPIIGIPGVWLAIPISEMIVAASIIFYMKKKHILFK ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278881.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278881.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGIVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADPSLDMVLLDELTYMVAYDYLPLEEVVKALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~kasugamycin_resistance_protein_ksgA~~~Q83MG8~~~kasugamycin kasugamycin_resistance_protein_ksgA +>ARGMiner~~~kasugamycin_resistance_protein_ksgA~~~Q83MG8~~~kasugamycin~~~kasugamycin_resistance_protein_ksgA MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTFNFGELAEKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPHPVKDIRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTGMGIDPAMRAENISVAQYCQMANYLAENAPLQES ->ARGMiner~~~penA~~~AAM97177.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97177.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARTYTALTHDGVLLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~penA~~~AAM97247.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97247.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFAFEKELKRHYPMGSLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYDELNKAVAYHKAKAGAVVVLDAQTGEILALVNSPAYDPNQPGQANSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGPATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQKIEQATMSFGYGLQLSLLQLARAYTMLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278883.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278883.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGIVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADPSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~tetR~~~WP_031958439.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_031958439.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIHEPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENL ->ARGMiner~~~tetC~~~WP_024156474.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~WP_024156474.1~~~tetracycline~~~tetC MENKNHQQENFKSTYQSLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIISKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~tetR~~~WP_000037171.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000037171.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSKNFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~PBP-2X~~~AAF17268~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~AAF17268~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGSKGNGITYANMMSIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRVGNIVPGTELVSQQTVDGKDVYTTLSSPLQSFMETQMDAFLEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITEDFVWRDILYQSNYEPGSAMKVMTLASSIDNNTFPSGEYFNSSEFKIADATTRDWDVNDGLTTGGMMTFLQGFAHSSNVGMSLLEQKMGDATWLDYLKRFKFGVPTRFGLTDEYAGQLPADNIVSIAQSSFGQGISVTQTQMLRAFTAIANDGVMLEPKFISAIYDTNNQSVRKSQKEIVGNPVSKEAASTTRNHMILVGTDPLYGTMYNHYTGKPIITVPGQNVAVKSGTAQIADEKNGGYLVGSTNYIFSVVTMNPAENPDFILYVTVQQPEHYSGIQLGEFATPILERASAMKESLNLQSPAKNLDKVTTESSYAMPSIKDISPGELAEALRRNIVQPIVVGTGTKIKETSVEEGTNLAPNQQVLLLSDKVEEIPDMYGWKKETAETFAKWLDIELEFEGSGSVVQKQDVRTNTAIKNIKKIKLTLGD ->ARGMiner~~~mdtD~~~WP_021547049.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_021547049.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLLLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLAITGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSGTTQTVFMYTWLSMALIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~penA~~~AAA25465~~~beta-lactam penA +>ARGMiner~~~penA~~~AAA25465~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGWADSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGPATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVGTFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVVGPVFKEVMSGSLNILGVSPTKPLSNTATVKVPS ->ARGMiner~~~mdtL~~~EHC30455.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~EHC30455.1~~~multidrug~~~mdtL MKRFLLCSFALVLLYPAGIDMYLVGLPRIAVDLNASESQLHIAFSVYLAGMATAMLFAGKIADQSGRKPVAIVGAIVFMMASLLCSRASEGSLFLSGRFLQGVGAGGCYVVAFAILRDTLDEHRRAKVLSLLNGITCIVPVLAPVMGHLIMLRFPWQSLFYTMSAMGIIVGLLSLFILRETRPARLAPRDLSRSSPAAESLVNRFFVSRLAITTLSVSVILTFVNASPVLLMEVMGFSRGDYAITMALTAGVSMVVSFSTPFALGLFKPRTLMLVSQGLFLTAGVTLSLAHTNTVTLFGLTLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAILGISAMNMLIGILIGCSIVSILLIFSVTPNRSVAEHEEIPYQSRP ->ARGMiner~~~mdtL~~~WP_023208234.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~WP_023208234.1~~~multidrug~~~mdtL MKRFLLCSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMATAMLFAGKIADQSGRKPVAIVGALVFMIASLLCSRASEGSLFLSGRFLQGVGAGGCYVVAFAILRDTLDEHRRAKVLSLLNGITCIVPVLAPVVGHLIMLRFPWQSLFYTMSAMGIIVGLLSLFILRETRPARLAPRDLSRSSPAAESLVNRFFVSRLTITTLSVSVILTFVNASPVLLMEVMGFSRGDYAITMALTAGVSMVVSFSTPFALGLFKPRTLMLVSQGLFLTAGVTLSLAHTNTVTLFGLTLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAILGISAMNMLIGILIGCSIVSILLIFSVTPNRSVAEHEEIPYQSRS ->ARGMiner~~~tetR~~~WP_033855504.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_033855504.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESNVAKMTFYKYFSSKEVLIEECLQRRILEVQASLLEKVNRAHDPLNKLKNVFNWYIDWINTEDFYGCLFKKATIEVLQMYPSIKSQVNQYRRWIYDLVVSMFLELEIEDPTVLSSLFLNIIDGLIIDGTINKPEINAERTWSYINKLIELETTQSCAAA ->ARGMiner~~~tetC~~~EQQ82005.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~EQQ82005.1~~~tetracycline~~~tetC MTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~penA~~~AAM97238.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97238.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHAEEGAEVVLRDREGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAIKPFVIAKALDADKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~PBP-2X~~~AAF17264~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~AAF17264~~~beta-lactam~~~PBP-2X MKWTKKVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGSKGNGITYANMMAIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGKDGIITYEKDRLGNIVPGTEQVSQQTVDGKDVYTTISSTLQSFMETQMNAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGLTKDFVWRDILYQSNYEPGSTMKVMTLAAAIDNNTFPGGEVFNSSELKVADATIRDWDVNEGLTGGGMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGIPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFITALYDPNNQSVRKSQKEIVGNPVSKDAASQTRTHMVLVGTDPRYGTMYNHSTGKATVNVPGQNVALKSGTAEIADEKNGGYLVGSTNHIFSVVAMNPAENPDFILYVTVQQPEHYSGIQLGEFANPILERAVAMKDSLNLQSTAKTLDQVTNQSAYAMPSIKDISPGDLAEALRRNIVQPIVVGTGTKIKESSVEEGSNLAPNQQVLLLSDKAEEVPDMYGWTKATAEAFSKWLNIELVFEGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~tetC~~~WP_048338017.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~WP_048338017.1~~~tetracycline~~~tetC MENKNHQQENFKSTYQSLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDVYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~tetR~~~WP_031974434.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_031974434.1~~~tetracycline~~~tetR MINTALELFNQIGYNATGVDKIIADSNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~penA~~~AAP46604~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46604~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGPATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQKIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~TolC~~~EKA68856.1~~~multidrug TolC +>ARGMiner~~~TolC~~~EKA68856.1~~~multidrug~~~TolC MLVAGLWSFTSSSFALDLVETYERAKLNDPTWQANQQQFEADQLNLGLATGALLPTVTLSGNITRNRQTVKRSNFPGVDQEGLSDALVSNTSTTKQATLTARQPLFRMDAWEGYKQVKTSVALSEITLRLQKQDHVLNVAEAYFNVLRQQALTAAYLQEEKALLEQLNMMNAKLKEGLVARSDVSEANAQYQNARANRIATNVQLLLAQEQLSEYIGPYQDKLAVLRSDFIFQKPYPAQLDEWLGLAQQQNLKIQQARLQKRYAEDQRRVEKAALYPQIDAVASYGYTKQTPETLISTDGKFDQVGVEMNWNLFNGGRTRTSIKKASAELNKAQAQLDAAIRRANVDVKSAFMQVDTDRAKLEARKAAMDSSALVSQASKASYNEGLKSMVDVLLAQRNAFSAKQDYLNAQYDYLLNVLRLKAAVGQLGEKDLVELNSWLTYQ ->ARGMiner~~~PBP-2X~~~NP_357898~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~NP_357898~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGLTDYIFSAVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~PBP-2X~~~AAC95456~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~AAC95456~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQQTVDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAMSAFGQGISVTQTQMLRAFTAIANDGVMLEPKFISALYDPNDQSVRKSQKEIVGNPVSKEAASVTRDHMVMVGTDPTYGTMYNHSTGKATVNVPGQNVALKSGTAEIADEKNGGYLTGSTNNIFSVVSMHPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKESLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETFAKWLNIELEFEGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~penA~~~AAP46590~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46590~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPIDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGWADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~mdtD~~~WP_000130825.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_000130825.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSATLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLAIAGLAAIGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSSTTQTVFMYTWLSMALIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~penA~~~AAM97237.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97237.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHAGEGAEVVLRDREGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAIKPFVIAKALDADKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~marR~~~NC_009085.4918988.p01~~~multidrug marR +>ARGMiner~~~marR~~~NC_009085.4918988.p01~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQSDGRITRVYLTERGTEMINKINDLTSVVLEQSFEGITPLQLEKMMESLKLLLKNLSR ->ARGMiner~~~penA~~~AAP46578~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46578~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLITRGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~PBP-2X~~~AAF17266~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~AAF17266~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVIEQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPSGEYFNSSELKIADATIRDWDVNDGLTTGGMMTFLQGFAHSSNVGMSLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAMSAFGQGISVTQTQMLRAFTAIANDGVMLEPKFISALYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMILVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAEIADEKNGGYLVGSTNNIFSVVAMNPAENPDFILYVTVQQPEHYSGIQLGEFTNPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKATAETFAKWLNIELEFEGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~emrE~~~CPR13135.1~~~multidrug emrE +>ARGMiner~~~emrE~~~CPR13135.1~~~multidrug~~~emrE MPRWMDGQVKETLVTYLLLLCAIFAEVAATSLLKSTEGFTRLWPTVICLIGYAVSFALLAVSISRGMQTDVAYALWSAIGTAAIVAIAVLFLGSPISVTKVAGIVLIIAGVVTLNLSGAH ->ARGMiner~~~kasugamycin_resistance_protein_ksgA~~~Q7N8V7~~~kasugamycin kasugamycin_resistance_protein_ksgA +>ARGMiner~~~kasugamycin_resistance_protein_ksgA~~~Q7N8V7~~~kasugamycin~~~kasugamycin_resistance_protein_ksgA MNNRVHQGHFARKRFGQNFLTDQFVIDSIAAAINPQPGQAVLEIGPGLGALTEPVGERMDKMTVVELDRDLAARLQVHPQLKDKLTIIQQDAMTVNFGELSQQRGKPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPGSKTFGRLSVMAQYYCQVIPVLEVPPTAFTPAPKVDSAVVRLVPHKSIPHPVKNIRMLSRITTQAFNQRRKTIRNSLGDLFTVEQLTELGIDPSTRAENISVEQYCKMANWLSEQPEMQS ->ARGMiner~~~tetR~~~WP_000037160.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000037160.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLRLHPDVSPIEKIHLIFNWYIDWINSKNFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWHYIEYLIKTENP ->ARGMiner~~~PBP-2X~~~AAL77081~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~AAL77081~~~beta-lactam~~~PBP-2X MKWTKRITRFAIRNRKSPAENRKIVGKYISLLAVVLFAVFLVNFAVIIGSGSKFGTDLVKEAKKVHQITRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDKKYKSATGKILYVEDAQFNKVAEVFHKYLDMDEAYVKEQLAQPNLTQVSFGAKGNGITYANMMAIKKDLKDASVEGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGKDGIITYEKDRLGNIVPGTEQVSQQTVDGKDVYTTISSTLQSFMETQMNAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGLTKDFVWRDILYQSNYEPGSTMKVMTLAAAIDNNTFPGGEVFNSSELKIADVTIRDWDVNEGLTGGRMMTFSQGFALSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMLRAFTAIANDGVMLEPKFISAIYDTNNQSVRKSQKEIVGKPVSEDAASLTRTNMILVGTDPLYGTMYNHQTGKPIITVPGQNVAVKSGTAQIADEKNGGYLVGSTNYIFSVVTMNPAENPDFILYVTVQQPEHYSGIQLGEFANPILERAVAMKDSLNLQSTAKTLDQVTNQSAYAMPSIKDISPGDLAEALRRNIVQPIVVGTGTKIKESSVEEGTNLAPNQQVLLLSDKAEEVPDMYGWTKATAEAFSKWLNIELVFEGSGSTVQKQDVRANTAIKDIKKIKLTLGD ->ARGMiner~~~tetA~~~CAQ04234.1~~~tetracycline tetA +>ARGMiner~~~tetA~~~CAQ04234.1~~~tetracycline~~~tetA MVRDMVGAYPGVLVLHILSYLIGSGIAAFVPVVVGMIVDGLVGEEKFNAWWLFAVLVGIFIIQFIGEATGDGLATASVRRVTHNAQQHLSSGVLRRGAGAMSPGTVLNTIDADANTVGRYRELLSFPLMAIGYAVCAMVAMWSVSPWISLAIPASALIIALFAAWTAGPVTRVSLKRRAAEADVAGLATDASQGIRTVKGLGAGATVATRFHAETAKAKRLMLTHLRVEVWLGFARFCVAWLCNLGIVGLSAWMTLRGEITPGQLTSVALLVQPALTMAGLAFGDLASGWGRAVASGQRIEQLHHAGDDTAGPELTDTPVPGAGLWILEPEERSYATAAAWAQRADVLFPPHTVNVFEGTIADNVNPRGDVPEDVVKQALAAAHCQDILRRLGGINEAGELPNAPLGETGLNLSGGQRQRVALARALAADPDVLILDDPTTGLDSVTQADVVEAVAALRADKTTVVITGNAAWQHAGTALEVA ->ARGMiner~~~pmrA~~~CCD07754.1~~~multidrug pmrA +>ARGMiner~~~pmrA~~~CCD07754.1~~~multidrug~~~pmrA MEYGGKIKEYLLRLKPKRWFTLTNVIIFIGFLTAIIYVFSYLIPFTDNAFVVNNVRPVAALTNGYITELYVKNGDAVHKGQKLFTVFKKPYEYTVEQLSADLAGAQAKLAVLKATYERDLKLSENEQKIYKKLAQDDQKYLKGYAIKSVSLITLQNSQQETKAAKDKWQASLKQLEIDQHQITVQENEIKSIQARLKNAKVNLDLTDVYAQGNGVIQNLFFTIGTPVNINQPLFSLVDQDNIYIQANFNETDLRDVRKGSKVLIFPRMYLGRKIFHGVIDSDYWSANRQLVDDRTQLQNVINENQWILLPQRLPVIIKVTDPDPKYPLRVGASAYVYVEVY ->ARGMiner~~~mdtD~~~WP_032236466.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_032236466.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLAIAGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFITTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSGTTQTVFMYTWLSMALIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~ompF~~~CP000647.1.gene2517.p01~~~multidrug ompF +>ARGMiner~~~ompF~~~CP000647.1.gene2517.p01~~~multidrug~~~ompF MILLAVIVAGLTSLVLLVNPNDFRAYMVHEVAERSGYQLDLDGPLRWHVWPQLSILSGRMTLTARGAEEPVIRADNMRLDVALLPLLSHQLQVKQVMLKGAVIQLTPKTEAVRDSSAPVVPHDNTLPLAPEDRGWSYDVRQLQVADSVLFFQHENGEQVTVRDIRLQMEQDENHRATVDFSGRVNRDQRDLALNFSATVQGGDYPHSLKADFTQLSWQLRGAELPPDGINGQGSLQASWQEDDKTLRFDNLNLMANRSTVTGSGSVVLGDRPDWSLDLHATTLDLDSLLAQRSPATDSSASQQGQSQTRPLRPVIADSDEREDYQSLRGFNGRMALSADQLQWRGLNFTQVQSEISNQQGLLTVSKMQGNLDGGQLSLPGTLDARGDTPLATFQPALQNVEIGSLIKAFNYSLNLTGKLSLSGEFSGTRIDADDFRRHWQGQAQLQMADTRTEGLNFQQLVQQAVERSTNVRAQENYDNATRLDSVSSRLTLDNGLVTLNRLQGQSDVMAMTGEGQLDLQKENCDMRFNVRVLGGWKGEGKLIDRLKQTAIPLRIYGEWQSLSYSLQVDQILRKQLQDEAKQRLNDWVERNKGSKDGNDAKKLLDKL ->ARGMiner~~~penA~~~AAM97242.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97242.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAIKPFVIAKALDADKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHSLGIGVRMHSGFPGESAGVLRDWRKWRSIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVAGLPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~PBP-2X~~~YP_002735431~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~YP_002735431~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGLTKDFVWRDILYQSNYEPGSTMKVMTLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFALSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYSGQLPADNIVNIAMSAFGQGISVTQTQMLRAFTAIANDGVMLEPKFISALYDPNDQSVRKSQKEIVGNPVSKEAASVTRDYMVMVGTDPTYGTMYNHSTGKPTVTVPGQNVALKSGTAEIADEKNGGYLVGTTNYIFSAVSMNPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKVEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~tetR~~~WP_002049962.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_002049962.1~~~tetracycline~~~tetR MSKKEDIINTALDLFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDAGPLEKIHLIFNWYIDWINSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLVNLLNTLLVELDIKEPTPLTHIIISIIDGIIIDGTIDKELIDPVKKWRYIEYLIKTENS ->ARGMiner~~~mdtL~~~WP_000085979.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~WP_000085979.1~~~multidrug~~~mdtL MSRFLICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMDIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~marR~~~WP_002050629.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_002050629.1~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQTDGRITRVYLTERGTEMINKINDLTSVVLEQSFEGITPLQLEKMMESLKMLLKNLSR ->ARGMiner~~~rpsD_(ramA_or_sud2)~~~AB158573.gene.p01~~~unclassified rpsD_(ramA_or_sud2) +>ARGMiner~~~rpsD_(ramA_or_sud2)~~~AB158573.gene.p01~~~unclassified~~~rpsD_(ramA_or_sud2) MKIELVQLAGRDGDTAYNLSRTLNAIATCAGDTDLLVFPETYLSGFVGGAQLAQVAEPLHGTTLQTLLQAVRQRDVAVVLGFAEVHQGRFYNSSVLVTPEGIALQYRKTHLWPSERSDFSPGDRFTTVLWRGVRVGLLICYDIELPETSRALAQLGAEVVIVTNGNMDPYGPVHRTAIMARAQENQLFAVMVNRVGAGDDGLVFAGGSMAVDPFGRVLFEAGRDEVRHVVELDLDQLKAARRDYDYLKDRRLMLSGEQTEHPDGRRELLIGASQ ->ARGMiner~~~tetB~~~WP_005390943.1~~~tetracycline tetB +>ARGMiner~~~tetB~~~WP_005390943.1~~~tetracycline~~~tetB MAPDYARTDAVAPASVKETFAYLSALPNALDRRWWAGLLLIQALIVAVYTTQSNLFGRSVDPLTGGEVPLLGTGTRAFVWTVGLALACMLVEMFLRALGNYVVGLKVARASIDLRRRCLDAILRAPVPRVMELGTGNVITRMTKDIDDVVQTITAIGSRVLTTVFVFPITFIGLLLIDVRFALILLLICICTYPFARAVVRAIPDASNAVSVAEARRNAVLLDTVRGLPTLRAFDLERWALARMRRTSWGAVEAEMDRVPWFIRLTGIGQVAFAAWVLLTLGVGAWLASAGVVTPGQASAAVFMVIRAEVMVFNALFFVGELQGAATAVGRAVSLAKLADGRDATAVPADLAALVDVEVDHVSFAYPGGANVLEDLSVTLEAGTTTALVGTSGAGKSTLAALIAGLVEPTAGSIRVGAVETSQVSDTWTAKNVTLLTQDVHLFAGTLREDLSMAAQDATDADLLRALASVGLDPEGTQFARLFPKGLDTAVGAGAEDIPPEVEQQLALARVALSGPKVLILDEATAEAGSDATNALEDAAARITADTTALVVAHRLDQAAAADRILVMDAGRIIEDGTHTELVAADGRYAQLFAAWSGGGH ->ARGMiner~~~tetR~~~WP_000037163.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000037163.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWINSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIYPSKKWQYIEYLIKTENP ->ARGMiner~~~tetR~~~WP_031984866.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_031984866.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWINSKNFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWHYIEYLIKTENP ->ARGMiner~~~penA~~~AAP46620~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46620~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLMARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGWADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~penA~~~AAP46613~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46613~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLENSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~tetC~~~NC_010558.1.6275977.p01~~~tetracycline tetC +>ARGMiner~~~tetC~~~NC_010558.1.6275977.p01~~~tetracycline~~~tetC MFLRLSRPHHHDTINILTVCYFKSIMENKNHQQENFKSTYQSLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~emrE~~~WP_036467771.1~~~multidrug emrE +>ARGMiner~~~emrE~~~WP_036467771.1~~~multidrug~~~emrE MQGGALTYLALFGAIIAEVVSTSLLKSTEGFSRLWPTVICLIGYGTSFALLAWSIQRGMQTDVAYALWSAIGTAAIVLIAVLFLGSPISVTKVVGIGLIIVGVVTLNLSGAH ->ARGMiner~~~penA~~~YP_002114946~~~beta-lactam penA +>ARGMiner~~~penA~~~YP_002114946~~~beta-lactam~~~penA MTFKDFDAEEKLFLRRVIVAFGVVVVCFGILIFNLYNLQIRQHHYYTTRSNENDIKMLPVAPTRGIIYDRNGIPLVRNVTWYDIAVTPYKIADMDALLKQLTPIVDLSPDDIADFRHALKSSSRYRPVVLKNALTDVEIARFAVNQFHFNGVTINSYQDRQYPYGAELAHVLGYVSKINDNDLKALDKKGLAENYAADHNIGKQGIERYYENDLHGKTGYQEVEVDNHGRIVRLLKDVPPIAGKNIHLTLDLHLQEYIESLLAGQRAAVLVEDPHDGSVLAMVSMPSYDPNPFVKGISYQDYGKLLHDKNLPLINRVTQGLYPPASTVKPYMAMSALLCGIITPQTTFFGAPTWTLPGTQRHYRDWKKTGHGMLDVTKAIEESADTFFYQVAYMMGIDRIDTMLSQFGYGKPTGIDLNEEYDGLLPSRAWKQRVHKKAWYQGDTISVGIGQGYWIATPIQMVKAMVALINNGKVIAPHLLLNEESGKTVVPYRPSGTPAQIADPASPYWGLVRQAMYGMANAPNGTGYKFFHTAPYGIAAKSGTSQVFSLKENQTYNAKMIPIRLRDHVFYTAFAPYKNPKVAIALILENGGSDGVTAAPIMRKILDHLFDPQADTTQSGQAP ->ARGMiner~~~tetC~~~WP_036413340.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~WP_036413340.1~~~tetracycline~~~tetC MENKNHQQENFKNTYQSLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~PBP-2X~~~CAO91620~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91620~~~beta-lactam~~~PBP-2X MKWTKKVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGSKGNGITYANMMSIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQQTVDGKDIYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTSGGTMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAMSAFGQGISVTQTQMLRAFTAIANDGVMLEPKFISALYDPNDQSVRKSQKEIVGNPVSKEAASVTRDHMVMVGTDPTYGTMYNHSTGKATVNVPGQNVALKSGTAEIADEKNGGYLTGSTNNIFSVVSMHPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKESLNLQSTAKNLDKVTTESSYAMPSIKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKATAETFAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~penA~~~AAM97235.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97235.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTIEDRNGAVLALSAPTESLYAVPKDMEEMPTAAQLEHLSELIDVPVDVLRSKLDQKNKSFIWIKRQLDHKLAEEVEALGLKHFAFQKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHAGEGAEVVLRDREGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAIKPFVIAKALDADKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~PBP-2X~~~ZP_01834487~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~ZP_01834487~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRTMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGLTDYIFSVVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278907.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278907.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTTACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~ompF~~~WP_039025717.1~~~multidrug ompF +>ARGMiner~~~ompF~~~WP_039025717.1~~~multidrug~~~ompF MRRFLTTLMILLVVLVAGLSALVLLVNPNDFRDYMVKQVAARSGYQLQLDGPLRWHVWPQLSILSGRMSLTAQGASQPLVRADNMRLDVALLPLLSHQLSVKQVMLKGAVIQLTPQTEAVRSEDAPVAPRDNTLPDLSDDRGWSFDISSLKVADSVLVFQHEDDEQVTIRNIRLQMEQDPQHRGSFEFSGRVNRDQRDLTISLNGMVDASDYPHDLTAAIEQINWQLQGADLPKQGIQGQGSFQAQWQESHKRLSFNQISLTANDSTLSGQAQVTLTEKPEWQLRLQFPQLNLDNLIPLNETANGENGAAQQGQSQSTLPRPVISSRIDEPAYQGLQGFTADILLQASNVRWRGMNFTDVATQMTNKSGLLEITQLQGKLNGGQVSLPGTLDATSINPRINFQPRLENVEIGTILKAFNYPISLTGKMSLAGDFSGADIDADAFRHNWQGQAHVEMTDTRMEGMNFQQMIQQAVERNGGDVKAAENFDNVTRLDRFTTDLTLKDGVVTLNDMQGQSPVLALTGEGMLNLADQTCDTQFDIRVVGGWNGESKLIDFLKETPVPLRVYGNWQQLNYSLQVDQLLRKHLQDEAKRRLNDWAERNKDSRNGKDVKKLLEKM ->ARGMiner~~~PBP-2X~~~CAO91617~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91617~~~beta-lactam~~~PBP-2X MEWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGLTDYIFSAVSMSPAENPDFILYVMVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~PBP-2X~~~AAC45547~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~AAC45547~~~beta-lactam~~~PBP-2X MKWTKRITRFAIRNRKSPAENRKIVGKYISLLAVVLFAVFLVNFAVIIGSGSKFGTDLVKEAKKVHQITRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDKKYKSATGKILYVEDAQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGSKGNGITYANMMAIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRVGNIVPGTELVSQQTVDGKDVYTTLSSPLQSFMETQMDAFLEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITEDFVWRDILYQSNYEPGSAMKVMTLASSIDNNTFPSGEYFNSSEFKIADATTRDWDVNEGLTTGGMMTFLQGFAHSSNVGMSLLEQKMGDATWLDYLKRFKFGVPTRFGLTDEYAGQLPADNIVSIAQSSFGQGISVTQTQMLRAFTAIANDGVMLEPKFISAIYDTNNQSVRKSQKEIVGNPVSKEAASTTRNHMILVGTDPLYGTMYNHYTGKPIITVPGQNVAVKSGTAQIADEKNGGYLVGSTNYIFSAVTMNPAENPDFILYVTVQQPEHYSGIQLGEFATPILERASAMKESLNLQSPAKNLDKVTTESSYAMPSIKDISPGELAEALRRNIVQPIVVGTGTKIKETSVEEGTNLAPNQQVLLLSDKVEEIPDMYGWKKETAETFAKWLDIELEFEGSGSVVQKQDVRTNTAIKNIKKIKLTLGD ->ARGMiner~~~emrD~~~CDN84509.1~~~multidrug emrD +>ARGMiner~~~emrD~~~CDN84509.1~~~multidrug~~~emrD MIMKRHRNVNLLLMLVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLVAVSTSSLMVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLVLCAGVTFSMARWMPETRPVDAPRTRLLTSYKTLFGNSGFNCYLLMLIGGLAGIAAFEACSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLLMWIPDWFGVMNVWTLLIPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGLLIVLCWLPLATRMSHQGQPV ->ARGMiner~~~penA~~~AAP46608~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46608~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTIEDRNGAVLALSAPTESLYAVPKDMEEMPTAAQLEHLSELIDVPVDVLRSKLDQKNKSFIWIKRQLDHKLAEEVEALGLKHFAFQKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHAGEGAEVVLRDREGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAEKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTVLTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~tetR~~~WP_032011965.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_032011965.1~~~tetracycline~~~tetR MSKKEDIINTALDLFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDAEPLERIHLIFNWYIDWINSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPVKKWRYIEYLIKTENS ->ARGMiner~~~penA~~~AAP46622~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46622~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARTYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~penA~~~AAP46614~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46614~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGVEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~tetR~~~ENV25515.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~ENV25515.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIRPLAKVVIASSSDLPVQRLS ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001524598.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001524598.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTTACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEDVIQALNERPHQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~mdtD~~~WP_032261825.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_032261825.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLTIAGLVAVGVVALVLYLLHARNNDRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSGTTQTVFMYTWLSMAFIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~emrE~~~NC_008702.1.4608023.p01~~~multidrug emrE +>ARGMiner~~~emrE~~~NC_008702.1.4608023.p01~~~multidrug~~~emrE MKWLILVLGILSNASASVLVKYAMLPPRRFPSLADPMAALSNWPFWLGLALYGGAFLLYAAALARLPLNVAHPVLTSGAVATVALFSVVLFSEPFHWTTAAGVVLVIAGVFLITFRVA ->ARGMiner~~~PBP-2X~~~CAO91629~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91629~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAIIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITKDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGGMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEVVGNPVSKDAASLTRTNMILVGTDPVYGTMYNHSTGKPTVNVPGQNVALKSGTAEIADEKNGGYLVGSTNNIFSVVAMNPAEDPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKESLNLQSPAKNLDKVTTESSYAMPSIKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~tetR~~~WP_032036329.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_032036329.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIRNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTEDP ->ARGMiner~~~penA~~~AAM97212.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97212.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGLPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~mdtL~~~ADX02925.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~ADX02925.1~~~multidrug~~~mdtL MAASSAPTPLYRLYQQLWQFSPVTLTLIFATYAFTLLGSLLIIGSLSDYIGRRPVIIAAISLQIISMSFFLFASDVSMLFIARGLQGIATGLAVSAIGAAILDFSKLHGSLINSIAPMIGMAVGIFLTCSILQFSAHPLQLVFEFLCFLLICELILSFLTPETAQRRSGALASLKPNMAIPPQTKSALLSISPINIALWMVSGFFLSLMPSLLAKIFHTSSAWLNGIMFMALALSGAVGILTLRKSTNFRILLTGTLSIAIGAIVLFIAINLTNAVVLFLGSIITGVGFGTAFMGAIRSVMPLALPEERAGLMAAFFVESYLAFSIPAILAGYFVGKIGLMSTANSYISFIILLSLVALLMIIKNFKNK ->ARGMiner~~~penA~~~AAP46581~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46581~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVIIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~penA~~~AAM97231.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97231.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSEEMYDLYHSLGIGVRMHSGFPGESAGVLRDWRKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~kasugamycin_resistance_protein_ksgA~~~YP_001461223~~~kasugamycin kasugamycin_resistance_protein_ksgA +>ARGMiner~~~kasugamycin_resistance_protein_ksgA~~~YP_001461223~~~kasugamycin~~~kasugamycin_resistance_protein_ksgA MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDKLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTFNFGELAEKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPHPVKDVRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTGMGIDPAMRAENISVAQYCQMANYLAENAPLQES ->ARGMiner~~~vanS~~~AY082011.1.gene2.p1~~~vancomycin vanS +>ARGMiner~~~vanS~~~AY082011.1.gene2.p1~~~vancomycin~~~vanS MELDTNNHSVFLLYYHLVLVTKYRRQVIDDEISDYAKTTFERISESYHITLVEWNHDKDHVHIMFKAQPKTELTKFINAYKSASSRLIKRDFPRVKQFLWKEMFWSKSFCLLTTGGAPIDVIKKYIQNQGNNHK ->ARGMiner~~~emrE~~~EQM19505.1~~~multidrug emrE +>ARGMiner~~~emrE~~~EQM19505.1~~~multidrug~~~emrE MCAIFAEVVATSLLKSTEGFTRLWPTVGCLVGYGIAFALLALSISHGMQTDVAYALWSAIGTAAIVLVAVLFLGSPISVMKVVGVGLIVVGVVTLNLAGAH ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_047624280.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_047624280.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMILLDELTYMVAYDYLPLEEVLQALNERPHQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~tetC~~~WP_053110392.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~WP_053110392.1~~~tetracycline~~~tetC MENKNHQQENFKSTYQSLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLKNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~PBP-2X~~~YP_002737588~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~YP_002737588~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGVTDYIFSAVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETFAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~PBP-1B~~~P57296~~~beta-lactam PBP-1B +>ARGMiner~~~PBP-1B~~~P57296~~~beta-lactam~~~PBP-1B MFFNFKKYFLIKVFFFVLILTLCYGLYLYVKINRFINGKVWNFPTSIYGRIVNLEPGNSYSQKEVLHLLKSTMYRKVDLVMLPGEYSIKNNTIEFIRRAFDFPDIREDEFHARLYFNKDTLVKIKNIDNNHDFSFFRLEPKLIAMLKSPEAKKRMFIPRNQYPEMLVKTLLAIEDKYFYEHDGIHLSSIGRAFLVNLMAGRTIQGGSTLTQQLIKNLFLTNTRSILRKINEIYMALILDRFYTKDRILELYLNEVYLGQDGDEQIRGFPLASIYYFGRPINELNLEQYALLVGMVKGASLYSPWTNPNLALKRRNLVLFLLYKQKYITRKIYKDLCKRSLNVQPKGNIISSHPSFIQLVCEEFHKKIYNPIKNFPGTKIFTTLDYTSQNAVEQAVKIEIPILKRKKRLKDLEVAMIVIDRFTGEVQALIGSSKPEFNGYNRALKTRRSIGSLSKPITYLTALSQPEKYHLNTWISNYPLSIKLDSGQYWTPKNNNFSFSKKVLLLDALIHSINIPTVNLSINIGLKKLVDSWLLLGISKKYITPLPSISLGAINLTPFEIAQVFQIIGSGGYKSSLSSVRSIISDDGKVLYQNLPQSIHIESSEASYLTLYGMQQVVKSGTAKSLGTIFKEFSLAGKTGTTNNLVDNWFVGIDGKQIVITWIGRDNNHTTRLYSSSGAMQIYKRYLQYQRPVPLVLKAPNNINMFYINNLGELFCKKNNQHNRMLPIWSIKNKKICNDKLSERFSIKKKKNFLFWLKNLF ->ARGMiner~~~tetR~~~WP_038342686.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_038342686.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSVDDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINPEETWSYINKLIELETKQKYEAA ->ARGMiner~~~tetR~~~WP_000037161.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000037161.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKAPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENL ->ARGMiner~~~mdtL~~~EIQ79610.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~EIQ79610.1~~~multidrug~~~mdtL MTRFLICSFALVLLYPAGIDMYLVGLPRIAADLQANEAQLHIAFSVYLAGMATAMLFAGKIADQSGRKPVAIVGALIFIVASSLCSFAESGTPFLVGRFIQGVGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIVPVLAPVMGHLIMLKYPWQSLFYTMMGMGVAVCLLSVFVLRESRPATLSTSTQSDHRAESLVNRFFLSRLAITTLSVSVILTFVNTSPVLLMEVMGFDRGEYATTMAMTAGISMTVSFSTPFALSIFKPRILMLTSQVLFLAAGIVLILASTHAVTLFGLTLICAGFSVGFGVAMSQALGPFSLRAGVASSALGIAQVCGSSLWIWLAAILGLDALNMLIGILIGCSIVSIMLIITVAPNRSTPTHEEIPQQSRS ->ARGMiner~~~PBP-2X~~~YP_002741884~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~YP_002741884~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGSGSKFGTDLVKEAKKVHQITRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDKKYKSATGKILYVEDAQFNKVAEVFHKYLDMDEAYVKEQLSQPNLTQVSFGAKGNGITYANMMAIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGKDGIITYEKDRLGNIVPGTEQVSQQTVDGKDVYTTLSSPLQSFMETQMDAFLEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITEDFVWRDILYQSNYEPGSAMKVMTLASSIDNNTFPSGEYFNSSEFKIADATTRDWDVNDGLTTGGMMTFLQGFAHSSNVGMSLLEQKMGDATWLDYLKRFKFGVPTRFGLTDEYAGQLPADNIVSIAQSSFGQGISVTQTQMLRAFTAIANDGVMLEPKFISAIYDTNNQSVRKSQKEIVGNPVSKEAASTTRNHMILVGTDPLYGTMYNHYTGKPIITVPGQNVAVKSGTAQIADEKNGGYLVGSTNYIFSVVTMNPAENPDFILYVTVQQPEHYSGIQLGEFATPILERASAMKESLNLQSPAKNLDKVTTESSYAMPSIKDISPGELAEALRRNIVQPIVVGTGTKIKETSVEEGTNLAPNQQVLLLSDKVEEIPDMYGWKKETAETFAKWLDIELEFEGSGSVVQKQDVRTNTAIKNIKKIKLTLGD ->ARGMiner~~~penA~~~P0A0U8~~~beta-lactam penA +>ARGMiner~~~penA~~~P0A0U8~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~PBP-2X~~~AAF17269~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~AAF17269~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQQTVDGKDVYTTLSSPLQSFMETQMDAFLQKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITEDFVWRDILYQSNYEPGSAFKVMTLASSIDNNTFPSGEYFNSSEFKIADATTRDWDVNAGLTTGGMMTFSQGFAHSSNVGTSLLEQKMGDATWLDYLKRFKFGVPTRFGLTDEYAGQLPADNIVSIAQSSFGQGISVTQTQMLRAFTAIANDGVMLEPKFISAIYDTNNQSGRKSQKEIVGNPVSKEAASTTRNHMILVGTDPLYGTMYNHYTGKPIITVPGQNVAVKSGTAQIADEKNGGYLVGSTNYIFSVVTMNPAENPDFILYVTVQQPEHFSGIQLGEFATPILERASAMKESLNLQSPAKNLDKVTTESSYAMPSIKDISPGELAEALRRNIVQPIVVGTGTKIKETSVEEGTNLAPNQQVLLLSDKVEEIPDMYGWKKETAETFAKWLDIELEFEGSGSVVQKQDVRTNTAIKNIKKIKLTLGD ->ARGMiner~~~penA~~~AAP46621~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46621~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNGRLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~penA~~~AAP46609~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46609~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKDMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQSDSEQRRNRAVTDMIEPGSAIKPFVIAKALDADKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTVLTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~marR~~~WP_038808830.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_038808830.1~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNINNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQSDGRITRVYLTERGTEMINKINDLTSVVLEQSFEGITPLQLEKMMESLKLLLKNLSR ->ARGMiner~~~mdtL~~~WP_000819625.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~WP_000819625.1~~~multidrug~~~mdtL MKRFLLCSFALVLLYPAGIDMYLVGLPRIAVDLNASEAQLHIAFSVYLAGMATAMLFAGKIADQSGRKPVAIVGALVFMMASLLCSRASEGSLFLSGRFLQGVGAGGCYVVAFAILRDTLDEHRRAKVLSLLNGITCIVPVLAPVMGHLIMLRFPWQSLFYTMSAMGIIVGLLSLFILRETRPVRLAPRDLSRSSPAAESLINRFFVSRLAITTLSVSVILTFVNASPVLLMEVMGFSRGDYAITMALTAGVSMVVSFSTPFALGLFKPRTLMLVSQGLFLTAGVTLSLAHTNTVTLFGLTLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAILGISAMNMLIGILIGCSIVSILLIFSVTPNRSVAEHEEIPYQSRP ->ARGMiner~~~rifampin_resistance_protein~~~NP_536537~~~rifamycin rifampin_resistance_protein +>ARGMiner~~~rifampin_resistance_protein~~~NP_536537~~~rifamycin~~~rifampin_resistance_protein MNNTIINSLIGGDDFIKRSNVFAVDSQIPTLYMPQYISLSGVMTNDGPDNQAIASFEIRDQYITALNHLVLSLELPEVKGMGRFGYVPYVGYKCINHVSVSSCNGVIWEIDGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYSQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGVVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTVFAHISHTINIIDTSIPVSLWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~ompF~~~WP_014907218.1~~~multidrug ompF +>ARGMiner~~~ompF~~~WP_014907218.1~~~multidrug~~~ompF MRRILTTLMILLAVIVAGLTSLVLLVNPNDFRAYMVHEVAERSGYQLDLDGPLRWHVWPQLSILSGRMTLTARGAEEPVIRADNMRLDVALLPLLSHQLQVKEVMLKGAVIQLTPKTEAVRDSSAPVVPHDNTLPLAPEDRGWSYDVRQLQVADSVLFFQHENGEQVTVRDIRLQMEQDENHRATVDFSGRVNRDQRDLALNFSATVQGGDYPHSLKADFTQLSWQLRGAELPPDGINGQGSLQASWQEDDKTLRFDNLNLMANRSTVTGSGSVVLGDRPDWSLDLHATTLDLDSLLAQRSPATDSSASQQGQSQTRPMRPVIADSDEREDYQSLRGFNGRMALSADQLQWRGLNFTQVQSEISNQQGLLTVSKMQGNLDGGQLSLPGTLDARGDTPLATFQPALQNVEIGSLIKAFNYSLNLTGKLSLSGEFSGTRIDADDFRRHWQGQAQLQMADTRTEGLNFQQLVQQAVERSTNVRAQENYDNATRLDSVSSRLTLDNGLVTLNRLQGQSDVMAMTGEGQLDLQKENCDMRFNVRVLGGWKGEGKLIDRLKQTAIPLRIYGEWQSLSYSLQVDQILRKQLQDEAKQRLNDWVERNKGSKDGNDAKKLLDKL ->ARGMiner~~~tetC~~~WP_032166642.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~WP_032166642.1~~~tetracycline~~~tetC MENKNHQQENFKSTYQSLVNSARILFVEKGYQTVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~tetR~~~WP_032051997.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_032051997.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSADDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLDLEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKYEAA ->ARGMiner~~~tetR~~~WP_032065245.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_032065245.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSVDDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYNLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKYEAA ->ARGMiner~~~tetR~~~WP_057052814.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_057052814.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWINSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIRPLAKVKTTSI ->ARGMiner~~~penA~~~AAM97244.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97244.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPAPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSEEMYDLYHSLGIGVRMHSGFPGESAGVLRNWRKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGILLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~tetC~~~WP_040132379.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~WP_040132379.1~~~tetracycline~~~tetC MENKNHQQENFKSTYQSLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKVQFIAIYRGFLLSLKDK ->ARGMiner~~~tetA~~~WP_005323423.1~~~tetracycline tetA +>ARGMiner~~~tetA~~~WP_005323423.1~~~tetracycline~~~tetA MKTYSWFVPPAPPADDPARLHPARWSSGNRVVRDMVGAYPGVLVLHILSYLIGTGISAFVPVVVGMIVDGLVGEEKFNAWWLFAVLVGIFIIQFIGEATGDGLATASVRRVTHNAQQHLSSGVLRRGAGAMSPGTVLNTIDADANTVGRYRELLSFPLMAIGYAAGAMVAMWSVSPWISLAIPASALIIALFAAWTAGPVTRVSLKRRAAEADVAGLATDASQGIRTVKGLGAGATVAERFHSETAKAKRLMLTHLRVEVWLGFARFCVAWLCNLAIVGLSAWMTLRGEITPGQLTSVALLVPPALDMAGFAFGDLASGWGRAVASGQRIEQLHHAGDDAAGPEPTDTPVPGAGLWILEPAERSYATAAAWAQRADVLFPPHTVNVFEGTIADNVNPRGDVPEDVVKQALAAAHCQDILRRLGGIDAGGELPDAPLGEAGLNLSGGQRQRVALARALAADSDVLILDDPTTGLDSVTQADVVAAVAALRADKTTVVITGNAAWQHAGTELEVA ->ARGMiner~~~tetC~~~EGX24263.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~EGX24263.1~~~tetracycline~~~tetC MENKNHQQENFKSTYQSLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKLALNTLLVR ->ARGMiner~~~marR~~~WP_031996329.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_031996329.1~~~multidrug~~~marR MTKKYALFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQSDGRITRVYLTERGTEMINKINDLTSVVLEQSFEGITPLQLEKMMESLKLLLKNLSR ->ARGMiner~~~PBP-2X~~~CAO91622~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91622~~~beta-lactam~~~PBP-2X MKWTKKVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGSKGNGITYANMMAIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGKDGIITYEKDRLGNIVPGTEQVSQQTVDGKDVYTTISSTLQSFMETQMNAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGLTKDFVWRDILYQSNYEPGSTMKVMTLAAAIDNNTFPGGEVFNSSELKVADATIRDWDVNEGLTGGGMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGIPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFITALYDPNNQSVRKSQKEIVGNPVSKDAASQTRTHMVLVGTDPRYGTMYNHSTGKATVNVPGQNVALKSGTAEIADEKNGGYLVGSTNNIFSVVAMNPAENPDFILYVTVQQPEHYSGIQLGEFANPILERAVAMKDSLNLQSTAKTLDQVTNQSAYAMPSIKDISPGDLAEALRRNIVQPIVVGTGTKIKESSVEEGSNLAPNQQVLLLSDKAEEVPDMYGWTKATAEAFSKWLNIELVFEGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~major_facilitator_superfamily_transporter~~~NC_008702.1.4606267.p01~~~multidrug major_facilitator_superfamily_transporter +>ARGMiner~~~major_facilitator_superfamily_transporter~~~NC_008702.1.4606267.p01~~~multidrug~~~major_facilitator_superfamily_transporter MAACAIAGSMICCAALAQTTAPRVGLARALDAAWQRTQAGTEAQVALARAEAEQAAADSLLPEPPALELSHRSDRWHDNKGARENEVGLALPLWLPGQRSARQAAAEAGQALARSGEDADRLRLAGEVREAAWALAGLDAEAAVAEAQLRYLRELAADVERRVQAGELARTDAIAARAEALAAQAALSEARERRHAAMTRWQTLTGLDAPADPREADTVPDAAGDDGLPATHPGLRLAAQAVEHARRGVEVARTDRAAPPELTLSYRREVGESGAAAERSINVGLRVPFGTDARNRPLAAAAQGELAQAQVAELRLRQQLAGDLLVARGALQAAQAQAEAARERAGLLRERAQLIDKAFRAGEAALPELLLAASAATQGEAGRARQDAALGLARARLQQVSGILP ->ARGMiner~~~penA~~~BAB86942~~~beta-lactam penA +>ARGMiner~~~penA~~~BAB86942~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAMAVLFACLIARGLYLQTVTYNFLKEQGDNRIVRTQALPATRGTVSDRNVAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFAFEKELKRHYPMGSLFAHVIGFTDIDGKGQEGLELSLEDSLHAGEGAEVVLRDREGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGSATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQKIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYSGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~mdtD~~~WP_000130901.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_000130901.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLSVGMAVLTLALDGSKGTGLSPLAITGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSSTTQTVFMYTWLSMAFIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~tetB~~~WP_012359540.1~~~tetracycline tetB +>ARGMiner~~~tetB~~~WP_012359540.1~~~tetracycline~~~tetB MAREYERTDAVAPASVKETFAYLSALPNALDKRWWAGLLIIQALIVAVYTTQSNLFGRSVDPLTGGSVPLLGTGTRAFVLTVGLALVCMLVEIFLRALGNYVVGLKVARASIDLRHRCLDAILRAPVPRVMELGTGNVITRMTKDIDDVVQTITAIGSRVLTTVFVFPITFIGLLLIDVRFALILLLICICTYPFARAVVRAIPDASNAVSVAEARRNAVLLDTVRGLPTLRAFDLERWALARMRRTSWGAVEAEMDRVPWFIRLTGIGQVAFAAWVLLTLGVGAWLATAGAVSPGQASAAVFMVIRAEVTVFNALFFVGELQSAATAVGRAVSLAKLADGREATAVPEDLAEPVDVEVDHVSFAYPGGANVLEDLSVTLAAGTTTALVGTSGAGKSTLAALIAGLVEPTSGCIRVGEVDTSQVSDTWTAKNVTLLTQDVHLFAGTLREDLSMAAQDATDADLLRALASVGLDPDGTQFARLFPKGLDTAVGAGAEDIPPEVEQQLALARVALSGPKVLILDEATAEAGSDATNALEDAAARITADTTALVVAHRLDQAAAADRILVMDAGRIIEDGTHTELVAADGRYAQLFAAWSGGGH ->ARGMiner~~~tetR~~~WP_031997486.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_031997486.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSADDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEITPEETWSYINKLIELETKQKYEAA ->ARGMiner~~~ompF~~~WP_001252384.1~~~multidrug ompF +>ARGMiner~~~ompF~~~WP_001252384.1~~~multidrug~~~ompF MRRFLTTLMILLVVLVAGLSALVLLVNPNDFRDYMVKQVAARSGYQLQLDGPLRWHVWPQLSILSGRMSLTAQGATQPLVRADNMRLDVALLPLLSHQLSVKQVMLKGAVIQLTPQTEAVRSEDAPVAPRDNTLPDLSDDRGWSFDISSLKVADSVLVFQHEDDEQVTIRNIRLQMEQDPQHRGSFEFSGRVNRDQRDLTISLNGTVDASDYPHDLTAAIEQINWQLQGADLPKQGIQGQGSFQAQWQESHKRLSFNQISLTANDSTLSGQAQVTLTEKPEWQLRLQFPQLNLDNLIPLNETANGENGAAQQGQSQSTLPRPVISSRIDEPAYQGLQGFTADILLQASNVRWRGMNFTDVATQMTNKSGLLEITQLQGKLNGGQVSLPGTLDATSINPRINFQPRLENVEIGTILKAFNYPISLTGKMSLAGDFSGADIDADAFRHNWQGQAHVEMTDTRMEGMNFQQMIQQAVERNGGDVKAAENFDNVTRLDRFTTDLTLKDGVVTLNDMQGQSPVLALTGEGMLNLADQTCDTQFDIRVVGGWNGESKLIDFLKETPVPLRVYGNWQQLNYSLQVDQLLRKHLQDEAKRRLNDWAERNKDSRNGKDVKKLLEKM ->ARGMiner~~~penA~~~AAP46611~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46611~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGPATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQKIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~mdtE~~~CP001918.1.gene3442.p01~~~multidrug mdtE +>ARGMiner~~~mdtE~~~CP001918.1.gene3442.p01~~~multidrug~~~mdtE MAFGFFMQSLDTTIVNTALPSMAKSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTAGSLCCAMANTLDQLVMARVLQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGILVEYASWHWIFLINLPVGIIGAIATLALMPNYTLQTRRFDVIGFLLLATGMATLTLALDGQKGLGISSLTLAILIVIGMSAILWYLWHARGNDRALFSLTLFKNPTYRLGLFGSFAGRVGSGMLPFMTPVFLQIGMGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRHVLVTATLGLALVSLLFMAVALMGWYYALPLVLFCQGIINSMRFSSMNTLTLKDLPDELASSGNSLLSMIMQLSMSVGVTIAGLLLGMYGQHHLSVDTPVAHQVFLYTYLSMAVIIALPAFIFARVPDDTRKNVVIRRSKRSGS ->ARGMiner~~~marR~~~WP_004696885.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_004696885.1~~~multidrug~~~marR MTKKYSKFLPGSEDFSLESFPFYWVTQVHAQYVLNVDHALKKYGLDNSRRRILLALDSKPHASVSDLSDMVVSKMSTTTKIVYRLKDEGLVETYSCEDDARITRVLLTEKGVEMTNKINDLTSVVLEQSFEGLTPLQIEKMMESLKHVFKNLAR ->ARGMiner~~~PBP-2X~~~BAD00902~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~BAD00902~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGAAQIADEKNGGYLVGLTDYIFSAVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~penA~~~AAP46632~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46632~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAAKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~mdtL~~~WP_001066110.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~WP_001066110.1~~~multidrug~~~mdtL MNNSSSKRSRAVFQLDAASALTLNTITLMTFMAASSAPTPLYRLYQQLWQFSPVTLTLIFATYAFTLLGSLLIIGSLSDYIGRRPVIIAAISLQIISMSFFLFASDVSMLFIARGLQGVATGLAVSAIGAAILDFSKLHGSLINSIAPMIGMAVGIFLTCSILQFSSHPLQLVFEFLCFLLICELILSFLTPETAQRRSGALASLKPNMAIPPQTKSALLSISPINIALWMVSGFFLSLMPSLLAKIFHTSSAWLNGIMFMALALSGAVGILTLRKSTNFRILLTSTLSIAIGAIVLFIAINLTNAVVLFLGSIITGVGFGTAFMGAIRSVMPLALPEERAGLMAAFFVESYLAFSIPAILAGYFVGKIGLMSTANSYISFIILLSLVALLMIIKNFKNK ->ARGMiner~~~tetC~~~WP_032213584.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~WP_032213584.1~~~tetracycline~~~tetC MENKNHQQENFKSTYQLLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~marR~~~WP_032060394.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_032060394.1~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQSDGRITRVYLTEHGTEMINKINDLTSVVLEQSFEGITPLQLEKMMESLKLLLKNLSR ->ARGMiner~~~tetR~~~WP_032030955.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_032030955.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWINSKNFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPNPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278896.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278896.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDLVLLDELTYMVAYDYLPLEEVLQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~marR~~~WP_039244640.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_039244640.1~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQSDGRITRVYLTERGTEMINKINNLTSVVLEQSFEGITPLQLEKMMESLKLLLKNLSR ->ARGMiner~~~tetR~~~WP_031963687.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_031963687.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWHIDWINSKNFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKNLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~tetR~~~WP_049081810.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_049081810.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSVNFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~tetR~~~WP_002053226.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_002053226.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESNVAKMTFYKYFSSKEVLIEECLQRRILEVQASLLEKVNRAHDPLNKLKNVFNWYIDWINTEDFYGCLFKKATIEVLQMYPSIKSQVNQYRQWIYDLVVSMFLELEIEDPTVLSSLFLNIIDGLIIDGTINKPEINAERTWSYINKLIELETTQSCAAA ->ARGMiner~~~bleomycin_resistance_protein~~~WP_064732565.1~~~bleomycin bleomycin_resistance_protein +>ARGMiner~~~bleomycin_resistance_protein~~~WP_064732565.1~~~bleomycin~~~bleomycin_resistance_protein MRQKAASSLTLQQCLKKSIGFYCDKLGFTLVHHEDGFAVLMCNEVRIHLWEASDEGWRSRSNDSPVCTGAESFIAGTASCRIEVEGIDELYQHIKPLGILHPNTSLKDQWWDERDFAVIDPDNNLISFFQQIKS ->ARGMiner~~~tetC~~~KMI73996.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~KMI73996.1~~~tetracycline~~~tetC MTKGAFYHHFKNKKQLLSACYKQQLIMIDVYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~PBP-2X~~~AAF17270~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~AAF17270~~~beta-lactam~~~PBP-2X MKWTKKVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRIVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMAIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQQTVDGKDVYTTLSSPLQSFMETQMDAFLEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITEDFVWRDILYQSNYEPGSAMKVMTLASSIDNNTFPSGEYFNSSEFKIADATTRDWDVNDGLTTGGMMTFLQGFAHSSNVGMSLLEQKMGDATWLDYLKRFKFGVPTRFGLTDEYAGQLPADNIVSIAQSSFGQGISVTQTQMLRAFTAIANDGVMLEPKFISAIYDTNNQSVRKSQKEIVGNPVSKEAASTTRNHMILVGTDPLYGTMYNHYTGKPIITVPGQNVAVKSGTAQIADEKNGGYLVGSTNYIFSVVTMNPAENPDFILYVTVQQPEHYSGIQLGEFATPILERASAMKESLNLQSPAKNLDKVTTESSYAMPSIKDISPGEVAEALRRNIVQPIVVGTGTKIKETSVEEGTNLAPNQQVLLLSDKVEEIPDMYGWKKETAETFAKWLDIELEFEGSGSVVQKQDVRTNTAIKNIKKIKLTLGD ->ARGMiner~~~tetR~~~WP_064911753.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_064911753.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIADSNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIDLLRKSLLQVHPIYQCSD ->ARGMiner~~~tetR~~~WP_029424398.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_029424398.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNTTGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWINSKNFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIGKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001413069.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001413069.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVALAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~penA~~~AAM97233.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97233.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~penA~~~AAM97230.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97230.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARTYTVLTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~ompF~~~WP_001252356.1~~~multidrug ompF +>ARGMiner~~~ompF~~~WP_001252356.1~~~multidrug~~~ompF MRRFLTTLMILLVVLVAGLSALVLLVNPNDFRDYMVKQVAARSGYQLQLDGPLRWHVWPQLSILSGRMSLTAQGASQPLVRADNMRLDVALLPLLSHQLSVKQVMLKGAVIQLTPQTEAVRSEDAPVAPRDNTLPDLSDDRGWSFDISSLKVADSVLVFQHEDDEQVTIRNIRLQMEQDPQHRGSFEFSGRVNRDQRDLTISLNGTVDASDYPHDLTAAIEQINWQLQGADLPKQGIQGQGSFQVQWQESHKRLSFNQISLTANDSTLSGQAQVTLTEKPEWQLRLQFPQLNLDNLIPLNETANGENGAAQQGQSQSTLPRPVISSRIDEPAYQGLQGFTADILLQASNVRWRGMNFTDVATQMTNKSGLLEITQLQGKLNGGQVSLPGTLDATSINPRINFQPRLENVEIGTILKAFNYPISLTGKMSLAGDFSGADIDADAFRHNWQGQAHVEMTDTRMEGMNFQQMIQQAVERNGGDVKAAENFDNVTRLDRFTTDLTLKDGVVTLNDMQGQSPVLALTGEGMLNLADQTCDTQFDIRVVGGWNGESKLIDFLKETPVPLRVYGNWQQLNYSLQVDQLLRKHLQDEAKRRLNDWAERNKDSRNGKDVKKLLEKM ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_047675817.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_047675817.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLTDSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~mepA~~~WP_061729573.1~~~multidrug mepA +>ARGMiner~~~mepA~~~WP_061729573.1~~~multidrug~~~mepA MAKNMEILETDSVKKIYFRYLIPSLVGMLLMSLNIVIDGIFVGHKLGGVALAGINIAVPVFTIFTAISIWIGIGAATQFSFAIGEKNVAKAQTIFTNAILAVVSITVIIGIIAFIFKVPLAYFLGANDDTIGYVLEYMNILLVFGFALTLENILSIFVRNDGDPNLSMIALIVTAISNVILNYLFLFVFEWGVTGSALATMIAIIIGVLILITHFFKKSSRLKFVKVDWNKAFFKKTLAIGLPSFLAEVGVSVFMLGYNISIAAIAGTAGVAAFSVLNYTHSVILMLFLGMGSAIQPLISYYRGAKARQKEIETLKIAIMVAFSTGVGFLLVGLFGSNLLVSMFGNFSPEIKDLASNGIKLFYTAYLFMGFNFVMMTYFQTSDKVKMATWITISREIIFMVIFLLVLPPIIGIPGVWLAIPISEMIVAASIIFYMKKKHILFK ->ARGMiner~~~emrD~~~CP004022.1.gene3225.p01~~~multidrug emrD +>ARGMiner~~~emrD~~~CP004022.1.gene3225.p01~~~multidrug~~~emrD MRKLEHANLLLLIIALVAVGQMTQTIYVPVIADMAVYFGEPTGAVQQVMGAYLFSYGFSQLIYGPISDRVGRRPVILVGMSIFCLATIVAIFSQNLTTLVIASTLQGMGTGVAGVMTRTQPRDLYTGTALRYANSLLNMGVLVSPLLAPMIGGVVAHFFGWHACYIFLLLLGGSVLFSMYHWMPETRPVQAERRSMLSSFYLLLSNSTFSAFLIMLICALSGIAVFEASSGVLMGGVLGLNSITISILFILPIPAAFFGAWYAGREGKTFVQLMWHSVFCCVAAGILMWLPGWLNIINIWTLLVPAALFFFGAGMLFPLATTGAMEPFPYLAGAAGALVGGLQNVGSGIATWFSALLPQHNQFSLGMIMFIMSVAIMLCWIPLSHRFSHQQNTV ->ARGMiner~~~two-component_system_response_regulator_EvgA~~~CP001918.1.gene4502.p01~~~unclassified two-component_system_response_regulator_EvgA +>ARGMiner~~~two-component_system_response_regulator_EvgA~~~CP001918.1.gene4502.p01~~~unclassified~~~two-component_system_response_regulator_EvgA MTKILLVDDHPAICFALKVLLEKNPDFTVTTSSGENLLALLHREQPSLLILDLELKNADGLDLLPRIKHHFPALRVLVYTNQSAGIYALRTLKAGASGFINKSLALERVEPVCQLLLDGYHCFPEETFTKIVEATEIQHDTRALLASFSDREIAVLNYLKQGKSNKEIADRLALSNKTISTYKARMMKKSGFAHFEQLFDLIDTSEPET ->ARGMiner~~~tet34~~~Q8DF90~~~tetracycline tet34 +>ARGMiner~~~tet34~~~Q8DF90~~~tetracycline~~~tet34 MSNKFVITWDAMQTYCRQLAEKQMPADQWKGIWAVSRGGLVPGAILARELGIRYVDTICISSYDHDHQRDMTVLKAPEGDGEGYLIVEDLVDSGDTARKLREMYPKAKLIAVCAKPSGKELLDDYVVDIAQDTWIEQPWDMALAYAEPVNRKQK ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_049595194.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_049595194.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEDVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~tetracycline_resistance_protein~~~ACT97499.1~~~tetracycline tetracycline_resistance_protein +>ARGMiner~~~tetracycline_resistance_protein~~~ACT97499.1~~~tetracycline~~~tetracycline_resistance_protein MFLRLSRPHHHDTINILTVCYFKSIMENKNHQQENFKSTYQSLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQPINYD ->ARGMiner~~~tetR~~~EGK48047.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~EGK48047.1~~~tetracycline~~~tetR MTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSADDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKYEAA ->ARGMiner~~~penA~~~AAP46585~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46585~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLVYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~penA~~~AAP46597~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46597~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAMAVLFAGLIARGLYLQTATYKFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKDMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQIDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDNLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDADKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSEEMYDLYHSLGIGVRMHSGFPGESAGVLRDWRKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~tetR~~~WP_005076373.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_005076373.1~~~tetracycline~~~tetR MSKKEDIINTALNLFNQIGYNATGVDRIIAESNVAKMTFYKYFPSKENLIMECLQHRNINIQNSINEQLSLHQDASPLEQIHIIFNWYIEWINSETFNGCLFKKAFIEVSKQYTSIREPFYEYTKWLTNLLHEKLTQLGIENPTPLVHIIISIIDGMIIDGTTDKNLINPEKIWKYIEYLINEEIPQPVS ->ARGMiner~~~tetC~~~EUM37844.1~~~tetracycline tetC +>ARGMiner~~~tetC~~~EUM37844.1~~~tetracycline~~~tetC MTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKPDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDDDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~PBP-2X~~~CAO91621~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91621~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQITRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDKKYKSATGKILYVEDAQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGSKGNGITYANMMAIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGKDGIITYEKDRLGNIVPGTEQVSQQTVDGKDVYTTLSSPLQSFMETQMDAFLEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITEDFVWRDILYQSNYEPGSAMKVMTLASSIDNNTFPSGEYFNSSEFKIADATTRDWDVNEGLTTGGMMTFLQGFAHSSNVGMSLLEQKMGDATWLDYLKRFKFGVPTRFGLTDEYAGQLPADNIVSIAQSSFGQGISVTQTQMLRAFTAIANDGVMLEPKFISAIYDTNNQSVRKSQKEIVGNPVSKEAASTTRNHMILVGTDPLYGTMYNHYTGKPIITVPGQNVAVKSGTAQIADEKNGGYLVGSTNYIFSAVTMNPAENPDFILYVTVQQPEHYSGIQLGEFATPILERASAMKESLNLQSPAKNLDKVTTESSYAMPSIKDISPGELAEALRRNIVQPIVVGTGTKIKETSVEEGTNLAPNQQVLLLSDKVEEIPDMYGWKKETAETFAKWLDIELEFEGSGSVVQKQDVRTNTAIKNIKKIKLTLGD ->ARGMiner~~~tetR~~~WP_031987319.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_031987319.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278904.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278904.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~tetR~~~WP_002047279.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_002047279.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWINSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~PBP-1A~~~AAC22099~~~beta-lactam PBP-1A +>ARGMiner~~~PBP-1A~~~AAC22099~~~beta-lactam~~~PBP-1A MRIAKLILNTLLTLCILGLVAGGMLYFHLKSELPSVETLKTVELQQPMQIYTADGKLIGEVGEQRRIPVKLADVPQRLIDAFLATEDSRFYDHHGLDPIGIARALFVAVSNGGASQGASTITQQLARNFFLTSEKTIIRKAREAVLAVEIENTLNKQEILELYLNKIFLGYRSYGVAAAAQTYFGKSLNELTLSEMAIIAGLPKAPSTMNPLYSLKRSEERRNVVLSRMLDEKYISKEEYDAALKEPIVASYHGAKFEFRADYVTEMVRQEMVRRFGEENAYTSGYKVFTTVLSKDQAEAQKAVRNNLIDYDMRHGYRGGAPLWQKNEAAWDNDRIVGFLRKLPDSEPFIPAAVIGIVKGGADILLASGEKMTLSTNAMRWTGRSNPVKVGEQIWIHQRANGEWQLGQIPAANSALVSLNSDNGAIEAVVGGFSYEQSKFNRATQSLVQVGSSIKPFIYAAALEKGLTLSSVLQDSPISIQKPGQKMWQPKNSPDRYDGPMRLRVGLGQSKNIIAIRAIQTAGIDFTAEFLQRFGFKRDQYFASEALALGAASFTPLEMARAYAVFDNGGFLIEPYIIEKIQDNTGKDLFIANPKIACIECNDIPVIYGETKDKINGFANIPLGENALKPTDDSTNGEELDQQPETVPELPELQSNMTALKEDAIDLMAAAKNASSKIEYAPRVISGELAFLIRSALNTAIYGEQGLDWKGTSWRIAQSIKRSDIGGKTGTTNSSKVAWYAGFGANLVTTTYVGFDDNKRVLGRGEAGAKTAMPAWITYMKTALSDKPERKLSLPPKIVEKNIDTLTGLLSPNGGRKEYFIAGTEPTRTYLSEMQERGYYVPTELQQRLNNEGNTPATQPQELF ->ARGMiner~~~tetR~~~WP_024433162.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_024433162.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLQRRILEVQASLLEKVNSTHDPLNKLKSVFNWYMDWINTEDFNGCLFKKATIEVLQMYPSIKTQVNEYRYWIFNLVMSIFLDLEIEDPKVLSSLFLNIIDGLIIDGTINKPEINAEETWSYINKLIELETRQSYAAA ->ARGMiner~~~mdtL~~~WP_023215954.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~WP_023215954.1~~~multidrug~~~mdtL MKRFLLCSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMATAMLFAGKIADQSGRKPVAIVGALVFMMASLLCSRASEGSLFLSGRFLQGVGAGGCYVVAFAILRDTLDEHRRAKVLSLLNGITCIVPVLAPVVGHLIMLRFPWQSLFYTMSSMGIIVGLLSLFILRETRPARLAPRDLSRSSPAAESLVNRFFVSRLAITTLSVSVILTFVNASPVLLMEVMGFSRGDYAITMALTAGVSMVVSFSTPFALGLFKPRTLMLVSQGLFLTAGVTLSLAHTNTVTLFGLTLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAILGISAMNMLIGILIGCSIVSILLIFSVTPNRSVAEHEEIPYQSRP ->ARGMiner~~~emrD~~~YP_002808057~~~multidrug emrD +>ARGMiner~~~emrD~~~YP_002808057~~~multidrug~~~emrD MIMKRQRNVNLLLMLVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLVAVTTSSLTVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLVLCAGVTFSMARWMPETRPVDAPRTRLLTSYKTLFGNSGFNCYLLMLIGGLAGIAAFEACSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLLMWIPDWFGVMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGLLIVLCWLPLATRMSHQGQPV ->ARGMiner~~~PBP-2X~~~AAF17263~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~AAF17263~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQXNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGVTDYIFSAVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKVEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~PBP-2X~~~ABJ55333~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~ABJ55333~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGLTDYIFSAVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~tetR~~~WP_032068544.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_032068544.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIRKPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~penA~~~WP_002260379.1~~~beta-lactam penA +>ARGMiner~~~penA~~~WP_002260379.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~tetR~~~WP_000037173.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000037173.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLNHRNINIQNSIYEKLSLHPAVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~penA~~~AAP46617~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46617~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHAGEGAEVVLRDREGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAIKPFVIAKALDADKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~streptothricin_acetyltransferase~~~WP_030762986.1~~~aminoglycoside streptothricin_acetyltransferase +>ARGMiner~~~streptothricin_acetyltransferase~~~WP_030762986.1~~~aminoglycoside~~~streptothricin_acetyltransferase MTAITDTTYEYRLARPEDTEAIEALDGSFSTSTVFEVAVTGHGFALREVPVDPPLVKVFPADGGDDDGDGAETEGEDADSRTFVAVGAAGALVGFAAVSYSPWNRRLTIEDIEVAAGHRGRGIGRALMGYATDFARQRGAGHLWLEVTNVNAPAIHAYRRMGFSLCGLDTALYLGTESEGEQALYMSMPCP ->ARGMiner~~~bleomycin_resistance_protein~~~WP_063102497.1~~~bleomycin bleomycin_resistance_protein +>ARGMiner~~~bleomycin_resistance_protein~~~WP_063102497.1~~~bleomycin~~~bleomycin_resistance_protein MPALPVGDIKKSIGFYCDKLGFTLVHHEDGFAVLMCNEVRIHLWEASDEGWRSRSNDSPVCTGAESFIAGTASCRIEVEGIDELYQHIKPLGILHPNTSLKDQWWDERDFAVIDPDNNLISFFQQIKS ->ARGMiner~~~penA~~~AAP46591~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46591~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGWADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~PBP-2X~~~CAO91615~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91615~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMTLAAAIDNNTFPGGEVFNSSELKVADATIRDWDVNEGLTGGGMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAEIADEKNGGYLVGSTNNIFSVVAMNPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~tetR~~~WP_046879754.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_046879754.1~~~tetracycline~~~tetR MGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~tetR~~~WP_038343554.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_038343554.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSKDFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSFLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~tetR~~~WP_062936877.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_062936877.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHYRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWINSKNFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPNPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENPYYF ->ARGMiner~~~tetR~~~WP_057061108.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_057061108.1~~~tetracycline~~~tetR MINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWINSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~streptothricin_acetyltransferase~~~WP_042818315.1~~~aminoglycoside streptothricin_acetyltransferase +>ARGMiner~~~streptothricin_acetyltransferase~~~WP_042818315.1~~~aminoglycoside~~~streptothricin_acetyltransferase MTTTHGSTYEFRSARPEDAEAIEGLDGSFTTRTVFEVDVTGDGFALREVPVDPPLVKVFPDDGGGDGEDGAEGEDADSRTFVAVGAGGDLAGFAAVSYSAWNQRLTIEDIEVAPGHRGKGIGRALMRHAADFARERGAGHLWLEVTNVNAPAIHAYRRMGFAFCGLDTALYQGTESEGEHALYMSMPCP ->ARGMiner~~~penA~~~AAM97216.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97216.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~penA~~~AAM97251.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97251.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTNIDGKGQEGLELSREDSLRGEDGAKVVLRDNKGNIVDSLDSPRNSVPKNGQDMILSLDQRIQTLAYDELNKAVAYHKAKAGAVVVLDAQTGEILALVNSPAYDPNQPGQANSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGPATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~PBP-2X~~~CAO91612~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91612~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMAIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQQTVDGKDVYTTISSPLQSFMETQMDAFLEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITEDFVWRDILYQSNYEPGSAMKVMTLASSIDNNTFPSGEYFNSSEFKIADATTRDWDVNDGLTTGGMMTFLQGFAHSSNVGMSLLEQKMGDATWLDYLKRFKFGVPTRFGLTDEYAGQLPADNIVSIAQSSFGQGISVTQTQMLRAFTAIANDGVMLEPKFISAIYDTNNQSVRKSQKEIVGNPVSKEAASTTRNHMILVGTDPLYGTMYNHYTGKPIITVPGQNVAVKSGTAQIADEKNGGYLVGSTNYIFSVVTMNPAENPDFILYVTVQQPEHYSGIQLGEFATPILERASAMKESLNLQSPAKNLDKVTTESSYAMPSIKDISPGELAEALRRNIVQPIVVGTGTKIKETSVEEGTNLAPNQQVLLLSDKVEEIPDMYGWKKETAETFAKWLDIELEFEGSGSVVQKQDVRTNTAIKNIKKIKLTLGD ->ARGMiner~~~PBP-2X~~~ZP_02722595~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~ZP_02722595~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFLSAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGVTDYIFSAVSMSPAENPDFILHVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKVEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~marR~~~WP_004791909.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_004791909.1~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQTDGRITRVVLTDKGTEMINKINDLTSVVLEQSFEGITPLQLEKMMESLKLLLKNLSR ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_054627812.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_054627812.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDSRVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~marR~~~WP_031972812.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_031972812.1~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVKNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQSDGRITRVYLTERGTEMINKINDLTSVVLEQSFEGITPLQLEKMMESLKLLLKNLSR ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278898.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278898.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEDVIQALNERPHQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~mecI~~~CP000675.2.gene1723.p01~~~beta-lactam mecI +>ARGMiner~~~mecI~~~CP000675.2.gene1723.p01~~~beta-lactam~~~mecI MPAKKNSIAHDRLLTEVELELMNIIWSLDKVTIKEVVSHLPKERPLAYTTVATVLKVLEQKGFLECQKNSYAHVFTPIVTKSDYENTCIDHMVANVFDGEPRALVQRLLLARKLQHDDIQAIEEALKQLNAGEKQPEVM ->ARGMiner~~~ompF~~~WP_001252331.1~~~multidrug ompF +>ARGMiner~~~ompF~~~WP_001252331.1~~~multidrug~~~ompF MRRFLTTLMILLVVLVAGLSALVLLVNPNDFRDYMVKQVAARSGYQLQLDGPLRWHVWPQLSILSGRMSLTAQGASQPLVRADNMRLDVALLPLLSHQLSVKQVMLKGAVIQLTPQTEAVRSEDAPVAPRDNTLPDLSDDRGWSFDISSLKVADSVLVFQHEDDEQVTIRNIRLQMEQDPQHRGSFEFSGRVNRDQRDLTISLNGTVDASDYPHDLTAAIEQINWQLQGADLPKQGIQGQGSFQAQWQESHKRLSFNQISLTANDSTLSGQAQVTLTEKPEWQLRLQFPQLNLDNLIPLNETANGENGAAQQGQSQSTLPRPVISSRIDEPAYQGLQGFTADILLQASNVRWRGMNFTDVATQMTNKSGLLEITQLQGKLNGGQVSLPGTLDATSINPRINFQPRLENVEIGTILKAFNYPISLTGKMSLAGDFSGADIDADAFRHNWQGQAHVEMTDTRMEGMNFQQMIQQAVERNGGDVKAAENFDNVTRLDRFTTDLTLKDGVVTLNDMQGQSPVLALTGEGMLNLADQTCDTQFDIRVVGGWNGESKLIDFLKETPVPLRVYGNWQQLNYSLQVDQLLRKHLQDEAKRRLNDWAERNKDSRNGKDVKKLLEKM ->ARGMiner~~~PBP-2X~~~BAD67448~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~BAD67448~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGLTDYIFSAVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNHQVLILSDKVEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~marR~~~WP_004911447.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_004911447.1~~~multidrug~~~marR MPKKYARFLPTAESFNLEDFPYYWISQVNAQYVQNIDNVLKKYGLDNSRRRILLALNVKPHASVSELSDMVISKMSTTTKIVYRLKDEGYIETYSCKEDGRITRVFLTDKGKEMIVKINDLTSVILEQSFDGLTPLQIEKTMEILKHMFKNLSR ->ARGMiner~~~penA~~~AAM97241.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97241.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSEEMYDLYHSLGIGVRMHSGFPGESAGVLRDWRKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTXRKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVAGQPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~tetR~~~WP_019458097.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_019458097.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGIDKIIAESNVAKMTFYKYFSSKEILIEECLQRRILEVQASLLDKVNSVNNPLNKLKSVFNWYIDWINTEDFNGCLFKKATIEVLQMYPSVKVQVNKYRNWIYNVVFEIFSDLEIEDPKVLSSLFLNIIDGLIIDATVNKPEINPEETWSYINKLIELETTLRCAAA ->ARGMiner~~~tetR~~~WP_000037159.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000037159.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLGLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQVYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~EmrB-QacA_family_major_facilitator_transporter~~~EKN43141.1~~~multidrug EmrB-QacA_family_major_facilitator_transporter +>ARGMiner~~~EmrB-QacA_family_major_facilitator_transporter~~~EKN43141.1~~~multidrug~~~EmrB-QacA_family_major_facilitator_transporter MDNRTKEETNTYKNNWIILAIVVMSPFMACLDSSIVNVALPVMAKELYTSMAGIQQVVTSYLIVISAAILIFGRLGDIKGKTSVFKYGFIIFVLGSFLCGISTTLNFLIFSRIVQAIGAAMTMSTSQGIITHTFPPNERGRALGISGTSVALGTLLGPPLGGLIISVVSWEYIFLINVPIGLVAFIAAMKYLPKDKVKSDQSLDIKGAILFIICIVALFWAMLKGQQIGYNHISIIISFIISLICFIVFIILELKIENPMLDLAIFKNRVFSVNIFSAFVMFIGISCINIIQPFYLQDVLKLSPGKTGLIMMAYPIVLSVIAPISGYLSDKMGSKKLTLAGIVVASIGLFCMAFLNEQSTFLMITLLLSVVALGNGLFQSPNNSLVMSSVEKTKLGIAGGVNALIRNLGFIFGVSISTTILYNRMSYKIGYKVLNYVEGRGDVFIYGMRWVYFIAAVACIFGFLVSLIDKTKLKSNN ->ARGMiner~~~mdtD~~~WP_000130886.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_000130886.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLAITGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSGTTQTVFMYTWLSMAFIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~emrD~~~CP000034.1.gene4157.p01~~~multidrug emrD +>ARGMiner~~~emrD~~~CP000034.1.gene4157.p01~~~multidrug~~~emrD MIMKRHRNVNLLLILVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLVAVTTSSLTVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLVLCAGVTFSMARWMPETRPVDAPRTRLLTSYKTLFGNGGFNCYLLMLIGGLAGIAAFEACSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLLMWIPDWFGVMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGLLIVLCWLPLATRMSHQGQPV ->ARGMiner~~~penA~~~AAP46576~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46576~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHAEEGAEVVLRDREGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGPATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQKIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~emrD~~~NC_002695.1.915420.p01~~~multidrug emrD +>ARGMiner~~~emrD~~~NC_002695.1.915420.p01~~~multidrug~~~emrD MIMKRHRNINLLLMLVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLVAVTTSSLTVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLVLCAGVTFSMARWMPETRPVDAPRTRLLTSYKTLFGNSGFNCYLLMLIGGLAGIAAFEACSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLLMWIPDWFGVMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGLLIVLCWLPLATRMSHQGQPV ->ARGMiner~~~tetR~~~WP_057046685.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_057046685.1~~~tetracycline~~~tetR MNTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWINSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~multidrug_transporter~~~WP_064206686.1~~~multidrug multidrug_transporter +>ARGMiner~~~multidrug_transporter~~~WP_064206686.1~~~multidrug~~~multidrug_transporter MPYLYLVISIITEIIGTSFLKTAEGFTKLWPTLGTLISFGICFYFLSVTMKYLPLNVSYATWAGLGLVLTTIVSVVIFKESVNLISIFSIILIIIGVVLLNVFGSSH ->ARGMiner~~~marR~~~WP_017394084.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_017394084.1~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMVISKMSTTTKIVYRLKDEGLVETYSCQTDGRITRVYLTERGIEMISKINDLTSVVLEQSFEGITPLQLEKMMESLKMLLKNLSR ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~KFI00103.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~KFI00103.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEXHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~tetR~~~WP_017399876.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_017399876.1~~~tetracycline~~~tetR MSKKEDIINTALNLFNQIGYNATGVDRIIAESNVAKMTFYKYFPSKENLIMECLQHRNINIQNSINEQLSLHQDASPLEQIHIIFNWYIEWINSETFNGCLFKKAFIEVSKQYTSIREPFYEYTKWLTNLLHEKLTQLGIENPTPLVHIIISIIDGMIIDGTTDKNLINPEKIWKYIEYLINEEIPQPLS ->ARGMiner~~~PBP-2X~~~AAF17267~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~AAF17267~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRIVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQQTVDGKDVYTTISSTLQSFMETQMDAFLEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITEDFVWRDILYQSNYEPGSAMKVMTLASSIDNNTFPSGEYFNSSEFKIADATTRDWDVNDGLTTGGMMTFLQGFAHSSNVGMSLLEQKMGDATWLDYLKRFKFGVPTRFGLTDEYAGQLPADNIVSIAQSSFGQGISVTQTQMLRAFTAIANDGVMLEPKFISAIYDTNNQSVRKSQKEIVGNPVSKEAASTTRNHMILVGTDPLYGTMYNHYTGKPIITVPGQNVAVKSGTAQIADEKNGGYLVGSTNYIFSVVTMNPAENPDFILYVTVQQPEHYSGIQLGEFATPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKVEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~mdtL~~~CP001138.1.gene4042.p01~~~multidrug mdtL +>ARGMiner~~~mdtL~~~CP001138.1.gene4042.p01~~~multidrug~~~mdtL MKRFLLCSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMATAMLFAGKIADQSGRKPVAIVGALVFMMASLLCSRASEGSLFLSGRFLQGVGAGGCYVVAFAILRDTLDEHRRAKVLSLLNGITCIVPVLAPVVGHLIMLRFPWQSLFYTMSAMGIIVGLLSLFILRETRPARLAPRDLSRSSPAAESLVNRFFVSRLAITTLSVSVILTFVNASPVLLMEVMGFSRGDYAITMALTAGVSMVVSFSTPFALGLFKPRTLMLVSQGLFLTAGVTLSLAHTNTVTLFGLTLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAILGISAMNMLIGILIGCSIVSILLIFSVAPNRSVAEHEEIPYQSRS ->ARGMiner~~~kasugamycin_resistance_protein_ksgA~~~Q8FL96~~~kasugamycin kasugamycin_resistance_protein_ksgA +>ARGMiner~~~kasugamycin_resistance_protein_ksgA~~~Q8FL96~~~kasugamycin~~~kasugamycin_resistance_protein_ksgA MNNRVHQGHLARKRFGQNFLNDQFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDQLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTFNFGELAAKMGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKAYGRLSVMAQYYCNVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPHPVKDVRVLSRITTEAFNQRRKTIRNSLGNLFSVEVLTGMGIDPAMRAENISVAQYCQMANYLAENAPLQES ->ARGMiner~~~mdtD~~~WP_032294244.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_032294244.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLLLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLAIAGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSGTTQTVFMYTWLSIAFIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~PBP-2X~~~EDK70037~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~EDK70037~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGVTDYIFSAVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKVEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~marR~~~WP_050552977.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_050552977.1~~~multidrug~~~marR MIKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQTDGRITRVYLTERGIEMISKINDLTSVVLEQSFEGITPPTVRKNDGKLKNAFKKSIALVIFHNLQNLLDLKLSHEGFLYT ->ARGMiner~~~penA~~~AAP46635~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46635~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPIDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVDPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHIATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278878.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278878.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARMAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDLVLLDELTYMVAYDYLPLEEVLQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_040062280.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_040062280.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHEKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~tetR~~~NC_010410.6001699.p01~~~tetracycline tetR +>ARGMiner~~~tetR~~~NC_010410.6001699.p01~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWINSKNFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENL ->ARGMiner~~~mdtD~~~WP_000130842.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_000130842.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLLLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLAIAGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSGTTQTVFMYTWLSMAFIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~PBP-2X~~~CAO91614~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91614~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMAIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNRILAGTDGIITYEKDRLGNIVPGTEQVFQQTVDGKDVYTTISSTLQSFMETQMNAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGLTKDFVWRDILYQSNYEPGSAMKVMTLAAAIDNNTFPGGEVFNSSELKVADVTIRDWDVNEGLTGGGMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGLTNYIFSAVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETFAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~tetR~~~WP_005069654.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_005069654.1~~~tetracycline~~~tetR MSKKEDIINTALNLFNQIGYNATGVDRIIAESNVAKMTFYKYFPSKENLIMECLQHRNINIQNSINEQLSLHQDASPLEKIHIIFNWYIEWINSETFNGCLFKKAFIEVSKQYTSIREPFYEYTKWLTNLLHEKLNQLGIENPTPLVHIIISIIDGMIIDGTTDKNLINPEKIWKYIEYLINEEIPQPVS ->ARGMiner~~~penA~~~AAM97249~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97249~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTNIDGKGQEGLELSREDSLRGEDGAKVVLRDNKGNIVDSLDSPRNSVPKNGQDMILSLDQRIQTLAYDELNKAVAYHKAKAGTVVVLDAQTGEILALVNSPAYDPNQPGQANSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGPATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQKIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVGTFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVAGSVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278908.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278908.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQSQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADPSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~emrD~~~WP_003023905.1~~~multidrug emrD +>ARGMiner~~~emrD~~~WP_003023905.1~~~multidrug~~~emrD MKRHRSVNLLLMLVLLVAVGQMAQTIYIPAIADMARELNVREGAVQSVMAAYLLTYGLSQLVYGPLSDRVGRRPVILAGMSIFMLATLVAITTHSLTVLIIASAIQGMGTGVGGVMARTLPRDLYEGTQLRHANSLLNMGILVSPLLAPLIGGVLDTVWNWRACYIFLLILCAGVTFSMARWMPETRPAGAPRPRLIASYKTLFGNSAFTCYVLMLIGGLAGVAVFEACSGVLLGARLGLSSMVVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVTCCLVAGLMMWIPGLFDVMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLAWFSAMLPQTGQASLGLLMTLMGLLIFVCWLPLASRVSHQGQAV ->ARGMiner~~~tetR~~~WP_002067233.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_002067233.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSVDDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLVELETKQKYEAA ->ARGMiner~~~tetR~~~WP_000043988.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000043988.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSVDDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKYEAA ->ARGMiner~~~emrE~~~AE000516.2.gene3301.p01~~~multidrug emrE +>ARGMiner~~~emrE~~~AE000516.2.gene3301.p01~~~multidrug~~~emrE MIYLYLLCAIFAEVVATSLLKSTEGFTRLWPTVGCLVGYGIAFALLALSISHGMQTDVAYALWSAIGTAAIVLVAVLFLGSPISVMKVVGVGLIVVGVVTLNLAGAH ->ARGMiner~~~penA~~~AAM97228.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97228.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQSYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~SHV-112~~~EU477409.1.gene1.p1~~~beta-lactam SHV +>ARGMiner~~~SHV-112~~~EU477409.1.gene1.p1~~~beta-lactam~~~SHV MGNGTESTPGASRRRPRHHYPGQHGRDPAQAADQPASERPFATAAAAVDGGRSGRRTVDPLRAAGGLVYRRSTPDRSWRAGCARNCRPAWPGSTPQSRAHCGDLSAGYA ->ARGMiner~~~bleomycin_resistance_protein~~~WP_065315223.1~~~bleomycin bleomycin_resistance_protein +>ARGMiner~~~bleomycin_resistance_protein~~~WP_065315223.1~~~bleomycin~~~bleomycin_resistance_protein MEVRIHLWEASVEGWRSRSNDSPVCTGAESFIAGTASCRIEVEGIDELYQHIKPLGILHPNTSLKDQWWDERDFAVIDPDNNLISFFQQIKS ->ARGMiner~~~penA~~~AAM97236.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97236.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTIEDRNGAVLALSAPTESLYAVPKDMEEMPTAAQLEHLSELIDVPVDVLRSKLDQKNKSFIWIKRQLDHKLAEEVEALGLKHFAFQKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHAEEGAEVVLRDREGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAIKPFVIAKALDADKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~PBP-2X~~~CAO91608~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91608~~~beta-lactam~~~PBP-2X MKWTKKVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGSKGNGITYANMMSIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRVGNIVPGTELVSQQTVDGKDVYTTLSSPLQSFMETQMDAFLEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITEDFVWRDILYQSNYEPGSAMKVMTLASSIDNNTFPSGEYFNSSEFKIADATTRDWDVNEGLTTGGMMTFLQGFAHSSNVGMSLLEQKMGDATWLDYLKRFKFGVPTRFGLTDEYAGQLPADNIVSIAQSSFGQGISVTQTQMLRAFTAIANDGVMLEPKFISAIYDTNNQSVRKSQKEIVGKPVSEDTASLTRTNMILVGTDPLYGTMYNHYTGKPIITVPGQNVAVKSGTAQIADEKNGGYLVGSTNYIFSAVTMNPAENPDFILYVTVQQPEHYSGIQLGEFATPILERASAMKESLNLQSPAKNLDQVTTESSYAMPSIKDISPGDLAEALRRNIVQPIVVGTGTKIKETSVEEGKNLAPNQQVLLLSDKVEEIPDMYGWTKATAEAFSKWLNIELVFEGSGSTVQKQDVRANTAIKDIKKITLTLRD ->ARGMiner~~~tetR~~~WP_025465497.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_025465497.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSADDPLNKLKSIFNWYIDWINTEDFRGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKYEAA ->ARGMiner~~~adeC~~~CP003583.1.gene2512.p01~~~multidrug adeC +>ARGMiner~~~adeC~~~CP003583.1.gene2512.p01~~~multidrug~~~adeC MGGTPLETTLRKYIEVASKKKPADLVIKHAKIVNVFTKEIMEKDVAICEGMIVGIGEYEGKSVHNANGQYLVPGFIDGHVHIESSLLSPSEFSKVSLLHGVTTVVTDPHEIGNIAGSTGLEFMIEDARQTLMNIFFMLPSCVPVTPFETNGANLNAKKLAPFLQKPEVLGLAEVMNYQAVANNETDILEKIQLMHQHKKKIDGHAAGIGMEELNVYPAAGIRTDHEATTAKEAKERLDLGMYLMVREGTVAKDLASLLPAITPENSRRCFFVTDDKLINDLVKEGSIDHIVRQAIALGIDPLQAIQMATLNAAECFDLKTLGAIAPGYQADFFLTDDLTKLPIHEVFYKGTLVVQSGQLQDHLFADHSNSYTCCLPKLNAQPLNPHSLELLLSSSQAHVIEIIPNSLVTKDLVEKVDRQGERFIPSIEKDQLKIAVIERHHQTGNIGLGIVKGFQLKQGAIATTIAHDSHNLVVVGTNDEDMLYAANLLIQKGGGMTVVNKQQEIACVSLPIGGIMTQEPFSIVYEQLNELITKAHQLGAAKTFDPFLTLSFLTLSVIPELKITDKGLFSFSRFQLIPPCGKTDEINTF ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278741.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278741.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDEHYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~bleO~~~AEQ67379.1~~~bleomycin bleO +>ARGMiner~~~bleO~~~AEQ67379.1~~~bleomycin~~~bleO MRMLQSIPALPVGDIKKSIGFYCDKLGFTLVHHEDGFAVLMCNEVRIHLWEASNEGWRSRSNDSPVCTGAESFIAGTASCRIEVEGIDELYQHIKPLGILHPNTSLKDQWWDERDFAVIDPDNNLISFFQQIKS ->ARGMiner~~~pmrA~~~CP000675.2.gene499.p01~~~multidrug pmrA +>ARGMiner~~~pmrA~~~CP000675.2.gene499.p01~~~multidrug~~~pmrA MKKLMEYGGKIKEYLLRLKPKRWLTLTNVIIFIGFLTAIIYVFSYLIPFTDNAFVVNNVRPVAALTSGYITELYVKNGDAVRKGQKLFTVFKKPYEYTVEQLSADLAGAQAKLAVLKATYERDLKLSENEQKIYKKLAQDDQKYLKGYAIKSVSLITLQNSQQETKAAKDKWQASLKQLEIDQHQITVQENEIKSIQARLKNAKVNLDLTDVYAQGNGVIQNLFFTIGTPVNINQPLFSLVDQDNIYIQANFNETDLRDVRKGSKVLIFPRMYLGRKIFHGVIDSDYWSANRQLVDDRTQLQNVINENQWILLPQRLPVIIKVTDPDPKYPLRVGASAYVYVEVY ->ARGMiner~~~tetR~~~WP_016803720.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_016803720.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESNVAKMTFYKYFSSKEVLIEECLQRRILEVQASLLEKVNRAHDPLNKLKNVFNWYIDWINTEDFYGCLFKKATIEVLQMYPSIKSQVNQYRQWIYDLVVSMFLELDIEDPTVLSSLFLNIIDGLIIDGTINKPEINAERTWSYINKLIELETTQSCAAA ->ARGMiner~~~qacG~~~WP_049426109.1~~~multidrug qacG +>ARGMiner~~~qacG~~~WP_049426109.1~~~multidrug~~~qacG MHYLYLFISIATEIIGTSFLKTSEGFTKLWPTLGTLLSFGICFYFLSLTIKFLPLNITYATWSGLGLVLTTIISVIVFKENVNLISIISIGLIVIGVMLLNVFGESH ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278900.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278900.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVMQALNDRPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~penA~~~AAM97232.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97232.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSEEMYDLYHSLGIGVRMHSGFPGESAGVLRDWRKWRPIEQATMSFGYGLQLSLLQLARTYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~mdtD~~~WP_000130873.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_000130873.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLAIAGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSIDSGTTQTVFMYTWLSMALIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~mtrR~~~HE999704.1.gene3165.p01~~~multidrug mtrR +>ARGMiner~~~mtrR~~~HE999704.1.gene3165.p01~~~multidrug~~~mtrR MNVTHAALYKHYRNKEDLFQKLALRWLEETSREIFDWTQDAGQTPDDALHDWLWLLADTKKKRYKTDRKMFLLYTDYIEQNEELVKNHVAHLAQKAEEVSGRTNQGNAIITAFTYFHNPYFASRWEQAGYVDLFEDVWQIVK ->ARGMiner~~~PBP-2X~~~CAO91630~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91630~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQISQQTVDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITKDFVWRDILYQSNYEPGSAMKVMTLAASIDNNTFPGGEYFNSSELKIADVTIRDWDVNEGLTGGGMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGLTNYIFSAVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKSLEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKATAETFAKWLNIELEFEGSGTTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~marR~~~WP_031948545.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_031948545.1~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQTDGRITRVVLTDKGIEMINKINDLTSVVLEQSFEGITPLQLEKMMESLKLLLKNLSR ->ARGMiner~~~major_facilitator_superfamily_transporter~~~AE016830.1.gene1357.p01~~~multidrug major_facilitator_superfamily_transporter +>ARGMiner~~~major_facilitator_superfamily_transporter~~~AE016830.1.gene1357.p01~~~multidrug~~~major_facilitator_superfamily_transporter MEKIKSYQEDSEVQKNRWWILVSVAMFTFMSTLDSSIVNIALPTISKEMSVPMNQAEWVVSIYLMVVCACLLLFGKIGDSFGKIKVYRIGTVIFTIGSLLCGFNQSLSFLLFARVVQSIGASMTMATNSGIITEVFPLNERGRALGAVGAFVSLGAIAGPGIGGLILSNFSWSYIFWINVPVGLVTILIGEKFLPKDITKTKEKIDFSGFACIAIAIMTFFGGIFLGQESGFGSLQSYLLFIIAVIALGLFIMVERKRKSPLIKFAIFKNKIFTLSLLSAVLIFASNFFVNVVIPFYLQDARKLSASYAGLLMMVFPLLMVVGAPLSGYLTDKIGPGILTFGGLLLLCCTSLMYMFLDMNSPIWYYVIATAIMGLGNALFQSPNNTMVMSSVEKQDLGVAGSMNSFARNLGMVIGIALSTTILYRGMSEAYGERVTTYLANRPDIFIVGMRETFFVAFLLCVAAFILTILRFRKTTK ->ARGMiner~~~ompF~~~WP_001252335.1~~~multidrug ompF +>ARGMiner~~~ompF~~~WP_001252335.1~~~multidrug~~~ompF MRRFLTTLMILLVVLVAGLSALVLLVNPNDFRDYMVKQVAARSGYQLQLDGPLRWHVWPQLSILSGRMSLTAQGASQPLVRADNMRLDVALLPLLSHQLSVKQVMLKGAVIQLTPQTEAVRSEDAPVAPRDNTLPDLSDDRGWSFDISSLKVADSVLVFQHEDDEQVTIRNIRLQMEQDPQHRGSFEFSGRVNRDQRDLTISLNGTVDASDYPHDLTAAIEQINWQLQGADLPKQGIQGQGSFQAQWQESHKRLSFNQISLTANDSTLSGQAQVTLTEKPEWQLRLQFPQLNLDNLIPLNETANGENGAAQQGQSQSTLPRPVISSRIDEPAYQGLQGFTADILLQASNVRWRGMNFTDVATQMTNKSGLLEITQLQGKLNGGQVSLPGTLDATSINPRINFQPRLENVEIGTILKAFNYPISLTGKMSLAGDFSGADIDADAFRHNWQGQAHVKMTDTRMEGMNFQQMIQQAVERNGGDVKAAENFDNVTRLDRFTTDLTLKDGVVTLNDMQGQSPVLALTGEGMLNLADQTCDTQFDIRVVGGWNGESKLIDFLKETPVPLRVYGNWQQLNYSLQVDQLLRKHLQDEAKRRLNDWAERNKDSRNGKDVKKLLEKM ->ARGMiner~~~tetB~~~WP_011273071.1~~~tetracycline tetB +>ARGMiner~~~tetB~~~WP_011273071.1~~~tetracycline~~~tetB MAREYERTDAVAPASVKETFAYLSALPNALDKRWWAGLLIIQALIVAVYTTQSNLFGRSVDPLTGGSVPLLGTGTRAFVLTVGLALACMLVEMFLRALGNYVVGQKVARASIDLRRRCLDAILRAPVPRVMELGTGNVITRMTKDIDDVVQTITAIGSRVLTTVFVFPITFIGLLLIDVRFALILLLICICTYPFARAVVRAIPGASNAVSVAEARRNAVLLDTVRGLPTLRAFDLERWALARMRRTSWGAVEAEMDRVPWFIRLTGIGQVAFAAWVLLTLGVGAWLATAGAISPGQASAAVFMVIRADVTVFNALFFVGELQSAATAVGRAVSLAKLADGRDATAVPADLTEPVNVEVEHVSFAYPDGANVLDNLTVTLEAGTTTALVGTSGAGKSTLAALIAGLVEPTSGCIRVGAVDTSQVSDTWTAKNVTLLTQDVHLFAGTLREDLSMAAQGATDADLLRALASVGIDPEGTQFARLFPKGLDTAVGAGAEDLPPEVEQQLALARVALSGPKVLILDEATAEAGSDATNALEDAAARITADTTALVVAHRLDQAAAADRILVMDAGRIIEDGTHAELVAEGGRYAQLFAAWSGGGH ->ARGMiner~~~PBP-2X~~~ZP_02709163~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~ZP_02709163~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRIVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMDEAYVKEQLSQPNLTQVSFGAKGNGITYANMMAIKKDLKDASVEGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGKDGIITYEKDRLGNIVPGTEQVSQQTVDGKDVYTTLSSPLQSFMETQMDAFLEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITEDFVWRDILYQSNYEPGSAMKVMTLASSIDNNTFPSGEYFNSSEFKIADATTRDWDVNEGLTTGGMMTFLQGFAHSSNVGMSLLEQKMGDATWLDYLKRFKFGVPTRFGLTDEYAGQLPADNIVSIAQSSFGQGISVTQTQMLRAFTAIANDGVMLEPKFISAIYDTNNQSVRKSQKEIVGNPVSKEAASTTRNHMILVGTDPLYGTMYNHYTGKPIITVPGQNVAVKSGTAQIADEKNGGYLVGSTNYIFSAVTMNPAENPDFILYVTVQQPEHYSGIQLGEFATPILERASAMKESLNLQSPAKNLDKVTTESSYAMPSIKDISPGELAEALRRNIVQPIVVGTGTKIKETSVEEGTNLAPNQQVLLLSDKVEEIPDMYGWKKETAETFAKWLDIELEFEGSGSVVQKQDVRTNTAIKNIKKIKLTLGD ->ARGMiner~~~penA~~~AAP46577~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46577~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~mdtD~~~WP_000130895.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_000130895.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLTIAGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSGTTQTVFMYTWLSMAFIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~tetR~~~WP_020752775.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_020752775.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSADDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIVDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKYEAA ->ARGMiner~~~tetR~~~WP_039250470.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_039250470.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGIDKIIAESNVAKMTFYKYFSSKEILIEECLQRRILEVQASLLDKINSVNNPLNKLKSVFNWYIDWINTEDFNGCLFKKATIEVLQMYPSVKVQVNKYRNWIYNVVFEIFSDLEIEDPKVLSSLFLNIIDGLIIDATINKPEINPEETWSYINKLIELKTTLRCAAA ->ARGMiner~~~PBP-2X~~~ZP_04597435~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~ZP_04597435~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGLTDYIFSAVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKLH ->ARGMiner~~~pmrA~~~WP_010946179.1~~~multidrug pmrA +>ARGMiner~~~pmrA~~~WP_010946179.1~~~multidrug~~~pmrA MVGYFMKKLMEYGGKIKEYLLRLKPKRWFTLTNVIIFIGFLTAIIYVFSYLIPFTDNAFVVNNVRPVAALTSGYITELYVKNGDAVRKGQKLFTVFKKPYEYTVEQLSADLAGAQAKLAVLKATYERDLKLSGNEQKIYKKLAQDDQKYLKGYAIKSVSLITLQNSQQETKAAKDKWQASLKQLEIDQHQITVQENEIKSIQARLKNAKVNLDLTDVYAQGNGVIQNLFFTIGTPVNINQPLFSLVDQDNIYIQANFNETDLRDVRKGSKVLIFPRMYLGRKIFHGVIDSDYWSANRQLVDDRTQLQNVINENQWILLPQRLPVIIKVTDPDPKYPLRVGASAYVYVEVY ->ARGMiner~~~tetR~~~WP_046811542.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_046811542.1~~~tetracycline~~~tetR MSKKEDIINTALNLFNQIGYNATGVDRIIAESNVAKMTFYKYFPSKENLIMECLQHRNINIQNSINEQLSLHQDASPLEQIHIIFNWYIEWINSETFNGCLFKKAFIEVSKQYTSIREPFYEYTKWLTNLLHEKLTQLGIKNPTPLVHIIISIIDGMIIDGTTDKNLINPEKIWKYIEYLINEEIPEQAS ->ARGMiner~~~marR~~~WP_004708449.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_004708449.1~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQTDGRITRVYLTERGIEMISKINDLTSVVLEQSFEGITPLQLEKMMESLKMLLKNLSR ->ARGMiner~~~PBP-2X~~~CAO91607~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91607~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQITRIVPAKRGTIYDRNGVPIAEDATSYNVYAVIDKKYKSATGKILYVEDAQFNKVAEVFHKYLDMDEAYVKEQLSQPNLTQVSFGAKGNGITYANMMAIKKDLKDASVEGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGKDGIITYEKDRLGNIVPGTEQVSQQTVDGKDVYTTISSTLQSFMETQMNAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGLTKDFVWRDILYQSNYEPGSTMKVMTLAAAIDNNTFPGGEVFNSSELKIADVTIRDWDVNEGLTGGRMMTFSQGFALSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRALTAIANDGVMLEPKFISALYDPNDQSVRKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMHNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGLTNYIFSAVSMNPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLEANQQVLILSDKAEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKIILTLGD ->ARGMiner~~~PBP-2X~~~EDK78328~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~EDK78328~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDKKYKSATGKILYVEDAQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGSKGNGITYANMMSIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIIPGTEQVSQQTVDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMMLAAAIDNNTFPGVEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMLRAFTAIANDGVMLEPKFISAIYDPNYQTARKSQKEIVGNPVSKDAASLTRTNMILVGTDSVYGTMYNHSTGKPTVTVPGQNVALKSGTAEIADEKNGGYLVGSTNNIFSVVAMNPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLSPNQQVLILSDKAEEVPDMYGWTKATAETLAKWLNIELEFEGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~penA~~~AAN61107~~~beta-lactam penA +>ARGMiner~~~penA~~~AAN61107~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAMAVLFACLIARGLYLQTVTYNFLKEQGDNRIVRTQALPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFAFEKELKRHYPMGSLFAHVIGFTDIDGKGQEGLELSLEDSLHAGEGAEVVLRDREGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGSATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQKIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYSGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~PBP-2X~~~CAO91624~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91624~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELEAAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRLGNIVPGTEQVSQRTMDGKDVYTTISSPLQSFMETQMDAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGITEDFVWRDILYQSNYEPGSTMKVMTLAAAIDNNTFPGGEVFNSSELKIADATIRDWDVNEGLTGGRMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEIVGNPVSKDAASLTRTNMVLVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGLTDYIFSAVSMSPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKTLEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKNSSAEEGKNLAPNQQVLILSDKAEEVPDMYGWTKETAETLAKWLNIELEFQGSGSTVQKQDVRANTAIKDIKKITLTLGD ->ARGMiner~~~penA~~~YP_002792444~~~beta-lactam penA +>ARGMiner~~~penA~~~YP_002792444~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAMAVLFACLIARGLYLQTVTYNFLKEQGDNRIVRTQALPATRGTVSDRNGAVLALSAPTESLFAVPKDMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLYGEDGAEVVLRDRQGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPLSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVGTFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVAGPPFKKIMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~marR~~~CP000675.2.gene1363.p01~~~multidrug marR +>ARGMiner~~~marR~~~CP000675.2.gene1363.p01~~~multidrug~~~marR MIDDPIDLLRQYSRKLVRELGMLQLNKLAAKEQPSYWHTLIEINKEPNITISKLSQLLLVSLPTLSRIVNSLINDELVTVNEGLDKRERFLRVTDKGKEKVNYIDEYSNTKIKRAFHYLTDEEKEQVITAIGKYAQALEQSRLIRDQIRILRLSTSRALRKQIVNMIENIQVNEFHLSITPEINASILKAEEEYCYYSSCNFWYAVDEQGGIIGSIGLKKLNHTEGEVKKFFIAPKYRGLGLAQKLMLTLVRNAMKHGFKKLFLGTVAQLNAARRFYEKNGFVLVNKNSLPKEFELCPLDTHFYYCETDKLDKSLRELI ->ARGMiner~~~qnrS~~~WP_029802054.1~~~quinolone qnrS +>ARGMiner~~~qnrS~~~WP_029802054.1~~~quinolone~~~qnrS METYNHTYRHHNFSHKDLSALTFTACTFIRSDFRRANLRDTTFVNCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIEFRACDLKGANFSRTNFANQVSNRMYFCSAFITGCNLSYANMERVCLERCELFENRWIGTHLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKIDTSGIKIAAWQQEQLLEALGIVVYPD ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278905.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278905.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPIRHAFDAGVKAQIGIDY ->ARGMiner~~~mepA~~~HE999704.1.gene3064.p01~~~multidrug mepA +>ARGMiner~~~mepA~~~HE999704.1.gene3064.p01~~~multidrug~~~mepA MFGIGGASIISRSLGAGEQEQADKVFHQVIWLVLISSIFIAIVTFIFLDPLITLFGAPADIHDIASDFLSLILLGAVFQTFAMAMNNIVRSEGNAKTAMLTMIISAILNMILNPIFIMGFGMGVRGSALATVIAQAVGAIWLLIYFLSGKSTLSLKGFSFRMDFPLIRRIMAIGFPSFIMMSAGSIVTVAVNWMLNIYGGTMAIAELRTGLRRSSLCQSMVSHKGCNQSSASTTVPDNSNA ->ARGMiner~~~tetR~~~WP_016685276.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_016685276.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKEILIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSKNFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTEKP ->ARGMiner~~~penA~~~AAM97243.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97243.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEKIDALGLKHFAFEKELKRHYPMGSLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYEPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPAPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSEEMYDLYHSLGIGVRMHSGFPGESAGVLRDWRKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~mdtD~~~gi:134034159:sp:Q0T353.2:MDTD_SHIF8~~~multidrug mdtD +>ARGMiner~~~mdtD~~~gi:134034159:sp:Q0T353.2:MDTD_SHIF8~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTAIPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLAIAGLVAVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSGTTQTVFMYTWLSMAFIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~penA~~~AAP46583~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46583~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTVLTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~oprM~~~CP000675.2.gene498.p01~~~multidrug oprM +>ARGMiner~~~oprM~~~CP000675.2.gene498.p01~~~multidrug~~~oprM MLKKLMFLLLLGAGLLSCGQSTDQQISNIITPKQFPSSPRDYRPVQELPYLAWWKQFQDPELDRLIDTGLKTNMDIHIAMANLQQAQGELQQVKLSWIPNVQILGGYSTNPALGVPGGFYGIWPSYILNIMQLYTQQKRAQYQVQYHQAAIDGLRLTLIGQVAAAYFTLIAQLEQLKLLYKLDRDLKSLIALSRKEINIGLKNDIDLAQLQSNERLIAAQIKPIKHNIVVSQNALRYLINENPGLIKSKNNFAQLDFTRFKPGSLPASVLSNRPDMKMAEYSLKAARAGIFVAYSDFFPVMQLDDFIGEAHLPDSTFEQATDAYVNWTINPNTLGKISVSKGVYNAKLAEYVKTIRLILKEVDNAFSANKRMREQFLSYRHAQEDYQHKYKLQNGLLKSGLMSYKELLESKVYLDTLALSTNQAKLELAMSLVMLYQDLAGGYACSD ->ARGMiner~~~tetR~~~WP_032025591.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_032025591.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWINSKNFNGCLFKKAFIEVSKQYTSIRKPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~CP000034.1.gene1340.p01~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~CP000034.1.gene1340.p01~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVMQALNERPHQQTVILTGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~tetR~~~WP_032046035.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_032046035.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSKDFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~bacA~~~YP_002260505~~~bacitracin bacA +>ARGMiner~~~bacA~~~YP_002260505~~~bacitracin~~~bacA MDIALAIKALILGIVEGLTEFLPISSTGHLILAGQLLDFNDEKGKIFEIVIQFGAILAVCWEFRHKIIDVIKGLPNDPRQQRFAVNVIVATIPAITLALIFGKTIKAHLFNPIVVASAFIIGGLVILLVEWRERRRGQTHDPRGNALLEAAKAGAPRIETLDDLRLSDAIKVGLAQCFALIPGTSRSGATIIGGLLFGLSRKVATEFSFFLAIPVIFGATVYELYKERALLSADDLSIFGIGFVAAFISAFFCVRWLLRFIASHDFRGFAWYRIVFGVIVLVTAYTHLIAWQA ->ARGMiner~~~PBP-2X~~~CAO91616~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91616~~~beta-lactam~~~PBP-2X MKWTEKITRFAVKNRKSPAENRRIVGKYLSFLAVALFGLFLANFAYIIAKGNIFGTDLVKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDKKYKSATGKILYVEDSQFNKVAEVFHKYLDMDEAYVKEQLAQPNLTQVSFGAKGNGITYANMMAIKKDLKDASVEGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGLESSLNTILAGTDGIITYEKDRVGNIVPGTELVSQQTVDGKDVYTTLSSPLQSFMETQMDAFLEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITEDFVWRDILYQSNYEPGSAMKVMTLASSIDNNTFPGGEYFNSSEFKIADATTRDWDVNDGLTTGGMMTFSQGFAHSSNVGMSLLEQKMGDTTWLDYLKRFKFGVPTRFGLTDEYTGQLPADNIVNIAMSAFGQGISVTQTQMLRAFTAIANDGVMLEPKFITALYDPNDQTVRKSQKEIVGNPVSKDAASQTRTHMVLVGTDPVYGTMYNHSTGKATVNVPGQNVALKSGTAQIADEKNGGYLVGSTNYIFSVVAMNPAENPDFILYVTVQQPEHYSGIPLGEFTNPILERASAMKESLNLQSPAKNLDQVTTESSYAMPSIKDISPGDLAEALRRNIVQPIVVGTGTKIKETSVEEGTNLAPNQQVLLLSDKVEEIPDMYGWKKETAETFAKWLDIELEFEGSGSVVQKQDVRTNTAIKNIKKIKLTLGD ->ARGMiner~~~marR~~~WP_002121027.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_002121027.1~~~multidrug~~~marR MTKKYARFLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQTDGRITRVVLTEKGTEMINKINDLTSVVLEQSFEGITPLQLEKMMESLKLLLKNLSR ->ARGMiner~~~penA~~~AAP46588~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46588~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELIDVPVDVLRSKLDQKNKSFIWIKRQLDHKLAEEVEALGLKHFAFQKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHAGEGAEVVLRDREGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAIKPFVIAKALDADKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~tetR~~~WP_000037162.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000037162.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENL ->ARGMiner~~~PBP-2X~~~JN645706.1.gene1.p01~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~JN645706.1.gene1.p01~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMSIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGKDGIITYEKDRLGNVVPGTEQVSQQTVDGKDVYTTISSTLQSFMETQMNAFQEKVKGKYMTATLVSAKTGEILATTQRPTFDADTKEGLTKDFVWRDILYQSNYEPGSPMKVMTLAASIDNNTFPGGEYFNSSELKIADVTIRDWDVNESLTGGGMMTFSQGFAHSSNVGMTLLEQKMGDATWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVNIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFISAIYDPNDQTARKSQKEVVGNPVSKDAASLTRTNMILVGTDPVYGTMYNHSTGKPTVTVPGQNVALKSGTAQIADEKNGGYLVGLTNYIFSAVSMNPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKDSLNLQTTAKALEQVSQQSPYPMPSVKDISPGDLAEELRRNLVQPIVVGTGTKIKESSVEEGTNLAPNQQVILLSDKVEEIPDMYGWKKETAEAFAKWLDIELEFEGSGSVVQKQDVRTNTAIKNIKKITLTLGD ->ARGMiner~~~emrD~~~EFF04178.1~~~multidrug emrD +>ARGMiner~~~emrD~~~EFF04178.1~~~multidrug~~~emrD MIMKRHRNVNLLLMLVLLVAVGQMAQTIYIPAIADMARDLNVREGAVQSVMGAYLLTYGVSQLFYGPISDRVGRRPVILVGMSIFMLATLVAVTTSSLTVLIAASAMQGMGTGVGGVMARTLPRDLYERTQLRHANSLLNMGILVSPLLAPLIGGLLDTMWNWRACYLFLLVLCAGVTFSMARWMPETRPVDAPRTRLLTSYKTLFGNGGFNCYLLMLIGGLAGIAAFEACSGVLMGAVLGLSSMTVSILFILPIPAAFFGAWFAGRPNKRFSTLMWQSVICCLLAGLLMWIPDWFGVMNVWTLLVPAALFFFGAGMLFPLATSGAMEPFPFLAGTAGALVGGLQNIGSGVLASLSAMLPQTGQGSLGLLMTLMGLLIVLCWLPLATRMSHQGQPV ->ARGMiner~~~emrE~~~CQD20591.1~~~multidrug emrE +>ARGMiner~~~emrE~~~CQD20591.1~~~multidrug~~~emrE MTYVLLLCAILAEVAATSLLKSTEGFTRLWPTVICLTGYAISFALLALSISRGMQTDVAYALWSAIGTALIVLIAVLFLGSTVSVAKVVGVGLIIAGVVTLNLSGAH ->ARGMiner~~~tetR~~~WP_017398244.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_017398244.1~~~tetracycline~~~tetR MSKKEDIINTALDLFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLNLHPDAEPLERIHLIFNWYIDWINSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPVKKWRYIEYLIKTENS ->ARGMiner~~~pmrA~~~WP_027220230.1~~~multidrug pmrA +>ARGMiner~~~pmrA~~~WP_027220230.1~~~multidrug~~~pmrA MKKLMEYGGKIKENLLRLKPKRWFTLTNVIIFIGFLTAIIYVFSYLIPFTDNAFVVNNVRPVAALTNGYITELYVKNGDAVRKGQKLFTVFKKPYEYTVEQLSADLAGAQAKLEVLKATYERDLKLSENEQKIYKKLAQDDQKYLKGYAIKSVSLITLQNSQQETKAAKDKWQASLKQLEIDQHQITVQENEIKSIQARLKNAKVNLDLTDVYAQGNGVIQNLFFTIGTPVNINQPLFSLVDQDNIYIQANFNETDLRDVRKGSKVLIFPRMYLGRKIFHGVIDSDYWSANRQLVDDRTQLQNVINENQWILLPQRLPVIIKVTDPDPKYPLRVGASAYVYVEVY ->ARGMiner~~~penA~~~AAM97245.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97245.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPTVEQLEKLSAIADVPVEVLKNKLSKKDKGFIYLKRQLSYEKAEEIKALGIKGIAFQKELKRHYPMGNLFAHVIGFTNIDGKGQEGLELSREDSLRGEDGAKVVLRDNKGNIVDSLDSPRNSVPKNGQDMILSLDQRIQTLAYDELNKAVAYHKAKAGAVVVLDAQTGEILALVNSPAYDPNQPGQANSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGPATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQKIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~mdtD~~~WP_000130881.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_000130881.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLAIAGLVTVGVVALVLYLLHARNNNRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTMLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSGTTQTVFMYTWLSMAFIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~tetR~~~EKP55755.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~EKP55755.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMKCLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIRPLAKVVIASSSDLPVQRLS ->ARGMiner~~~mdtL~~~CP004022.1.gene1280.p01~~~multidrug mdtL +>ARGMiner~~~mdtL~~~CP004022.1.gene1280.p01~~~multidrug~~~mdtL MTKYVIFSFFLVLIYPLGVDLYLTGLTAIASDLNASEVTLHHAFSIYLMGMVSSMLIAGWCSDNLGRKPVILFGTLIFFFASLSAGLSITEKQFLISRFFQGSGSGFCYVVTFAILRDTLTEQQRAKVLSMINGITCIIPVLAPVLGFIILLYCEWSMMFYLMGAYSLLVFIFCFLGIKETYHKKEIKNKKVNLSVIKPTTDSFFTRYFLSRLLISCLGMAVILTYVNISPIVVMQQMNYSTGEYSILMTSLAMISMTISFLMPKILMKYRYKHILSVGFICFGIGIIFLFIGKKSDMRWFFITFALCGSGFALLFGIIMSQALSPFSQRAGVASSVLGISQLSFSSLYIWVMGWIEVSSINMLVVILFISCIVGTLFLCFPRFTEQKKVKYNV ->ARGMiner~~~tetR~~~WP_065718885.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_065718885.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSADDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKCEAA ->ARGMiner~~~penA~~~AAP46598~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46598~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVEALGLKHFAFQKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHAGEGAEVVLRDREGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAIKPFVIAKALDADKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~marR~~~WP_061517049.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_061517049.1~~~multidrug~~~marR MPKKYARFLPTAESFNLEDFPFYWISQVNAQYVQNIDNVLKKYGLDNSRRRILLALNVKPHASVSELSDMVISKMSTTTKIVYRLKDEGYIETYSCKEDGRITRVHLTEKGQEMIIKINDLTSVILEQSFDGLTPLQIEKTMEILRLMFKNLAR ->ARGMiner~~~tetR~~~WP_025469563.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_025469563.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESNVAKMTFYKYFSSKEVLIEECLQRRILEVQASLLEKVNRAHDPLNKLKNVFNWYIDWINTEDFYGCLFKKATIEVLQMYPSIKSQVNQYRQWIYDLVVSMFLELDIEYPTVLSSLFLNIIDGLIIDGTINKPEINAERTWSYINKLIELETTQSCAAA ->ARGMiner~~~tetR~~~WP_062936450.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_062936450.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEFLIEECLRRRILEVQTSLLDKVNSVDDPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKYEAA ->ARGMiner~~~tetR~~~WP_000043989.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000043989.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSVDVPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKYEAA ->ARGMiner~~~tetA~~~WP_034989673.1~~~tetracycline tetA +>ARGMiner~~~tetA~~~WP_034989673.1~~~tetracycline~~~tetA MKTYSWFVPPAPPADDPARLHPARWSSGNRVVRDMVGAYPGVLVLHILSYLIGSGIAAFVPVVVGMIVDGLVGEEKFNAWWLFAVLVGIFIIQFIGEATGDGLATASVRRVTHNAQQHLSSGVLRRGAGAMSPGTVLNTIDADANTVGRYRELLSFPLMAIGYAVCAMVAMWSVSPWISLAIPASALIIALFAAWTAGPVTRVSLKRRAAEADVAGLATDASQGIRTVKGLGAGATVATRFHAETAKAKRLMLTHLRVEVWLGFARFCVAWLCNLGIVGLSAWMTLRGEITPGQLTSVALLVQPALTMAGLAFGDLASGWGRAVASGQRIEQLHHAGDDTAGPELTDTPVPGAGLWILEPAERSYATAAAWAQRADVLFPPHTVNVFEGTIADNVNPRGDVPEDVVKQALAAAHCQDILRRLGGINEAGELPDAPLGEAGLNLSGGQRQRVALARALAADPEVLILDDPTTGLDSVTQADVVAAVAALRADKTTVVITGNAAWQHAGTELEVA ->ARGMiner~~~marR~~~WP_004662340.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_004662340.1~~~multidrug~~~marR MPKKYARFLPTSETFNLEDFPYFWISQVNAQYVQNIDNVLKKYGLDNSRRRILIALNVKPHASVSELSDMVISKMSTTTKIVYRLKDEGYIETYSCKEDGRITRVYLTDKGTEMITKINDLTSVILEQSFDGLTPLQIEKTMEILKHMFKNLAR ->ARGMiner~~~tetR~~~WP_002056164.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_002056164.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWINSKNFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTKNP ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278893.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278893.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADPSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~tetC~~~gi:135616:sp:P28815.1:TETC_ECOLX~~~tetracycline tetC +>ARGMiner~~~tetC~~~gi:135616:sp:P28815.1:TETC_ECOLX~~~tetracycline~~~tetC MENKNHQQENFKSTYQSLVNSARILFVEKGYQAVSIDEISGKALVTKGAFYHHFKNKKQLLSACYKQQLIMIDAYITTKTDLTNGWSALESIFEHYLDYIIDNNKNLIPIQEVMPIIGWNELEKISLEYITGKVNAIVSKLIQENQLKAYDSDVLKNLLNGWFMHIAIHAKNLKELADKKGQFIAIYRGFLLSLKDK ->ARGMiner~~~tetR~~~WP_039208400.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_039208400.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSKNFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTEKP ->ARGMiner~~~mdtD~~~WP_000130882.1~~~multidrug mdtD +>ARGMiner~~~mdtD~~~WP_000130882.1~~~multidrug~~~mdtD MTDLPDSTRWQLWIVAFGFFMQSLDTTIVNTALPSMAQSLGESPLHMHMVIVSYVLTVAVMLPASGWLADKVGVRNIFFTAIVLFTLGSLFCALSGTLNELLLARALQGVGGAMMVPVGRLTVMKIVPREQYMAAMTFVTLPGQVGPLLGPALGGLLVEYASWHWIFLINIPVGIIGAIATLMLMPNYTMQTRRFDLSGFLLLAVGMAVLTLALDGSKGTGLSPLAITGLVAVGVVALVLYLLHARNNHRALFSLKLFRTRTFSLGLAGSFAGRIGSGMLPFMTPVFLQIGLGFSPFHAGLMMIPMVLGSMGMKRIVVQVVNRFGYRRVLVATTLGLSLVTLLFMTTALLGWYYVLPFVLFLQGMVNSTRFSSMNTLTLKDLPDNLASSGNSLLSMIMQLSMSIGVTIAGLLLGLFGSQHVSVDSGTTQTVFMYTWLSMAFIIALPAFIFARVPNDTHQNVAISRRKRSAQ ->ARGMiner~~~penA~~~AAP46601~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46601~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTVLTHDGVLLPVSFEKQAVAPQGKRIFKTSTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001625114.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001625114.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVLQALNERPHQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~tetR~~~WP_004747431.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_004747431.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIADSNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~penA~~~AAP46605~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46605~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPAPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSEEMYDLYHSLGIGVRMHSGFPGESAGVLRDWRKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDNKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~penA~~~AAP46600~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46600~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~tetR~~~WP_006581002.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_006581002.1~~~tetracycline~~~tetR MSKKEDIINTALDLFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLNLHPDAEPLERIHLIFNWYIDWINSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKELIDLVKKWRYIEYLIKTENS ->ARGMiner~~~mepA~~~WP_039387389.1~~~multidrug mepA +>ARGMiner~~~mepA~~~WP_039387389.1~~~multidrug~~~mepA MAKNMEILETDSVKKIYFRYLIPSLVGMLLMSLNIVIDGIFVGHKLGGVALAGINIAVPVFTIFTAISIWIGIGAATQFSFAIGEKNVAKAQTIFTNAILAVVSITVIIGIIAFIFKVPLAYFLGANDDTIGYVLEYMNILLVFGFALTLENILSIFVRNDGDPNLSMIALIVTAISNVILNYLFLFVFEWGVTGSALATMIAIIIGVLILTTHFFKKSSRLKFVKVDWNKAFFKKTLAIGLPSFLAEVGVSVFTLGYNISIAAIAGTAGVAAFSVLNYTHSVILMLFLGMGSAIQPLISYYRGAKARQKELETLKIAIVVAFSTGVGFLLIGFFGSNLLVSMFGNFSVEIRELASNGIKLFYTAYLFMGFNFVMMTYFQTSDKVKMATWITISREIIFMVIFLLVLPPIIGIPGVWLAIPISEMIVAASIIFYMKKKHILFK ->ARGMiner~~~qnrS~~~WP_029823919.1~~~quinolone qnrS +>ARGMiner~~~qnrS~~~WP_029823919.1~~~quinolone~~~qnrS METYNHTYRHHNFSHKDLSALTFTACTFIRSDFRRANLRDTTFVNCKFIEQGDIEGCHFDVADLRDASFQQCQLAMANFSNANCYGIEFRACDLKGANFSRTNFANQVSNRMYFCSAFITGCNLSYANMERVCLERCELFENRWIGTHLAGASLKESDLSRGVFSEDVWGQFSLQGANLCHAELDGLDPRKVDTSGIKIAAWQQEQLLEALGIVVYPDQLL ->ARGMiner~~~tetR~~~WP_057070706.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_057070706.1~~~tetracycline~~~tetR MSKKEDIINTALNLFNQIGYNATGVDRIIAESNVAKMTFYKYFPSKENLIMECLQHRNINIQNSINEQLSLHQDASPLEKIHIIFNWYIEWINSETFNGCLFKKAFIEVSKQYTSIREPFYEYTKWLTNLLHEKLTQLGIENPTPLVHIIISIIDGMIIDGTTDKNLINPVKIWKYIEYLINEEIPQPVS ->ARGMiner~~~PBP-1A~~~JN645776.1.gene1.p01~~~beta-lactam PBP-1A +>ARGMiner~~~PBP-1A~~~JN645776.1.gene1.p01~~~beta-lactam~~~PBP-1A MNKPTILHLIKYLSISFLSLVIAAIVLGGGVFFYYVSKAPSLSESKLVATTSSKIYDNKNQLIADLGSERRVNAQANDIPTDLVKAIVSIEDHRFFDHRGIDTIRILGAFLRNLQSNSLQGGSTLTQQLIKLTYFSTSTSDQTISRKTQEAWLAIQLEQKATKQEILTYYINKVYMSNGNYGMQTAAQNYYGKDLNNLSLPQLALLAGMPQAPNQYDPYSHPEAAQDRRNLVLSEMKNQGYISAEQYEKAVNTPITDGLQSLKSASNYPAYMDNYLKEVINQVEKETGYNLLTTGMDVYTNVDQEAQKHLWDIYNTDEYVAYPDDELQVASTIVDVSNGKVIAQLGARHQSSNVSFGINQAVETNRDWGSTMKPITDYAPALEYGVYDSTATIVHDEPYNYPGTNTPVYNWDRGYFGNITLQYALQQSRNVPAVETLNKVGLNRAKTFLNGLGIDYPSIHYSNAISSNTTESDKKYGASSEKMAAAYAAFANGGTYYKPMYIHKVVFSDGSEKEFSNVGTRAMKETTAYMMTDMMKTVLSYGTGRNAYLAWLPQAGKTGTSNYTDEEIENHIKTSQFVAPDELFAGYTRKYSMAVWTGYSNRLTPLVGNGLTVAAKVYRSMMTYLSEGSNPEDWNIPEGLYRNGEFVFKNGARSTWTQPAPQQPPSTESSSSSSDSSTSQSSSTTPSTNNSTTTDPNNNTQQSNTTPDQQNQNPQPAQP ->ARGMiner~~~penA~~~AAP46602~~~beta-lactam penA +>ARGMiner~~~penA~~~AAP46602~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPVSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~mdtL~~~EHC98377.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~EHC98377.1~~~multidrug~~~mdtL MKRFLLCSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMATAMLFAGKIADQSGRKPVAIVGALVFMMASLLCSRASEGSLFLSGRFLQGVGAGGCYVVAFAILRDTLDEHRRAKVLSLLNGITCIVPVLAPVVGHLIMLRFPWQSLFYTMSAMGIIVGLLSLFILRETRPVRLAPRDLSRSSPAAESLINRFFVSRLAITTLSVSVILTFVNASPVLLMEVMGFSRGDYAITMALTAGVSMVVSFSTPFALGLFKPRTLMLVSQGLFLTAGVTLSLAHTNTVTLFGLTLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAILGISAMNMLIGILIGCSIVSILLIFSVAPNRSVAEHEEIPYQSRS ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278894.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278894.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADPSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~penA~~~YP_208590~~~beta-lactam penA +>ARGMiner~~~penA~~~YP_208590~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAMAVLFACLIARGLYLQTVTYNFLKEQGDNRIVRTQALPATRGTVSDRNGAVLALSAPTESLFAVPKDMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLYGEDGAEVVLRDRQGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAIKPFVIAKALDAGKTDLNERLNTQPYKIGPSPVRDDTHVYPSLDVRGIMQKSSNVGTSKLSARFGAEEMYDFYHELGIGVRMHSGFPGETAGLLRNWRRWRPIEQATMSFGYGLQLSLLQLARAYTALTHDGVLLPLSFEKQAVAPQGKRIFKESTAREVRNLMVSVTEPGGTGTAGAVDGFDVGAKTGTARKFVNGRYADNKHVATFIGFAPAKNPRVIVAVTIDEPTAHGYYGGVVAGPPFKKIMGGSLNILGISPTKPLTAAAVKTPS ->ARGMiner~~~tetR~~~WP_000037157.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_000037157.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIADSNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~puromycin_resistance_protein~~~CAA68909.1~~~puromycin puromycin_resistance_protein +>ARGMiner~~~puromycin_resistance_protein~~~CAA68909.1~~~puromycin~~~puromycin_resistance_protein MTEYKPTVRLATRDDVPRAVRTLAAAFADYPATRHTVDPDRHIERVTELQELFLTRVGLDIGKVWVADDGAAVAVWTTPESVEAGAVFAEIGPRMAELSGSRLAAQQQMEGLLAPHRPKEPAWFLATVGVSPDHQGKGLGSAVVLPGVEAAERAGVPAFLETSAPRNLPFYERLGFTVTADVECPKDRATWCMTRKPGA ->ARGMiner~~~mdtL~~~WP_032249281.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~WP_032249281.1~~~multidrug~~~mdtL MSRFMICSFALVLLYPAGIDMYLVGLPRIAADLNASEAQLHIAFSVYLAGMAAAMLFAGKVADRSGRKPVAIPGAALFIIASVFCSLAETSTLFLAGRFLQGLGAGCCYVVAFAILRDTLDDRRRAKVLSLLNGITCIIPVLAPVLGHLIMLKFPWQSLFWAMAMMGIAVLMLSLFILKETRPAAPAASDKPRENSESLLNRFFLSRVVITTLSVSVILTFVNTSPVLLMEIMGFERGEYATIMALTAGVSMTVSFSTPFALGIFKPRTLMITSQVLFLAAGITLAVSPSHAVSLFGITLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAVVGIGAWNMLIGILIACSIVSLLLIMFVAPGRPVAAHEEIHHHA ->ARGMiner~~~mdtL~~~WP_023260141.1~~~multidrug mdtL +>ARGMiner~~~mdtL~~~WP_023260141.1~~~multidrug~~~mdtL MKRFLLCSFALVLLYPAGIDMYLVGLPRIAVDLNASESQLHIAFSVYLAGMATAMLFAGKIADQSGRKPVAIVGAIVFMMASLLCSRASEGSLFLSGRFLQGIGAGGCYVVAFAILRDTLDEHRRAKVLSLLNGITCIVPVLAPVVGHLIMLRFPWQSLFYTMSAMGIIVGLLSLFILRETRPVRLAPRDLSRSSPAAESLINRFFVSRLAITTLSVSVILTFVNASPVLLMEVMGFSRGDYAITMALTAGVSMVVSFSTPFALGLFKPRTLMLVSQGLFLTAGVTLSLAHTNTVTLFGLTLICAGFSVGFGVAMSQALGPFSLRAGVASSTLGIAQVCGSSLWIWLAAILGISAMNMLIGILIGCSIVSILLIFSVTPNRSVAEHEEIPYQSRP ->ARGMiner~~~tetR~~~WP_019458940.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_019458940.1~~~tetracycline~~~tetR MSKKEDIINTALNLFNKIGYNATGVDRIIAESNVAKMTFYKYFPSKESLIMECLEHRNINIQNSIYERLNAHPDAEPLEKIHLIFNWYIDWINSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELGIENPTPLTHMLISVIDGIIIDGTIDKDLIDPNKKWQYIEYLIKTENLS ->ARGMiner~~~marR~~~WP_065022351.1~~~multidrug marR +>ARGMiner~~~marR~~~WP_065022351.1~~~multidrug~~~marR MTKKYARLLPTTESFTLEDFPYYWITQVHAQYVQNIDNALKKYGLDNSRRRIMLALSSKPHASVSELSDMIISKMSTTTKIVYRLKDEGLVETYSCQSDGRITRVYLTERGTEMINKINDLTSVVLEQSFEGITPLQLEKMMESLKLLLKNLSR ->ARGMiner~~~tetR~~~WP_038348473.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_038348473.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSENFNGCLFKKAFIEVYKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENL ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278885.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_001278885.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTRPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPIKHAFDAGVKAQIGIDY ->ARGMiner~~~penA~~~AAM97239.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97239.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAIKPFVIAKALDADKTNLNERLNTQPYKIGPAQVRDTHVYPSLDVRGIMQKSSNVGTSKLSARFSSKEMYDLYHELGIGVRMHSGFPGESAGALRNWQKWRPIEQATMSFGYGLQLSLLQLARAYTVLTHDGVLLPVSFEKQAVAPQGKRIFKASTARQVRELMVSVTEPGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~tetR~~~WP_049068193.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_049068193.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNATGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIDKIHLIFNWYIDWVNSENFNGCLFKKAFIKVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYFIKTENP ->ARGMiner~~~kasugamycin_resistance_protein_ksgA~~~Q56016~~~kasugamycin kasugamycin_resistance_protein_ksgA +>ARGMiner~~~kasugamycin_resistance_protein_ksgA~~~Q56016~~~kasugamycin~~~kasugamycin_resistance_protein_ksgA MNNRVHQGHLARKRFGQNFLNDRFVIDSIVSAINPQKGQAMVEIGPGLAALTEPVGERLDKLTVIELDRDLAARLQTHPFLGPKLTIYQQDAMTMNFGELSAQLGQPLRVFGNLPYNISTPLMFHLFSYTDAIADMHFMLQKEVVNRLVAGPNSKEYGRLSVMAQYYCQVIPVLEVPPSAFTPPPKVDSAVVRLVPHATMPYPVKDIRVLSRITTEAFNQRRKTIRNSLGNLFSVETLTEMGIDPAMRAENISVAQYCQMANYLSENAPLKES ->ARGMiner~~~tetR~~~NC_011586.7043679.p01~~~tetracycline tetR +>ARGMiner~~~tetR~~~NC_011586.7043679.p01~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESKVAKMTFYKYFSSKEVLIEECLRRRILEVQTSLLDKVNSADNPLNKLKSIFNWYIDWINTEDFSGCLFKKATIEVLQLYPSIKKQVNKYREWIYSLVLSIFLELEIEDPKVLSSLFLNIIDGLIIDGTINKPEINSEETWSYINKLIELETKQKYEAA ->ARGMiner~~~penA~~~AAM97248.1~~~beta-lactam penA +>ARGMiner~~~penA~~~AAM97248.1~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAIAVLFAGLIARGLYLQTVTYNFLKEQGDNRIVRTQTLPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFVFEKELKRHYPMGNLFAHVIGFTDIDGKGQEGLELSLEDSLHGEDGAEVVLRDRQGNIVDSLDSPRNKAPKNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALANTPAYDPNRPGRADSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGPATVQDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQKIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYGGVVTGPPFKKIMGGSLNILGVSPTKPLTAAAVKTPS ->ARGMiner~~~mepA~~~CCQ25429.1~~~multidrug mepA +>ARGMiner~~~mepA~~~CCQ25429.1~~~multidrug~~~mepA MVSTYFQHFYCNCNLYIFRSAYYAFRGTGDIHDIASDFLSLILLGAVFQTFAMAMNNIVRSEGNAKTAMLTMIISAILNMILNPIFIMGFGMGVRGSALATVIAQAVGAIWLLIYFLSGKSTLSLKGFSFRMDFPLIRRIMAIGFPSFIMMSAGSIVTVAVNWMLNIYGGTMAIAELRTGLRRSSLCQSMVSHKGCNQSSASTTVPDNSNA ->ARGMiner~~~PBP-2X~~~CAO91626~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~CAO91626~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAIFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGSKGNGITYANMMSIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRVGNIVPGTELVSQQTVDGKDVYTTLSSPLQSFMETQMDAFLEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKEGITEDFVWRDILYQSNYEPGSAFKVMMLASSIDNNTFPSGEYFNSSEFKMADVTTRDWDVNGGLTTGGMMTFLQGFAHSSNVGTSLLEQKMGDATWLDYLKRFKFGVPTRFGLTDEYAGQLPADNIVSIAQSSFGQGISVTQTQMLRAFTAIANDGVMLEPKFISAIYDTNNQSVRKSQKEIVGNPVSKEAASTTRNHMILVGTDPLYGTMYNHYTGKPIITVPGQNVAVKSGTAQIADEKNGGYLVGSTNYIFSVVTMNPAENPDFILYVTIQQPEHFSGIQLGEFATPILERASAMKESLNLQSPAKNLDKVTTESSYAMPSIKDISPGELAEALRRNIVQPIVVGTGTKIKETSVEEGTNLAPNQQVLLLSDKVEEIPDMYGWKKETAETFARWLDIGLEFEGSGSVVQKQDVRTNTAIKNIKKIKLTLGD ->ARGMiner~~~tetR~~~WP_034119807.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_034119807.1~~~tetracycline~~~tetR MSKRETIITTAMTLFNQKSYTSIGVDKIIAESNVAKMTFYKYFSSKEVLIEECLQRRILEVQASLLEKVNRAHDPLNKLKNVFNWYIDWINTEDFYGCLFKKATIEVLQMYPSIKSLVNQYRQWIYDLVVSMFLELEIEDPTVLSSLFLNIIDGLIIDGTINKPEINAERTWSYINKLIELETTQSCAAA ->ARGMiner~~~rifampin_resistance_protein~~~AAL73823~~~rifamycin rifampin_resistance_protein +>ARGMiner~~~rifampin_resistance_protein~~~AAL73823~~~rifamycin~~~rifampin_resistance_protein MNNTIINSLIGGDDSIKRSNVFAVDSQIPTLYMPQYISLSGVMTNDGLDNQAIASFEIRDQYITALNHLVLSLELPEVKGMGRFGYVPYIGYKCINHVSVSSCNGVIWEIEGEELYNNCINNTIALKHSGYSSELNDISIGLTPNDTIKEPSTVYVYIKTPFDVEDTFSSLKLSDSKITVTVTFNPVSDIVIRDSSFDFETFNKEFVYVPELSFIGYMVKNVQIKPSFIEKPRRVIGQINQPTATVTEVHAATSLSVYTKPYYGNTDNKFISYPGYSQDEKDYIDAYVSRLLDDLVIVSDGPPTGYPESAEIVEVPEDGIVSIQDADVYVKIDNVPDNMSVYLHTNLLMFGTRKNSFIYNISKKFSAITGTYSDATKRTVFAHISHSINIIDTSIPVSLWTSQRNVYNGDNRSAESKAKDLFINDPFIKGIDFKNKTDIISRLEVRFGNDVLYSENGPISRIYNELLTKSNNGTRTLTFNFTPKIFFRPTTITANVSRGKDKLSVRVVYSTMDVNHPIYYVQKQLVVVCNDLYKVSYDQGVSITKIMGDNN ->ARGMiner~~~PBP-1B~~~AF101781.1.gene1.p01~~~beta-lactam PBP-1B +>ARGMiner~~~PBP-1B~~~AF101781.1.gene1.p01~~~beta-lactam~~~PBP-1B MQNQLNELKRKMLEFFQQKQKNKKSARPGKKGSSTKKSKTLDKSAIFPAILLSIKALFNLLFVLGFLGGMLGAGIALGYGVALFDKVRVPQTEELVNQVKDISSISEITYSDGTVIASIESDLLRTSISSEQISENLKKAIIATEDEHFKEHKGVVPKAVIRATLGKFVGLGSSSGGSTLTQQLIKQQVVGDAPTLARKAAEIVDALALERAMNKDEILTTYLNVAPFGRNNKGQNIAGARQAAEGIFGVDASQLTVPQAAFLAGLPQSPITYSPYENTGELKSDEDLEIGLRRAKAVLYSMYRTGALSKDEYSQYKDYDLKQDFLPSGTVTGISRDYLYFTTLAEAQERMYDYLAQRDNVSAKELKNEATQKFYRDLAAKEIENGGYKITTTIDQKIHSAMQSAVADYGYLLDDGTGRVEVGNVLMDNQTGAILGFVGGRNYQENQNNHAFDTKRSPASTTKPLLAYGIAIDQGLMGSETILSNYPTNFANGNPIMYANSKGTGMMTLGEALNYSWNIPAYWTYRMLRENGVDVKGYMEKMGYEIPEYGIESLPMGGGIEVTVAQHTNGYQTLANNGVYHQKHVISKIEAADGRVVYEYQDKPVQVYSKATATIMQGLLREVLSSRVTTTFKSNLTSLNPTLANADWIGKTGTTNQDENMWLMLSTPRLTLGGWIGHDDNHSLSRRAGYSNNSNYMAHLVNAIQQASPSIWGNERFALDPSVVKSEVLKSTGQKPGKVSVEGKEVEVTGSTVTSYWANKSGAPATSYRFAIGGSDADYQNAWSSIVGSLPTPSSSSSSSSSSSDSSNSSTTRPSSSRARR ->ARGMiner~~~tetR~~~WP_002133469.1~~~tetracycline tetR +>ARGMiner~~~tetR~~~WP_002133469.1~~~tetracycline~~~tetR MSKKEDIINTALELFNQIGYNASGVDKIIAESNVAKMTFYKYFPSKESLIMECLHHRNINIQNSIYEKLSLHPDVSPIEKIHLIFNWYIDWVNSENFNGCLFKKAFIEVSKQYTSIREPFQEYTNWLINLLNSLLVELDIKDPTPLTHIIISIIDGIIIDGTIDKDLIDPSKKWQYIEYLIKTENP ->ARGMiner~~~PBP-2X~~~YP_001693856~~~beta-lactam PBP-2X +>ARGMiner~~~PBP-2X~~~YP_001693856~~~beta-lactam~~~PBP-2X MKWTKRVIRYATKNRKSPAENRRRVGKSLSLLSVFVFAVFLVNFAVIIGTGTRFGTDLAKEAKKVHQTTRTVPAKRGTIYDRNGVPIAEDATSYNVYAVIDENYKSATGKILYVEKTQFNKVAEVFHKYLDMEESYVREQLSQPNLKQVSFGAKGNGITYANMMAIKKELETAEVKGIDFTTSPNRSYPNGQFASSFIGLAQLHENEDGSKSLLGTSGMESSLNSILAGTDGIITYEKDRVGNIVPGTELVSQQTVDGKDVYTTLSSPLQSFMETQMDAFLEKVKGKYMTATLVSAKTGEILATTQRPTFNADTKDGITKDFVWRDILYQGNYEPGSAMKVMTLASSIDNNTFPGGEYFNSSESKIADVTTRDWDVNEGLTGGGMMTFSQGFAHSSNVGMILLEEKMGDTTWLDYLNRFKFGVPTRFGLTDEYAGQLPADNIVSIAQSSFGQGISVTQTQMIRAFTAIANDGVMLEPKFITALYDPNDQTVRKSQKEIVGNPVSKDAASQTRTQMVLVGTDPVYGTMYNHSTGKPIVTVPGQNVALKSGTAQIADEKNGGYLVGPTNYIFSVVAMNPAENPDFILYVTVQQPEHYSGIQLGEFANPILERASAMKESLNLQSPAKNLDQVTTESSYAMPSIKDISPGELAETLRRNIVQPIVVGTGTKIKETSVEEGKNLAPNQQVLLLSDKVEEIPDMYGWKKETAETFAKWLDIELEFEGSGSIVQKQDVRTNTAIKNIKKIKLTLGD ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_045149547.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~WP_045149547.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGMATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVVQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~KZO85247.1~~~unclassified cob(I)alamin_adenolsyltransferase +>ARGMiner~~~cob(I)alamin_adenolsyltransferase~~~KZO85247.1~~~unclassified~~~cob(I)alamin_adenolsyltransferase MSDERYQQRQQRVKEKVDARVAQAQDERGIIIVFTGNGKGKTTAAFGTATRAVGHGKKVGVVQFIKGTWPNGERNLLEPHGVEFQVMATGFTWDTQNRESDTAACREVWQHAKRMLADSSLDMVLLDELTYMVAYDYLPLEEVIQALNERPHQQTVIITGRGCHRDILELADTVSELRPVKHAFDAGVKAQIGIDY ->ARGMiner~~~mecC~~~KC601653.1.gene1.p01~~~beta-lactam mecC +>ARGMiner~~~mecC~~~KC601653.1.gene1.p01~~~beta-lactam~~~mecC VKQSTPSTPLPSTPINSTPQSTPKRAFAQQISNHYITRFNPKNINIYYSLKRKSTPTRQKYSTPFSTPYLWSTPGLAKRCIMGELSTPYHKISTPSSKRQYRFKASNR ->ARGMiner~~~penA~~~(Bla)Penicillin_Binding_Protein_Ecoli:CP002291:664439-666340:1902~~~beta-lactam penA +>ARGMiner~~~penA~~~(Bla)Penicillin_Binding_Protein_Ecoli:CP002291:664439-666340:1902~~~beta-lactam~~~penA MKLQNSFRDYTAESALFVRRALVAFLGILLLTGVLIANLYNLQIVRFTDYQTRSNENRIKLVPIAPSRGIIYDRNGIPLALNRTIYQIEMMPEKVDNVQQTLDALRSVVDLTDDDIAAFRKERARSHRFTSIPVKTNLTEVQVARFAVNQYRFPGVEVKGYKRRYYPYGSALTHVIGYVSKINDKDVERLNNDGKLANYAATHDIGKLGIERYYEDVLHGQTGYEEVEVNNRGRVIRQLKEVPPQAGHDIYLTLDLKLQQYIETLLAGSRAAVVVTDPRTGGVLALVSTPSYDPNLFVDGISSKDYSALLNDPNTPLVNRATQGVYPPASTVKPYVAVSALSAGVITRNTTLFDPGWWQLPGSEKRYRDWKKWGHGRLNVTRSLEESADTFFYQVAYDMGIDRLSEWMGKFGYGHYTGIDLAEERSGNMPTREWKQKRFKKPWYQGDTIPVGIGQGYWTATPIQMSKALMILINDGIVKVPHLLMSTAEDGKQVPWVQPHEPPVGDIHSGYWELAKDGMYGVANRPNGTAHKYFASAPYKIAAKSGTAQVFGLKANETYNAHKIAERLRDHKLMTAFAPYNNPQVAVAMILENGGAGPAVGTLMRQILDHIMLGDNNTDLPAENPAVAAAEDH ->ARGMiner~~~penA~~~(Bla)penA:AB511945:1298-3049:1762~~~beta-lactam penA +>ARGMiner~~~penA~~~(Bla)penA:AB511945:1298-3049:1762~~~beta-lactam~~~penA MLIKSEYKPRMLPKEEQVKKPMTSNGRISFVLMAMAVLFACLIARGLYLQTVTYNFLKEQGDNRIVRTQALPATRGTVSDRNGAVLALSAPTESLFAVPKEMKEMPSAAQLERLSELVDVPVDVLRNKLEQKGKSFIWIKRQLDPKVAEEVKALGLENFAFEKELKRHYPMGSLFAHVIGFTDIDGKGQEGLELSLEDSLHAGEGAEVVLRDREGNIVDSLDSPRNKAPQNGKDIILSLDQRIQTLAYEELNKAVEYHQAKAGTVVVLDARTGEILALVNTPAYEPNKPGQADSEQRRNRAVTDMIEPGSAMKPFTIAKALDSGKVDATDTFNTLPYKIGSATVQXDTHVYPTLDVRGIMQKSSNVGTSKLSAMFTPKEMYDFYHDLGVGVRMHSGFPGETAGLLRSWRRWQKIEQATMSFGYGLQLSLLQLARAYTVLTHDGELLPVSFEKQAVAPKGKRVIKASTAKKVRELMVSVTEAGGTGTAGAVDGFDVGAKTGTARKLVNGRYVDYKHVATFIGFAPAKNPRVIVAVTIDEPTANGYYSGVVTGPVFKQVMGGSLNILGVSPTKPLTNVAAVKTPS ->ARGMiner~~~emtA~~~WP_088245209.1~~~macrolide-lincosamide-streptogramin emtA +>ARGMiner~~~emtA~~~WP_088245209.1~~~macrolide-lincosamide-streptogramin~~~emtA MTLYFASVLSGLEYVLSNEINNKVSDSEIIQINRGKVFFTTAESFTCLSSLRSADHLFQVIDQFQIGPHKKHLSQVSERISQLDLEFIDHKDLFWVNASRKGKQTYSRFELAKKAMEGINKRYPDWNIGTSQNHQIEFRLDIEHHNVIFSLRLTDATFRFRNQTRRFSRASLLPSVAHAMVWLSDPESKDVFVDFCCGSGTILSERATYPANQIIGGDISEAVTKIAKSNLDDSKVQVNVWDARKLPFSTGCVDKIVTNLPFGRQISPDEDLELFNHHIMIEVCRVLKPNGRAVILSESVNQLFWEAKRLGLFCLESYPLSLKGVNPTLFVFEKQDGLRRK ->ARGMiner~~~VanY_Ng~~~WP_063856825.1~~~glycopeptide VanY +>ARGMiner~~~VanY_Ng~~~WP_063856825.1~~~glycopeptide~~~VanY MRRSEGDDEPRTLPPRARDRVYTAVTRVLAVLLLPVAFVRQPGRARELACGWALRMRFPAEDLTGLTDGARAAFTAARAEALWRHGQLVGLTSGYRDPRVQQRMFEEEVRRSGSVAAARMFVAPPAESNHVKGMALDVRPHEGARWLEAHGARYDLYRIYDNEWWHFEHRPECGGTPPRRLPHPGAAWASRNGGRV ->ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_063854492.1~~~Nitroimidazole NimB_Nitroimidazole_Gene +>ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_063854492.1~~~Nitroimidazole~~~NimB_Nitroimidazole_Gene MFREMPRKRQLLPTEESVAILERMTNGTLALHGDDGYPYAVPVSYVYADGKIYFHSAMQGPKVDAILRNDKVSFCVVEQDEVKPAEFTTYFRSVIVFGKARILTDENEKRNALNLLADKYSHGEAGMEAEMAKGFNHLLMIEITVEQMTGKEAIELTRGRNGCS ->ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_063854491.1~~~Nitroimidazole NimB_Nitroimidazole_Gene +>ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_063854491.1~~~Nitroimidazole~~~NimB_Nitroimidazole_Gene MFRAMRPKRHELPTDESVGILKRMTNGTLALHGDGDYPYAVPVSYVYSDGRIYFHTATQGHKVDALMRNDKVSFCVVEQDDVKSAEFTTYFRSVIPFGRARILTDETENGAALQLLADKYSSGMPGLEAVIAKGFRHLLMVEIDIEHLTGKESIELVREKNDM ->ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_063854490.1~~~Nitroimidazole NimB_Nitroimidazole_Gene +>ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_063854490.1~~~Nitroimidazole~~~NimB_Nitroimidazole_Gene MFREMRRKRQLLPTEESVAILERMTNGTLALHGDDGYPYAVPISYVYADGKIYFHSAMKGHKVDAILQNDKVSFCVVEQDDIRPSEFTTYFRSVIVFGKAHILTDELEKRVALGLLADKYSYGEAGMEAEIAKGFNHLLIVKIAIEHITGKEAIELTKNRNDRP ->ARGMiner~~~cpt~~~WP_063844287.1~~~chloramphenicol cpt +>ARGMiner~~~cpt~~~WP_063844287.1~~~chloramphenicol~~~cpt MTTRMIILNGGSSAGKSGIVRCLQSVLPEPWLAFGVDSLIEAMPLKMQSAEGGIEFDADGGVSIGPEFRALEGAWAEGVVAMARAGARIIIDDVFLGGAAAQERWRSFVGDLDVLWVGVRCDGAVAEGRETARGDRVAGMAAKQAYVVHEGVEYDVEVDTTHKESIECAWAIAAHVVP ->ARGMiner~~~aac2'~~~WP_063839881.1~~~aminoglycoside aac2' +>ARGMiner~~~aac2'~~~WP_063839881.1~~~aminoglycoside~~~aac2' MKDRSHDDSMAEVCRNTSENHWLKTDYRTLFRLCPDGRIERENDPDCSPGPRFWLAGCSEGNVFGVRADVPDDIALKLEELASVEPPFTPPAIPKHLERYLSLLGSDGPVTHDLGLIYELPHAQQYPSKARLIGSGSEEGESLMQSWAEDRVPEALFELGFREVADFWTPWCAAVVDGEVASIAFAARLADAGAELGLVTAKAFRGQGFAAAATAGWSRLSALRSRTLFYSTDRDNISSQRVAARLGLRLRGASLRISRA ->ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_032488596.1~~~Nitroimidazole NimB_Nitroimidazole_Gene +>ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_032488596.1~~~Nitroimidazole~~~NimB_Nitroimidazole_Gene MFREMRRKRQLLPPEESLAILERMTGGTLALHGDNGYPYAVPVSYVYADGKIYFHGAVQGHKMDAIRQHPEVSFCVVEQDRIVPAEFTTYFRSVIVFGKARILTDEVEKRAALLRLAEKYSSGESGMQDEIDKGFDHLVMVEITVEHMTGKEAIQLVRRKGNNRWDAFPSKDVFIR ->ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_021588763.1~~~Nitroimidazole NimB_Nitroimidazole_Gene +>ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_021588763.1~~~Nitroimidazole~~~NimB_Nitroimidazole_Gene MNRLDNMEFREMRRKRQQLSDAECVGILENATSGTLALQGDGGYPYAVPISYVHADGKLYFHSALKGHKVDAIRGCDKASFCVIEQDEVHGEEYTPYFRSVIAFGRIRILEDEAERMAAARLLGDRYHPHHEEALGRELAKSFSHMLVICLDIEHMTGKEAIELVRMKRQRA ->ARGMiner~~~cpt~~~WP_010982315.1~~~chloramphenicol cpt +>ARGMiner~~~cpt~~~WP_010982315.1~~~chloramphenicol~~~cpt MADVIVLNGGSSSGKSGIVRCLQAVLPDPWLALGTDTLVDAMPASMQASDAGIEFAPDGEVIVGPEFRTLEAAWIEGVAAMARAGARVIVDEVFLGGADSQQRWQKALRDLRVLWVGVRCDGAVAAGREIARGDRVIGMAASQADVVHRGVVYDLEVDTTHAESMECARAIATHVR ->ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_005812825.1~~~Nitroimidazole NimB_Nitroimidazole_Gene +>ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_005812825.1~~~Nitroimidazole~~~NimB_Nitroimidazole_Gene MNEFREMRRKRQQLSEEESIAILQKATAGTLALLGDNDYPYAVPISYVYADGRLYFHSALSGHKVDAIRKCDKASFCVIEQDEVHPEKYTTFFRSVIAFGRIHIIEDETEKLETARMLVNRYNPNQEEALQKELENGLSRMLMIRFDIEHLTGKEAIELVRRHQK ->ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_005812773.1~~~Nitroimidazole NimB_Nitroimidazole_Gene +>ARGMiner~~~NimB_Nitroimidazole_Gene~~~WP_005812773.1~~~Nitroimidazole~~~NimB_Nitroimidazole_Gene MFREMRRKRQLLPQEESVAILEKMTNGTLALHGDNGYPYAVPLSYFYADGKIYFHCAKIGHKVDAIMQNNKVSFCVVEQDNIKPAEFTTYFRSVIVFGKAYILTDETEKRMAMTLLVNKYSFGEPGLSDEIAKSINHLLMVKIDIEHMTGKEAIDLVREKEKFCTSEKTS ->ARGMiner~~~Tet54~~~WP_099982811.1~~~tetracycline Tet54 +>ARGMiner~~~Tet54~~~WP_099982811.1~~~tetracycline~~~Tet54 MSTIKKILVIGAGIAGTAVCYWLRRFGFYPVLIEKSACIRKGGQGLDIRGVAIDIVKKMVIYEQICKMRTQVECGRYVDVVGKTLHEEKGEKFAFRQDEDVEILRGDLIEILMKTIDDVPCHFNQSIDSIEQNDDDVIVYFKDARVEHYDLIIGADGIHSSIRRMVFDKDEYRLVNLAEAYFSIFSIPNYLNLSHTEVQCETNEKLVSITSDKDPKTAQVAFMFRSQHVWNNLRDEHEQMQFLRDIFHDFGWEAQKILELMPNSHDFYFDSVTQVKMRSWTKGQVALVGDASYSASPLSGQGNNLALVGAYILAGELKAAEGNYRLAFNRYNELLHPFVEANQQLGAWVSESFLVPDAVSKEVAEERSNRILQKVQIISNAIKLPEYE ->ARGMiner~~~Tet53~~~WP_099982810.1~~~tetracycline Tet53 +>ARGMiner~~~Tet53~~~WP_099982810.1~~~tetracycline~~~Tet53 MSTINKILVIGAGIAGPAVCYWLRRFGFSPVLIEKFANIRKEGQALDFRGVAIDIVKGMNIYEKMCNMHKQLEVGRYVDTEGNTLHEERGERFCFRQGEDVEIARGDFAEILTGAIEGIPCHFNQVIDSIKQSDDDVKVQFNDGRVEHYDLVIGADGIYSTTRGMVFDKDEYKLVNLGVCFGVFSILNYLNLSHTEVQCEANQKLISIWSHKNPKMAEVAFVFRTQNVLNNIRNKNEQQQLLRDAFQDFGWEASKILELMTGSDDFYFDSATQVKMKSWTKGRVALLGDAGYSASPLSGQGNYLALVGAYIFAGELKKADGNYTRAFSRYNELLHPLVEACHKLGVLVSESFLVPDEVSKEVAEERSNKILQEVKIVSNMISLPEYE ->ARGMiner~~~Tet52~~~WP_099982809.1~~~tetracycline Tet52 +>ARGMiner~~~Tet52~~~WP_099982809.1~~~tetracycline~~~Tet52 MSNVNKILVIGAGIAGPAACYWLRRFGFSPVLVERSASLRKGGHALDVRGVAIDLVKRMGIYQKIYNMRTQLEFGRHVDPEGNTLHEEKGERFGFREGQDVEIIRSDLVEILIDSIEGVPLQFNQTIESVKQTDAVVEVQFKDGRTEHFDLVIGADGLHSTTRRIVFDKHEYKLNDLGAYFSVFSIPNYLNLNNTEVQCEANQKLLSITSDKNRKMAEVAFSFRGQNVLNNVRDESEQRRVLRDTFQDFGWEAPRILELMSDSDDFYSDSFTQVIMKSWTKGRVALLGDAAYSASPISGQGNNLALVGAYVLAGELKQAGGNYKRAFDRYNEILRPFIEANQKLGVLVNESFLVRDEVSKEVAEERSNNIMEQVKIASNMIVLQDYANPH ->ARGMiner~~~Tet51~~~WP_099982808.1~~~tetracycline Tet51 +>ARGMiner~~~Tet51~~~WP_099982808.1~~~tetracycline~~~Tet51 MPIINKILVIGAGIAGPAVCYWLRRFGFSPILVERCANLRKGGHAVDIRGVAIDLAKSMGIYKKICNMRTQVELGRYVDAEGNILHEEKGERFGFREGDDIEILRGDLVQILIDAMGDVPCHFNQWVESIKQRDNDVEVQFKDGRTELYDLVIGADGLHSTTRRMVFDKDEYKLTNLGAYFSAFSIPNYLNLNHTDVQFEANQKLISMASDKNPKIAITGFCFRAQNVLNNLRDENEQRRFLRDTFQDFGWETSKILELMSDSNDFYFDSFTQVKMKSWTKGRVALVGDAGYCASPFPGQGSNQALVGAYIFAGELKQAEGNYHRAFNRYNELLQPFVEANQKFGVLVNESFLVRDEVSKEVAEERSNKIMQEIKIVSNMISLPNYE ->ARGMiner~~~Tet50~~~WP_099982807.1~~~tetracycline Tet50 +>ARGMiner~~~Tet50~~~WP_099982807.1~~~tetracycline~~~Tet50 MTKHIKILVIGVGVAGPAVAYWLKRFGFSPVLIEKSAAVRKGGQALDIRGIATHIAKEMGIYDQICNMRTQIKCGRYVDVKGNVLHEEQGETFGFRQDDEVEILRGDLVEILMKAIADIPCEFKQSVIKIEQNEDSVTVTYKDGRVENYDLVIAADGIHSATRGMVFSKNEYQLINLGSYVSAFTIPNYLGLDHMELLCESNHKLVTLQSDSQADKAMAGFMFRSKHVLEDIRDEQEQKHFLHASFQNFGWETQNILNRMPESDDFYFDAITQIKMKSWTKGRIALIGDAAYCPSPLSGQGNNLAFVGAYILAGELKKADGDYIQAFTRYNELLHPFVEANQQFGVWVSESFLLKDDEVSKEIAEARSNKILAMIKSVSNSINLPQYE ->ARGMiner~~~Tet49~~~WP_099982806.1~~~tetracycline Tet49 +>ARGMiner~~~Tet49~~~WP_099982806.1~~~tetracycline~~~Tet49 MSAINKILVIGAGIAGPTVCYWLKRFGFSPTLIERSSKIRKGGQGLDVRGVAIDIVKRMGIYEKICNMRTQVELGRYVDARGNILHEEKGERFAFRQGEDVEINRGDLVEILIQTIEGVPCHFNQWIDGIKQSDNDVEVQFKDGRTEHYGLVIGADGLHSKTRRMVFNEDEYKLTNLGLYFSVFSIPNYLNLNHTEVQFEANQKLISLTSDKNPKMAEAAFCFRTQNVLNNIRDENEQQKFLRDTFQDFGWETSKILELMSDSDNFYFDSVTQVEMKSWTKGRVALLGDAGYSASPISGQGNNLALVGAYVFAGELKQAGGNYHRAFSRYNELLHSFVEANQKLGILVNESTLVYGEVSQEVAEERSNKIMQEVEIAANMISLPNYE ->ARGMiner~~~Tet48~~~WP_099982805.1~~~tetracycline Tet48 +>ARGMiner~~~Tet48~~~WP_099982805.1~~~tetracycline~~~Tet48 MTFLFKEFKGVFKMKKVLVVGAGVAGLAVCYWLKEFGFSPTLIEKSNALRKGGYGVDIFGIAVDIAKKMSVYEKICAMRTQLEHGFYVNADGHTLVEEQGEKFGFRQGEEVEILREDLIEILKQAIKDIPCHFNQRIKRIKQDGKHVEVTFKDNKTENYDLVIGADGVHSTTRAFTFDKEEYDLIDFGCYSAIFSLPNYLKLRQSEIAFDANQKFISVSSDKNPTIALASLMFHSNRGDNIRNEKDQKSFFKDAFIDLGWETNNLLQYMEESNDFYFDVATQIKMKSWTKGRIALVGDSGYCSTALSGQGTTTALVGAYILAGELKAANGNHITAFERYNMLLHPFVEANQELGAWINETFLLEDAVSKEAVEARTDNIIKKISAISNVIKLPEYSAYK ->ARGMiner~~~Tet47~~~WP_099982804.1~~~tetracycline Tet47 +>ARGMiner~~~Tet47~~~WP_099982804.1~~~tetracycline~~~Tet47 MRCHFRCNLALPLLVFLNLSRAIKDYQTMSSINNILVIGAGIAGPSVCYWLKRFGFSPVLIEKSANLRKGGHALDVRGVAIDLVKRMGIYEKIGNRRTQVEFGRYMDTQGNILHEEKGERFCYREGEDVEITRGDLVEILIDAIEGVPCYLNQSIDSIKQRDGDVAVRFKDGKIEYYDLIIGADGLHSTTRRMVFDKDEYQLTNLGAYFSVFSIPNYLNLNHTEIQFEANQKLISMTSDKNPKMAEVAFMFRVQNVLNNRRDENEQKRFLRDTFQGFGWETSKILELMSDSDDFYFDSVSQVKMKSWTKGRVALLGDAGYCASPISGQGNNLALVGAYVLAGELKQASDNYHQAFNRYNELLHPFIEANQKLGVLVNESFLVQDEVSKEVAEERSNKIMEEVKIVSNMISLPDYE ->ARGMiner~~~pexA~~~WP_063854502.1~~~chloramphenicol pexA +>ARGMiner~~~pexA~~~WP_063854502.1~~~chloramphenicol~~~pexA MKKFVLFCERNGIALIPIVLILLGCGLWPEMELLVPSLPDMQRAFNIQDAQIQQLLTANFVGFLIGVLFAGPLCDSAGRRTVMMIGTIGYLVSSVLCPFCNDFVLLMIARFFQGLFMTGPVIAGGVLLMEATEGVKQIFWMSIGNAAITFCMAAGPIVGSWINTGFGYVGNLWSILILGLIGCLPALFLVPESLPVEKRAAFHPKLLFKGYFALLKDFRFMCLAIPMCALAAAYWIYVGVSALYMVNQLGIAQEMFGRYQGPIVGCFSIISLGSSKLLQRFGLMKCLRAGIVSMFTGMLLLLGMSILSLDHAVATTVFMMFFVGGMAPICSMLFPYALGHLPVDLKGNAQAMVQAIRLFFASIGTSLVGVFYKSAFLPVALIMFAILLFSCYFLWKGRRYLKEGLGADHILSVGH ->ARGMiner~~~dfrA9~~~WP_063844475.1~~~trimethoprim dfrA9 +>ARGMiner~~~dfrA9~~~WP_063844475.1~~~trimethoprim~~~dfrA9 MASLNMIVAVNKTGGIGFENQIPWHEPEDLKHFKAVTMNSVLIMGRKTFASLPKVLPGRLHVVVSKTVPPTQNTDQVVYVSTYQIAVRTASLLVDKPEYSQIFVIGGKSAYENLAAYVDKLYLTRVQLNTQQDTELDLSLFKSWKLVSEVPTITENKTKLIFQIWINPNPISEEPTC ->ARGMiner~~~dfrA3b~~~WP_032491313.1~~~trimethoprim dfrA3b +>ARGMiner~~~dfrA3b~~~WP_032491313.1~~~trimethoprim~~~dfrA3b MTKQAIFAVAENLAFGLGGGLPWDTLKDDLQFFKRLTEGTDLVMGASTYRTLPLLPTNNRQFIVVSNTEEPSLNVHVVSPEHFKAFLSKTSRNLTIIGGSSLLTVDILSKMDKIIMTTVYGSFDADVYLPTEVVSYVTGKASNATLFNNSDAKMAVYYG ->ARGMiner~~~aadC~~~(AGly)aadC:V01282:225-701:477~~~aminoglycoside aadC +>ARGMiner~~~aadC~~~(AGly)aadC:V01282:225-701:477~~~aminoglycoside~~~aadC MKERYGTVYKGSQRLIDEESGEVIEVDKLYRKQTSGNFVKAYIVQLISMLDMIGGKKLKIVNYILDNVHLSNNTMIATVREIAEGTNTSTKTVNTTLKILEEGNIIKRRTGALMLNPELLMRGDDQKQKYLLLEFGNFEQEDDQKQENALSEYYSFKE ->ARGMiner~~~aph3-Va~~~(AGly)aph3-Va:U24442:680-1456:780~~~aminoglycoside aph3-Va +>ARGMiner~~~aph3-Va~~~(AGly)aph3-Va:U24442:680-1456:780~~~aminoglycoside~~~aph3-Va MSPPSPVLKNFEAWTMRCVHCGVGIPVVSGLLWRMGPRGLVFIGFGVVGGSCLSRWQLWGPGWAWVRLSGWCGWRRWGFPYLVLWRVVGTRGSPGWSPKRFRGVRPVRGGRGSSGWTWRWRSRGSLVRCTALDWERCPFDRSLAVTVPQARAVAEGSVDLEDLTRSGRGGRGSGFSPSWSGLGLRTRISVCHGDLCPDNVLLDPRTCEVTGLIDWGGSAVRTGTPISRGAGVARAARVGRGRCNRRKSCVYRLLDEFF ->ARGMiner~~~apH-Stph~~~(AGly)apH-Stph:HE579073:1778413-1779213:801~~~aminoglycoside apH-Stph +>ARGMiner~~~apH-Stph~~~(AGly)apH-Stph:HE579073:1778413-1779213:801~~~aminoglycoside~~~apH-Stph VDELEQFYQLGWTLDSAGGASGEAYMAEQDGQKLFLKRNSNPFIAALSAEGIVPKLVWTKRIETGEVVTAQHWKNGRELSSNEMKQTRVAHLLKKIHNSRPLLSMLKRMEMEPITPEIMLNKINASLSREVLTHHIVRKSLTYLEEHIPSLDSRFFTVVHGDVNHNNWLLSDRDELFLVDWEGAMIADPAIDIGMLLYNYVPQQQWSEWLETYGVQESLNLNKRMKWYTVIQSIGLVQWYEEQKRYKDMNTWLKFLNEVMNSNMFI ->ARGMiner~~~ampH_Ecoli~~~(Bla)ampH_Ecoli:AP012030:395554-396711:1158~~~beta_lactam ampH_Ecoli +>ARGMiner~~~ampH_Ecoli~~~(Bla)ampH_Ecoli:AP012030:395554-396711:1158~~~beta_lactam~~~ampH_Ecoli LKRSLLFSAVLCAASLTSVHAAQPITEPEFASDIVDRYADHIFYGSGATGMALVVIDGNQRVFRSYGETRPGNNVRPQLDSVVRIASLTKLMTSEMLVKLLDQGTVKLNDPLSKYAPPGARVPTYNGTPITLVNLATHTSALPREQPGGAAHRPVFVWPTREQRWKYLSTAKLKAAPGSQAAYSNLAFDLLADALANASGKPYTQLFEEQITRPLGMKDTTYTPSPDQCRRLMVAERGASPCNNTLAAIGSGGVYSTPGDMMRWMQQYLSSDFYQRSNQADRMQTLIYQRAQFTKVIGMDVPGKADALGLGWVYMAPKEGRPGIIQKTGGGGGFITYMAMIPQKNIGAFVVVTRSPLTRFKNMSDGINDLVTELSGNKPLVIPAS ->ARGMiner~~~AmpC1_Ecoli~~~(Bla)AmpC1_Ecoli:FN649414:2765051-2766355:1302~~~beta_lactam AmpC1_Ecoli +>ARGMiner~~~AmpC1_Ecoli~~~(Bla)AmpC1_Ecoli:FN649414:2765051-2766355:1302~~~beta_lactam~~~AmpC1_Ecoli MKRTMLYLSLLAVSCSVSAAKYPVLTESSPEKAGFNVERLNQMDRWISQQVDVGYPSVNLLIIKDNQIVYRKAWGAAKKYDGSVLMEQPVKATTGTLYDLASNTKMYATNFALQKLMSEGKLHPDDRIAKYIPGFADSPNDTIKGKNTLRISDLLHHSGGFPADPQYPNKAVAGALYSQDKGQTLEMIKRTPLEYQPGSKHIYSDVDYMLLGFIVESVTGQPLDRYVEESIYRPLGLTHTVFNPLLKGFKPQQIAATELNGNTRDGVIHFPNIRTSTLWGQVHDEKAFYSMGGVSGHAGLFSNTGDIAVLMQTMLNGGGYGDVQLFNAETVKMFTTSSKEDATFGLGWRVNGNATMTPTFGTLASPQTYGHTGWTGTVTVIDPVNHMTIVMLSNKPHSPVADPQKNPNMFESGQLPIATYGWVVDQVYAALKQK ->ARGMiner~~~ampH~~~(Bla)ampH:CP003785:4208384-4209544:1161~~~beta_lactam ampH +>ARGMiner~~~ampH~~~(Bla)ampH:CP003785:4208384-4209544:1161~~~beta_lactam~~~ampH LKRSLLIFAALCAASWTSVQAAQPTVDPVFASDVVDRYANHIYYGSGATGMALVVIDGNQRVFRSFGETRPGNNQHPQLDSVIRIASLSKLMTSEMLVKLLDQGVVKLNDPLSKYAPPGARVPDWQGKPITLVNLATHTSALPREQPGGAAHRPVFVWPTRQQRWNWLSTATLKAAPGSQAAYSNLAFDLLADALATAAGKPYPQLFEEQITRPLGMKDTTFTPSPDQCQRLMIPEKGASPCNNTLAAIGSGGVYSTPGDMMRWMQQFLSSDFYTRSQQADRMQTLIYQRNQLTRVIGMDVPGRADALGLGWVYMKPKNGHPGIIQKTGGGGGFITYMAMNPQANVGAFVVVTRSPLTRFNNMSDGINDLVSELSGAQPNMQTASQ ->ARGMiner~~~ampS~~~(Bla)ampS:NC_014932:210005-211252:1248~~~beta_lactam ampS +>ARGMiner~~~ampS~~~(Bla)ampS:NC_014932:210005-211252:1248~~~beta_lactam~~~ampS MRFDIDEMISPEMLDRLAEVAIKVGLNLQEGQDLVLTAPVKALPLVRRITYYAYKAGAGVITPLFGDEALSLIRFENAHSASFDCAPSWLYEGMAKAFENGAARLAIVGDDPLLFSNQDLDKISRLNKATSMAYQPALNKISNFTINWSIIAYPTPEWAIAMFSDLPLDKAVQKLAEAIFSASRVTQDNAVYEWHAHNANLKQRSSWLNEQRFAALHFIGPGTNLTVGLADEHEWHGGTSIAQNGVVCNPNIPTEEVFTTPHAYKVDGFVRSTKPLSYQGTLIDNIEVRFEEGRIINASASKGQEVLQRVLQSDEGASRLGEVALVPHSSPISKSGLLFYNTLFDENAACHIALGQCYSKCFLNGAALTAEDIAMRGGNKSIIHIDWMIGSNEINIDGIMQDGAKIPVFRRGEWV ->ARGMiner~~~cphA1~~~(Bla)cphA1:NC_000918:1269541-1270521:981~~~beta_lactam cphA1 +>ARGMiner~~~cphA1~~~(Bla)cphA1:NC_000918:1269541-1270521:981~~~beta_lactam~~~cphA1 MGGFLFFFLLVLFSFSSEYPKHVKETLRKITDRIYGVFGVYEQVSYENRGFISNAYFYVADDGVLVVDALSTYKLGKELIESIRSVTNKPIRFLVVTHYHTDHFYGAKAFREVGAEVIAHEWAFDYISQPSSYNFFLARKKILKEHLEGTELTPPTITLTKNLNVYLQVGKEYKRFEVLHLCRAHTNGDIVVWIPDEKVLFSGDIVFDGRLPFLGSGNSRTWLVCLDEILKMKPRILLPGHGEALIGEKKIKEAVSWTRKYIKDLRETIRKLYEEGCDVECVRERINEELIKIDPSYAQVPVFFNVNPVNAYYVYFEIENEILMGE ->ARGMiner~~~cphA2~~~(Bla)cphA2:NC_000918:673738-674661:924~~~beta_lactam cphA2 +>ARGMiner~~~cphA2~~~(Bla)cphA2:NC_000918:673738-674661:924~~~beta_lactam~~~cphA2 VGGAVMLKTLFVLLLIISTAFSMTLKKVEENLYMVRGNDGLPSKENKGFISNAYAVLTEEGWVVIDTLTTPELSEEFLNLLKKVSNKPVIYAIVTHYHLDHWYGAKTFKDKGAKVIAHEKLKEFYDSGEALQVLEAQKKRFKGVLDSVELVPPDEVVKDKKVLKVGKDVFEIYAMPPAHTNSDLVIYWKNRKVLFVGDLVYINRIPFMGDRNASSKGWLEVLERLKEFDARMLLGGHNYPMNKDAIDWTYNYIKFVRDTVKKLKDEGYFIDEIKEAFKGNPYEKVKMYEVFHNTNVWKVYNELDLEL ->ARGMiner~~~Zn-dependent_hydrolase~~~(Bla)Zn-dependent_hydrolase:NC_010410:882015-882977:963~~~beta_lactam Zn-dependent_hydrolase +>ARGMiner~~~Zn-dependent_hydrolase~~~(Bla)Zn-dependent_hydrolase:NC_010410:882015-882977:963~~~beta_lactam~~~Zn-dependent_hydrolase MKKLFVALGLIMGSLHISYAEPASAQQVPGYYHHQFGNYRITSLLDGTIYLDPKLFKNLSQAEKTKILTKYAAVNEKGIQTSVNAFLVDDGKSLTLVDSGAASCFGPQLGSIAKNLELAGYQLANVKTVLLTHLHPDHVCGIAQNGKAVFPNATIYAHEREADYWLNPANEKTVPADKKENYLGTVKNVKAALAPYQAKKAFKTFKDGDVIQGFEVINTHGHTPGHHSFRLKSKGQQIVFVGDIVHSHSLQFDAPKTGVDFDVNSEQAINTRLKMFAEISNKQQWVAAPHLPFPGIGHVYKVNAEQYQWIPLYFNNSLDK ->ARGMiner~~~BlaA1~~~(Bla)BlaA1:NC_010410:1803480-1804499:1020~~~beta_lactam BlaA1 +>ARGMiner~~~BlaA1~~~(Bla)BlaA1:NC_010410:1803480-1804499:1020~~~beta_lactam~~~BlaA1 MTISNTTDLPAPLMPSQQHNGKFRNTRPNQHKPSFGKTLQLMWKFLFNKPKNTVPNREIPVLSLSKEQLLSAPDRSLFRLGHSTILLKLQDEFWLTDPVFSERASPFQWLGPKRFHQPPISIAELPPIKGVILSHNHYDHLDYHAVMQLNDKVEHFLTPLGVGDTLIKWGIPAEKVQQLDWWGTTQVDGLKLVATPAHHFSGRGMGDSNATLWASWVIIDNDLRVFFSGDTGYFDGFKEIGLRYGPFDLTMLETGAYDPEWPDVHMQPEQTLQAHIDLKGRHLLPVHNGTFDLALHAWDDPFERIVALAQQNNLAISTPQMGQILNLNEPNVENYWWRS ->ARGMiner~~~Mbl~~~(Bla)Mbl:NC_010410:c1997136-1996441:696~~~beta_lactam Mbl +>ARGMiner~~~Mbl~~~(Bla)Mbl:NC_010410:c1997136-1996441:696~~~beta_lactam~~~Mbl MFFKQFFEKESSTYTYMLGCEETRGAVLIDPVASDIEIYAKELEQHQFTLIYTLDTHVHADHITAADLLRERFHCKSVLHRNSEVSCGDILITDGCMLKVGNLSIEARYTPGHTNACTSYLVGNMIFTGDALLIDGCGRTDFQQGNAGTLYDSIHKQLFSLPDNTIVYPGHDYKGRLSSTIGYERLNNSRLGQNRSREDFIELMNNLNLPYPKQIDKALPANQACGSISQL ->ARGMiner~~~BlaA2~~~(Bla)BlaA2:NC_010410:2507740-2509014:1275~~~beta_lactam BlaA2 +>ARGMiner~~~BlaA2~~~(Bla)BlaA2:NC_010410:2507740-2509014:1275~~~beta_lactam~~~BlaA2 MNLNIRGHLMKNFLTKKMYATRALFFAVGVTALNVSPIVISTTHAATEQKMDNLSTTLSLIFADKPIEASLFSPQFLGQVPITQIQKIVDDLKVSLGALKNINVSNGSGTIDFEKGELPVSISLNEQEQISTLWFSAPHFKTISLDEMVKGLHENAIGKTSLLVIVDNKPVVVENDKTPMAVGSTFKLLVLKAYEDAIKKGELKRETIVSLKEKNRSLPTGVLQNLPAGTPINLELLAQLMIQISDNTATDSLIDVLKKPRIEALSPRNSPLLTTRELFQLIDSSNEKLRNKFKTGTKSARLEALSELDKLPLPSVSSIGKSATWQDAEWYMSAHEICPLLESVQDAPALNSSLNPLFKNLNWQKIGFKGGSEYGVINFSVIGKTQKGHNVCAVFTANGNEPQPESKLAILFTGILQAVDSMSH ->ARGMiner~~~vanA-G~~~(Gly)vanA-G:AY271782:157-606:450~~~glycopeptide vanA-G +>ARGMiner~~~vanA-G~~~(Gly)vanA-G:AY271782:157-606:450~~~glycopeptide~~~vanA-G MTLKGVTYTEKADAGEMLLAICKDYPMSAPTEIGSYRGFRMEIYYDTVNAHYCMNLCGKAKHKVDLGADALGNLTRIENELSKLPARLEDAKTKKAETIAQLETAKEEIKKPFAFEDELKEKTERLNALNIDMDLYHIGGNNEYLITVS ->ARGMiner~~~mphD~~~(MLS)mph(D):NC_017312:2291580-2292413:834~~~macrolide-lincosamide-streptogramin mphD +>ARGMiner~~~mphD~~~(MLS)mph(D):NC_017312:2291580-2292413:834~~~macrolide-lincosamide-streptogramin~~~mphD MMTKTSKTKTENLITKKELNQVFWRSFQMEFSWNYERQMNMAYVYAMIPILKKLYPQKEEMAAALKRHLAFFNTTPHIVTFILGINAAMEEENVLDENFEVGTIDSIKTSLMGPLAGLGDSFFWGTLRLIATGVGTSLALQGNVLGPILFLLIFNVPHVIVRYLLNRWGYKLGTGFLKNIQANGMMESLTLGASIIGLMVVGAMTASMIDLTIPITISGSGKNAVTVQSIFDDIMPKLLPLASFGFVFYLLKKEVKPLAILGGMAIVGILGSLIGLF ->ARGMiner~~~catB6~~~(Phe)catB6:AJ223604:3727-4341:615~~~chloramphenicol catB6 +>ARGMiner~~~catB6~~~(Phe)catB6:AJ223604:3727-4341:615~~~chloramphenicol~~~catB6 MDVDLQSIGIQTVQEALEKGSLIFNEKTKTDDFNRACDHILVLLVDAFQCFDRGSWGTSVFLSITAIEEVAKAEVGLYRREGKIGKAKRGKDKLFNHQEKHRMAILPTVFMSKRLEEALGKEKCAELLKEAAHGEFRNLRESSLYFSNENGQFVTPANVVSQNRAKEFLLLALEAADDRLVGYTNHTGILEAKINEIFSVVAHS ->ARGMiner~~~dha1~~~(Phe)dha1:BA000018:2517801-2518988:1188~~~chloramphenicol dha1 +>ARGMiner~~~dha1~~~(Phe)dha1:BA000018:2517801-2518988:1188~~~chloramphenicol~~~dha1 MSIMRLFTFILSIFIVGMVEMMVAGIMNLMSQDLHVSEAVVGQLVTMYALTFAICGPILVKLTNRFSSRPVLLWTLLIFIIGNGIIAVAPNFSILVVGRIISSAAAALIIVKVLAITAMLSAPKNRGKMIGLVYTGFSGANVFGVPIGTVIGDLVGWRYTFLFLIIVSIIVGFLMMIYLPKDQEIQRGPVNHEAPSHENHVTSKILRPAEVAKYLIITFLVLIANSVTFVFINPLILSNGHDMSFVSLALLVNGIAGVIGTSLGGIFSDKITSKRWLMISVSIFIVMMLLMNLILPGSGLLLAGLFIWNIMQWSTNPAVQSGVIQHVEGDTSQVMSWNMSSLNAGIGVGGIIGGLVMTHVSVQAITYTSAIIGALGLIVVFTLKNNHYAKTFKSS ->ARGMiner~~~rif~~~(Rif)rif:EF541029:530-2170:1641~~~rifampin rif +>ARGMiner~~~rif~~~(Rif)rif:EF541029:530-2170:1641~~~rifampin~~~rif MELTTFNTNHPFIHSAYPKTFSYVPKNENDIYSVNVTDVRVEAISSPEIKLILPEIKGKGRVSYLKNYQFLLLDYFEIWLKNKDEHPFLFHKAKSEEIFSTYIINEYHSLNYFTNKDDFLTTKEGTHADCIIFPKKEISIPLDSLLSAFKIFKDTEIIFNFKFHNIEEIIAYDVEFRRHSLEQLKKNFSETSLNIRFQFLNVPIISSAELTATNVITKKDVIGKDNTQMMNTSDFSNTIAVSFHSKSDIFNHENRYIINPGVDYSEDVLVQKWVLNILKDLLIVTTKDMSLSENKKALGFKDEAVFHEITKNTMTFNKLEKRFCKITIENIPEDHKLYYHTNILSFTRRFQHTKALNVSTLFKKITGVYLPNQKVINFLDIDHSIDIKIVSLPISIWDHELNSHPGDLRSNAMKERDFFFKNRFLLGMDFNCKDRGYERISLKGGKDIFENLLRERKPFLRKLPIIEFDPAMQRGISLYTTFISPSLMIYADPSINFTNFLVEIQWKEYDECDPLNLLKRFPCVDLYEMQKITQNPDTQRISIESI ->ARGMiner~~~tetH~~~(Tet)tetH:EF460464:6286-7839:1554~~~tetracycline tetH +>ARGMiner~~~tetH~~~(Tet)tetH:EF460464:6286-7839:1554~~~tetracycline~~~tetH MPLDNVLAIRRLYIMIKRYYAIGIAVTATLLIPTEALASITPVLQPGNPFDPDSPFARLYLPQNAPKGKDKVGPAEWTHAYGSPTHNAGFSVSAHAPAWVRRGVQWNFPEARAWPLTDPTPFGAQVDGVKEALPVQTQFYGNALGVSMVRGVVYAESDDMFAYAINARTGKLIWRTSPVGNNLMGNPLVIGNRVFLSAGSVSFNFANVVEFKTDPDKAGRGKDISYNGIYCLNSKTGMLEWYFPTAGDAMPTPAYAYHSLYISTGDGNVYRINASDGKQIWKTHVGGIANMSSPAVWHGRVYVGMSVVPGLYALNARTGSVDWKGEIPGAVNTGMGDVSPAVAHGIVVMDAVANPKIVDGKPTMVTLVRGFNAKTGTALWTDNMGRGAKIPAFKGGVPMIHGNVVYVGSPVTSDYDAINLDTGKVLWTWHVPHPGPAGAARGAPTYYQHMLYISTGPDLYVLNPKNGQEVGHYHVGGRFGIVNPTIVGGTIYLGNSWDWVNAVPVKDVYSGFNTAKD ->ARGMiner~~~tetU~~~(Tet)tetU:U01917:413-730:318~~~tetracycline tetU +>ARGMiner~~~tetU~~~(Tet)tetU:U01917:413-730:318~~~tetracycline~~~tetU MQLRRGKATDWHAMVQESLDSFASPHFLPIDIKPIDKIVIEGLIAEPSNWSIIARHTKYKYRNLLKQESQNDELTNHLRETFKESADELKKELDTWLLGLDVTEK ->ARGMiner~~~blaB-4~~~(Bla)blaB-4:NG_055992:101-730:630~~~beta_lactam blaB-4 +>ARGMiner~~~blaB-4~~~(Bla)blaB-4:NG_055992:101-730:630~~~beta_lactam~~~blaB-4 MTARVEKVLTSGLHILDGAATAVDNNVWLVGDDREVMVVDAAHDHELVLDAIGDRRVTAIVCTHGHNDHINASVPLADEVGAPVLIHAEDRALWDRVNPDRAPDWTLSDSEVLTVAGIDLEVLHTPGHTWGSVCLHAVEQAWLFSGDTVSADGRGATGRSCSDFPVTIRSISTRLLGLDPATTVHPGHGPTTTIGAESPRLEELLVRSA ->ARGMiner~~~FomC~~~(Fcyn)FomC:AB016934:10868-11656:789~~~fosfomycin FomC +>ARGMiner~~~FomC~~~(Fcyn)FomC:AB016934:10868-11656:789~~~fosfomycin~~~FomC VSPRRPVVRYGRGAIASLPQLVEGLGATRVLVVHGAHSFEASGASRMMESFGRRTLVHRWHDFSPNPAVDDVARGLDAVRELRPDAVVGVGGGSAMDMAKLLCVLDGVPRADLEDRVRTGPAADTRRMKLVLAPTTSGSGSEATQFAVVYVGDAKYSVAGAGLLPDAAVLDPALTESGTPHQRATSGVDAIAQGIESLWAVGGDDESRGLARRGLRLLLAGIEDFVAGRTPASPAPWRWAATSSGAPSTAPRRPARTPSRTG ->ARGMiner~~~BLMA~~~WP_072081991.1~~~bleomycin_binding_protein BLMA +>ARGMiner~~~BLMA~~~WP_072081991.1~~~bleomycin_binding_protein~~~BLMA MVKFLGAVPVLTAVDVPANVSFWVDTLGFEKDFGDRDFAGVRRGDVRLHISRTEHQIVADNTSAWIEVTDPDALHEEWARAVSTDYADTSGPAMTPVGESPAGREFAVRDPAGNCVHFTAGE ->ARGMiner~~~Smr~~~WP_071846258.1~~~multidrug_efflux_SMR_transporter Smr +>ARGMiner~~~Smr~~~WP_071846258.1~~~multidrug_efflux_SMR_transporter~~~Smr MGWIYLILAGVFEVGWPVGLKMAQTPETRWSGIGVAVAFMTVSGFLLWLAQRQIPIGTAYAVWTGIGAAGTFFVGVLYYGDPTSFFRYMGVPLIIAGVITLKLAH ->ARGMiner~~~TlmB~~~WP_063856490.1~~~bleomycin_family_antibiotic_N-acetyltransferase TlmB +>ARGMiner~~~TlmB~~~WP_063856490.1~~~bleomycin_family_antibiotic_N-acetyltransferase~~~TlmB MELRTPRLRLIPLDPDRDASGLHAAFGDPEVMRWWNHVVCADVAETRERLRESVDRDGAHLWVIRRGEACEPAGMVGLLGEVDVPGLTWLLCKDAWGRGLATEAAGAVVEHALGPLDLPRVEAWVEATNERSLAVARRVGLTERGRLAQRYPHRERPHEMVVLGRARDHEPTPMLNAEVALPVRDVAATLTLLREALGGRTLFSVGDPPEVAGVSFGPWSVGPRLQLVSTRRWRIARVTLYLDVGVELEALHDRAVDAGADVVQRPTEQPWGMRECVVQLPEGHHIVLTAPA ->ARGMiner~~~Smr~~~WP_063854743.1~~~MULTISPECIES:_multidrug_efflux_SMR_transporter Smr +>ARGMiner~~~Smr~~~WP_063854743.1~~~MULTISPECIES:_multidrug_efflux_SMR_transporter~~~Smr MGWIYLILAGVFEVGWPVGLKMAQTPETRWSGIGVAVAFMTVSGFLLWLAQRQIPIGTAYAVWTGIGAAGTFFVGVLYYGDPTSFFRYMGVALIIAGVITLKLAH ->ARGMiner~~~BlmB~~~WP_063843206.1~~~bleomycin_family_antibiotic_N-acetyltransferase BlmB +>ARGMiner~~~BlmB~~~WP_063843206.1~~~bleomycin_family_antibiotic_N-acetyltransferase~~~BlmB MTEHPRAHTAHLRTARLELTPLDPAADARHLHHAYGDEEVMRWWTRPACADPAETERYLTSCAAAPGARLWTIRAPDGTVPGMAGLLGGTDVPGLTWLLRRDSWGHGYATEAAAAVVGHALEDGGLDRVEAWIEAGNRRSLAVAARVGLTERARLAQHYPHRPGPHEMVVLGKARAEEPLTTLAVITELPVRDVAATLRLVEAALGARTAFAIGDPPEFAEAALTPWSAGPRFRLAAVPGPGPVEPVRLHLDAAGTADSLHRRAVDAGARVDGPPVRRPWGRSEFVITLPEGHELTVSAPV ->ARGMiner~~~Ble-Sh~~~WP_063842998.1~~~MULTISPECIES:_phleomycin/bleomycin_binding_protein Ble-Sh +>ARGMiner~~~Ble-Sh~~~WP_063842998.1~~~MULTISPECIES:_phleomycin/bleomycin_binding_protein~~~Ble-Sh MAKLTSAVPVLTARDVAGAVEFWTDRLGFSRDFVEDDFAGVVRDDVTLFISAVQDQVVPDNTLAWVWVRGLDELYAEWSEVVSTNFRDASGPAMTEIGEQPWGREFALRDPAGNCVHFVAEEQD ->ARGMiner~~~protein~~~WP_063842962.1~~~BLMA_family_bleomycin_binding protein +>ARGMiner~~~protein~~~WP_063842962.1~~~BLMA_family_bleomycin_binding~~~protein MVKFTGAIPVLTAVDVPAGVAFWVGTLGFEEDFADDGFAGIHRGDVQLFISRTEHQLVADNTSAWVEVLGLDELHAQWSQVLSTDYADASGPAMTAVTDTPWGREFAVRDPAGNCVHFAAEH ->ARGMiner~~~AAC(3)-XI~~~WP_046095284.1~~~MULTISPECIES:_aminoglycoside_N-acetyltransferase AAC(3) +>ARGMiner~~~AAC(3)-XI~~~WP_046095284.1~~~MULTISPECIES:_aminoglycoside_N-acetyltransferase~~~AAC(3) MTTTNEIRVAEVADAGVVAKLLRDFNTEFDTPVPEGLEERFAQIIAHDDAFVLLAGDIGFAYVTLRPSPYYDGPVAMLDELYVAPAHRNRGVGTALLQRVFEEIRKHSAGELQINVDEVDTDARRFYERHGLTNIEQGSRMLLYIREL ->ARGMiner~~~hydrolase~~~WP_011906899.1~~~MULTISPECIES:_chloramphenicol hydrolase +>ARGMiner~~~hydrolase~~~WP_011906899.1~~~MULTISPECIES:_chloramphenicol~~~hydrolase MTLNPQVEALLGFFAQMPPVDYATITPEALREMNKPMQMGPPPAVAEVRDLTMDLPGRSIAARLYLPEGPGETPPLVLFYHGGGWVIGSIESHDATARAIAAASGAAVLSVEYRLAPETKFPGPLDDCYDALVWAAGHAAELGIDAARLAVAGDSAGGNLAAAVAIRARDEGGPKLAHQLLIYPVTDADFANGSYTENAEGYFLTTQMMQWFWTQYVDDHGDPHPHAAVLRHDNLAGLPPATVLVAQYDPLRDEGLAYAEALKAAGVPVETELAPGMIHGFFSMFEAVPDAMPYVERAGARLKAAFAA ->ARGMiner~~~BcrB~~~WP_003725293.1~~~MULTISPECIES:_quaternary_ammonium_compound_efflux_SMR_transporter BcrB +>ARGMiner~~~BcrB~~~WP_003725293.1~~~MULTISPECIES:_quaternary_ammonium_compound_efflux_SMR_transporter~~~BcrB MNPYVLLIGAILFEVFGSSMMKASNGFKKLVPTVGLVIGMGSAFYLLSKALEHIPLGTAYAIWSGGGTALTAIVGILVWKEKFNLKILLGLLIIIAGVVVLKLSH ->ARGMiner~~~ChrB~~~WP_109545040.1~~~23S_rRNA_(guanine(748)-N(1))-methyltransferase ChrB +>ARGMiner~~~ChrB~~~WP_109545040.1~~~23S_rRNA_(guanine(748)-N(1))-methyltransferase~~~ChrB MNLLSPILSLPTENATVRQRTWRALKASGAAVLRDGVYLMPDRDECRAVLDNLASDVREGGGVAHVLRMEDPEGVNFVALFDRSNDFAALLVDVHHLRQTLTLDTVQDVLRQVRKLRKSFTTLVEIDFYPGEAQRQADSALCELEQACARTLSPDEPHAVEGTITRLDRLDYQARTWATRARPWVDRLASAWLIRRFIDPQARILWLATPADCPPDALGFDFDGATFSHVGSRVTFEVLAASFGLEQPAITRIGLVVHYLDVGGIQPPEATGIESVLAGLRETVDHDDQLLAIASTVFDGLLASFEKGTLTV ->ARGMiner~~~ZbmA~~~WP_063856941.1~~~zorbamycin_binding_protein ZbmA +>ARGMiner~~~ZbmA~~~WP_063856941.1~~~zorbamycin_binding_protein~~~ZbmA MAVLLSGVPVLAALDVSTTQKFWIEVLGFTEEFLTEDFGGVSRDGVELFICSVEDQVVPDNTQAWLRVRDIDALHAEWSARVSSDYADASHPAMTAIREVPWGREFGLRDPAGNLVHFSELSEAAETTRTVR ->ARGMiner~~~Smr~~~WP_032491400.1~~~MULTISPECIES:_multidrug_efflux_SMR_transporter Smr +>ARGMiner~~~Smr~~~WP_032491400.1~~~MULTISPECIES:_multidrug_efflux_SMR_transporter~~~Smr MAWIYLILAGLFEIGWPVGLKMAQVPETRWSGVGIAVAFMAVSGFLLWLAQRHIPIGTAYAVWTGIGAAGTFLVGVLYYGDPTSVARYFGVALIVAGVITLKLAH diff --git a/docker/misc/build.sh b/docker/misc/build.sh new file mode 100644 index 00000000..51153a5c --- /dev/null +++ b/docker/misc/build.sh @@ -0,0 +1 @@ +../../bin/build_image.sh $1 diff --git a/docker/misc/custom_fix_grange_digis.py b/docker/misc/custom_fix_grange_digis.py new file mode 100644 index 00000000..37c4ea06 --- /dev/null +++ b/docker/misc/custom_fix_grange_digis.py @@ -0,0 +1,137 @@ +import copy +import logging + +from Bio import SeqIO +from Bio.Seq import Seq +from Bio.Alphabet import IUPAC +from Bio.SeqRecord import SeqRecord + + +class Grange: + def __init__(self, genome_name, chrom, start, end, strand, genome_seq, genome_len, circular=True): + if start <= 0: + start = 1 + if end <= 0: + end = 1 + if start == 0 and end > start: + start += 1 + if end < genome_len: + end += 1 + if start <= 0 or end <= 0 or start > genome_len or end > genome_len: + raise ValueError("GRange: start or end position is out of the range.") + self.genome_name = genome_name + self.chr = chrom + self.start = start + self.end = end + self.strand = strand + self.genome_seq = genome_seq + self.genome_len = genome_len + self.circular = circular + self.width = self.__len__() + + def set_start(self, start): + self.start = start + self.width = self.__len__() + + def set_end(self, end): + self.end = end + self.width = self.__len__() + + def get_flank_range(self, flank): + if flank + flank + self.width > self.genome_len: + max_flank = int((self.genome_len - self.width) / 2) + else: + max_flank = flank + flank_start = self.start - max_flank + if flank_start <= 0: + flank_start = flank_start + self.genome_len if self.circular else 1 + flank_end = self.end + max_flank + if flank_end > self.genome_len: + flank_end = flank_end - self.genome_len if self.circular else self.genome_len + + return Grange(self.genome_name, self.chr, flank_start, flank_end, self.strand, + self.genome_seq, self.genome_len, self.circular) + + def shift_left(self, size): + self.start -= size + if self.start <= 0: + self.start = self.start + self.genome_len if self.circular else 1 + self.end -= size + if self.end <= 0: + self.end = self.end + self.genome_len if self.circular else 1 + self.width = self.__len__() + + def remap_offsets(self, left_offset, right_offset): + if self.strand == "+": + self.end = self.start + right_offset - 1 + self.start = self.start + left_offset - 1 + if self.start > self.genome_len: + self.start = self.start - self.genome_len if self.circular else self.genome_len + if self.end > self.genome_len: + self.end = self.end - self.genome_len if self.circular else self.genome_len + else: + self.start = self.end - right_offset + self.end = self.end - left_offset + if self.start <= 0: + self.start = self.start + self.genome_len if self.circular else 1 + if self.end <= 0: + self.end = self.end + self.genome_len if self.circular else 1 + self.width = self.__len__() + + def has_overlap(self, other, ignore_strand=False, flank=0): + return self.get_overlap_length(other, ignore_strand, flank) > 0 + + def get_overlap_length(self, other, ignore_strand=False, flank=0): + other_range = copy.copy(other) + new_range = self.get_flank_range(flank) + size = min(new_range.start, other_range.start)-1 + new_range.shift_left(size) + other_range.shift_left(size) + if new_range.start <= other_range.start: + overlap = min(new_range.end-other_range.start+1, other.width) + else: + overlap = min(other_range.end-new_range.start+1, new_range.width) + + if self.strand != other.strand and not ignore_strand: + overlap = 0 + + # print(self.start, self.end, self.strand, other.start, other.end, other.strand, flank, overlap) + return overlap + + def is_inside(self, other, ignore_strand=False): + return self.get_overlap_length(other, ignore_strand, 0) == self.width + + def get_flank_lengths(self, flank): + new_range = self.get_flank_range(flank) + left_flank = self.start - new_range.start + if left_flank <= 0: + left_flank += self.genome_len + right_flank = new_range.end - self.end + if right_flank <= 0: + right_flank += self.genome_len + return left_flank, right_flank + + def get_sequence(self, flank=0, protein=False): + + new_range = self.get_flank_range(flank) + + if new_range.start <= new_range.end: + seq = self.genome_seq[new_range.start-1:new_range.end] + else: # element crossing the genome boundary + seq = self.genome_seq[new_range.start-1:self.genome_len] + self.genome_seq[0:new_range.end] + + if self.strand == '-': + seq = seq.reverse_complement() + if protein: + seq = seq.translate(table=11) + + return SeqRecord(seq, id=self.genome_name, description='') + + def __str__(self): + return "{} {} {} {} {}".format(self.genome_name, self.chr, self.start, self.end, self.strand) + + def __len__(self): + out_len = self.end - self.start + 1 + if out_len <= 0: # element crossing the genome boundary + out_len += self.genome_len + return out_len \ No newline at end of file diff --git a/docker/perlenv/Dockerfile b/docker/perlenv/Dockerfile new file mode 100644 index 00000000..0b53a1ff --- /dev/null +++ b/docker/perlenv/Dockerfile @@ -0,0 +1,33 @@ +FROM nfcore/base +LABEL authors="Felipe Almeida" \ + description="Docker image containing perl-based bacannot tools" +ENV IMAGE=perl + +# Install the conda environment +RUN conda install -c bioconda -c defaults -c conda-forge -c anaconda --force-reinstall --update-deps --no-channel-priority \ + 'prokka>=1.14' \ + barrnap \ + mlst \ + islandpath \ + 'python>3' \ + perl-bioperl \ + perl-bioperl-core \ + perl-digest-sha1 + +# Fix perl -- prokka +RUN conda update -y prokka +RUN apt-get update -y && apt-get install -y build-essential +RUN cpanm Bio::SearchIO::hmmer3 --force + +# Fix perl -- bioperl +RUN cpanm Cache::FileCache --force +RUN cpanm Bio::DB::GenPept --force +RUN cpanm Bio::Perl --force + +# set CONDA_PREFIX +ENV CONDA_PREFIX=/opt/conda + +WORKDIR /work + +# fix permissions for singularity +RUN chmod -R 777 /work /opt/conda/db \ No newline at end of file diff --git a/docker/perlenv/build.sh b/docker/perlenv/build.sh new file mode 100644 index 00000000..51153a5c --- /dev/null +++ b/docker/perlenv/build.sh @@ -0,0 +1 @@ +../../bin/build_image.sh $1 diff --git a/docker/pyenv/Dockerfile b/docker/pyenv/Dockerfile new file mode 100644 index 00000000..6d1498cd --- /dev/null +++ b/docker/pyenv/Dockerfile @@ -0,0 +1,24 @@ +FROM nfcore/base +LABEL authors="Felipe Almeida" \ + description="Docker image containing python-based bacannot tools" + +# Install the conda environment +# RUN conda install -c conda-forge -y 'mamba>=0.21' +RUN conda install -y \ + -c bioconda -c defaults -c conda-forge -c anaconda \ + 'openssl=1.1.1' \ + 'platon>=1.6' \ + phispy \ + plasmidfinder \ + 'python>=3.7' \ + refseq_masher +RUN conda install -c conda-forge -y 'mamba>=0.21' +RUN mamba create -n rgi -c bioconda -c defaults -c conda-forge -c anaconda 'rgi>=5.2.1' +RUN mamba create -n phigaro -c bioconda -c defaults -c conda-forge -c anaconda phigaro + +# set CONDA_PREFIX +ENV CONDA_PREFIX=/opt/conda + +# Fix permissions +WORKDIR /work +RUN chmod -R 777 /work /opt/conda/envs/rgi/lib/python*/site-packages/app \ No newline at end of file diff --git a/docker/pyenv/build.sh b/docker/pyenv/build.sh new file mode 100644 index 00000000..51153a5c --- /dev/null +++ b/docker/pyenv/build.sh @@ -0,0 +1 @@ +../../bin/build_image.sh $1 diff --git a/docker/Dockerfile_renv b/docker/renv/Dockerfile similarity index 100% rename from docker/Dockerfile_renv rename to docker/renv/Dockerfile diff --git a/docker/renv/build.sh b/docker/renv/build.sh new file mode 100644 index 00000000..51153a5c --- /dev/null +++ b/docker/renv/build.sh @@ -0,0 +1 @@ +../../bin/build_image.sh $1 diff --git a/docker/reports/aro_index.tsv b/docker/renv/reports/aro_index.tsv similarity index 100% rename from docker/reports/aro_index.tsv rename to docker/renv/reports/aro_index.tsv diff --git a/docker/reports/no-results-empty-state.jpg b/docker/renv/reports/no-results-empty-state.jpg similarity index 100% rename from docker/reports/no-results-empty-state.jpg rename to docker/renv/reports/no-results-empty-state.jpg diff --git a/docker/reports/no_AMRfinder.Rmd b/docker/renv/reports/no_AMRfinder.Rmd similarity index 100% rename from docker/reports/no_AMRfinder.Rmd rename to docker/renv/reports/no_AMRfinder.Rmd diff --git a/docker/reports/no_RGI.Rmd b/docker/renv/reports/no_RGI.Rmd similarity index 100% rename from docker/reports/no_RGI.Rmd rename to docker/renv/reports/no_RGI.Rmd diff --git a/docker/reports/no_Resfinder.Rmd b/docker/renv/reports/no_Resfinder.Rmd similarity index 100% rename from docker/reports/no_Resfinder.Rmd rename to docker/renv/reports/no_Resfinder.Rmd diff --git a/docker/reports/no_argminer.Rmd b/docker/renv/reports/no_argminer.Rmd similarity index 100% rename from docker/reports/no_argminer.Rmd rename to docker/renv/reports/no_argminer.Rmd diff --git a/docker/reports/no_digis.Rmd b/docker/renv/reports/no_digis.Rmd similarity index 100% rename from docker/reports/no_digis.Rmd rename to docker/renv/reports/no_digis.Rmd diff --git a/docker/reports/no_ice.Rmd b/docker/renv/reports/no_ice.Rmd similarity index 100% rename from docker/reports/no_ice.Rmd rename to docker/renv/reports/no_ice.Rmd diff --git a/docker/reports/no_phast.Rmd b/docker/renv/reports/no_phast.Rmd similarity index 100% rename from docker/reports/no_phast.Rmd rename to docker/renv/reports/no_phast.Rmd diff --git a/docker/reports/no_phigaro.Rmd b/docker/renv/reports/no_phigaro.Rmd similarity index 100% rename from docker/reports/no_phigaro.Rmd rename to docker/renv/reports/no_phigaro.Rmd diff --git a/docker/reports/no_phispy.Rmd b/docker/renv/reports/no_phispy.Rmd similarity index 100% rename from docker/reports/no_phispy.Rmd rename to docker/renv/reports/no_phispy.Rmd diff --git a/docker/reports/no_plasmids.Rmd b/docker/renv/reports/no_plasmids.Rmd similarity index 100% rename from docker/reports/no_plasmids.Rmd rename to docker/renv/reports/no_plasmids.Rmd diff --git a/docker/reports/no_prophages.Rmd b/docker/renv/reports/no_prophages.Rmd similarity index 100% rename from docker/reports/no_prophages.Rmd rename to docker/renv/reports/no_prophages.Rmd diff --git a/docker/reports/no_vfdb.Rmd b/docker/renv/reports/no_vfdb.Rmd similarity index 100% rename from docker/reports/no_vfdb.Rmd rename to docker/renv/reports/no_vfdb.Rmd diff --git a/docker/reports/no_victors.Rmd b/docker/renv/reports/no_victors.Rmd similarity index 100% rename from docker/reports/no_victors.Rmd rename to docker/renv/reports/no_victors.Rmd diff --git a/docker/reports/report_MGEs.Rmd b/docker/renv/reports/report_MGEs.Rmd similarity index 100% rename from docker/reports/report_MGEs.Rmd rename to docker/renv/reports/report_MGEs.Rmd diff --git a/docker/renv/reports/report_custom_blast.Rmd b/docker/renv/reports/report_custom_blast.Rmd new file mode 100644 index 00000000..0a309f2a --- /dev/null +++ b/docker/renv/reports/report_custom_blast.Rmd @@ -0,0 +1,163 @@ +--- +title: "Custom database annotation" +author: "Produced with bacannot pipeline" +date: "`r format(Sys.time(), '%d %B %Y')`" +params: + blast_id: + blast_cov: + custom_blast: + blast_db: + blast_gff: + query: +output: + bookdown::html_document2: + css: styles.css + df_print: paged + number_sections: false + syntax: espresso + self_contained: yes + theme: readable + code_folding: "show" +--- + +```{r setup, include=FALSE} +knitr::opts_chunk$set(echo = FALSE, warning = FALSE, fig.pos = 'H') +suppressMessages(library(magrittr)) +suppressMessages(library(ballgown)) +suppressMessages(library(knitr)) +suppressMessages(library(tidyr)) +suppressMessages(library(plyr)) +suppressMessages(library(DT)) +suppressMessages(library(dplyr)) +suppressMessages(library(stringr)) +suppressMessages(library(ggplot2)) + +######################## +### Useful functions ### +######################## + +# get value from gff attributes +getAttributeField <- function (x, field, attrsep = ";") { + s = strsplit(as.character(x), split = as.character(attrsep), fixed = TRUE) + sapply(s, function(atts) { + a = strsplit(atts, split = "=", fixed = TRUE) + m = match(field, sapply(a, "[", 1)) + if (!is.na(m)) { rv = a[[m]][2] + } + else { + rv = as.character(NA) + } + return(rv) + }) +} + + +# Line checker +check_lines <- function(x) { + return(ifelse(identical(as.integer(nrow(x)), integer(0)), 0, nrow(x))) +} + +## Read input documents +custom_blast <- try(read.delim(params$custom_blast, header = TRUE), silent = TRUE) +blast_gff <- try(read.delim(params$blast_gff, header = FALSE), silent = TRUE) +colnames(blast_gff) <- + c("Contig", "Source", "Feature", "Start", "End", "Score", "Strand", "Phase", "Attributes") + +## Check for emptyness +if (class(custom_blast) == "try-error" || + check_lines(custom_blast) == 1) { + custom_blast <- data.frame( + matrix(ncol = 14, nrow = 0) + ) + blast_gff <- data.frame( + matrix(ncol = 9, nrow = 0) + ) +} else { + + # read blast summary + custom_blast[sapply(custom_blast, is.character)] <- + lapply(custom_blast[sapply(custom_blast, is.character)], as.factor) + + # read gff + blast_gff <- blast_gff %>% filter(str_detect(Source, params$blast_db)) + if (params$blast_db == 'ncbi_protein') { + db_name <- 'NCBI_PROTEIN' + } else { + db_name <- params$blast_db + } + blast_gff$`Custom DB Acc` <- getAttributeField(blast_gff$Attributes, paste(db_name, ":Acc", sep=""), ";") + blast_gff$`Custom DB Target` <- getAttributeField(blast_gff$Attributes, paste(db_name, ":Target", sep=""), ";") + blast_gff$`Custom DB Product` <- getAttributeField(blast_gff$Attributes, paste(db_name, ":Product", sep=""), ";") + blast_gff$`Query Protein ID` <- getAttributeField(blast_gff$Attributes, "ID", ";") + blast_gff$`Prokka Annotation (Gene)` <- getAttributeField(blast_gff$Attributes, "gene", ";") + blast_gff$`Prokka Annotation (Product)` <- getAttributeField(blast_gff$Attributes, "product", ";") +} +``` + +# `r params$query` report of `r params$blast_db` custom database annotation + +## About + +This report is based on the user's custom database input called `r params$blast_db`. The custom databases are annotated with an alignment against the query genome sequence. The tool used for alignment is BLASTn if database is nucleotide and tBLASTn if database is protein. The raw BLAST results are shown in the table \@ref(tab:blast-raw-table). + +Additionally, the BLAST results have been used to detect intersection points with the main pipeline annotation using the software bedtools. Therefore, in table \@ref(tab:blast-gff-table), we show the gene features from the query genome that have intersection points with these BLAST results. + +> Take note that DT tables will only be rendered when input is available. Thus, whenever a table is missing it is because no results have been found. + +### BLAST prediction thresholds + +All the predictions were passed through a user defined threshold for minimum coverage and identity: + +* Min. Identity (%): `> `r params$blast_id`` +* Min. Coverage (%): `> `r params$blast_cov`` + +## Results + +### BLAST results + +
+(#tab:blast-raw-table) Alignment of the `r params$blast_db` custom database against the query genome via BLASTn for nucleotide database and with tBLASTn for protein database +```{r} +blast <- custom_blast +colnames(blast) <- + c("SEQUENCE", "START", "END", "STRAND", "GENE", "COVERAGE", "GAPS", "% COVERAGE", "% IDENTITY", "DATABASE", "ACCESSION", "PRODUCT", "DESCRIPTION") +# Render dt +datatable(blast, + escape = FALSE, + filter = 'top', + options = list(pageLength = 5, + lengthMenu = c(5, 10, 15, 20, 50), + dom='flrtBip', + buttons = c('copy', 'csv', 'excel', 'colvis'), + scrollX = TRUE, + fixedColumns = FALSE, + autoWidth = TRUE, + columnDefs = list(list(className = 'dt-body-left', targets = c(4,10,11,12)))), + extensions = 'Buttons', + rownames = F) +``` + +### Annotation intersection + +Using the software bedtools intersect, the BLAST results have been used to search for intersections against the query genome annotation. This result is shown in table \@ref(tab:blast-gff-table). + +
+(#tab:blast-gff-table) Annotation intersection of the `r params$blast_db` custom database BLAST results with the main pipeline results +```{r} +blast <- blast_gff +# Render dt +datatable(blast %>% + select(`Query Protein ID`, `Prokka Annotation (Gene)`, `Prokka Annotation (Product)`, `Custom DB Acc`, `Custom DB Target`, `Custom DB Product`, Attributes), + escape = FALSE, + filter = 'top', + options = list(pageLength = 5, + lengthMenu = c(5, 10, 15, 20, 50), + dom='flrtBip', + buttons = c('copy', 'csv', 'excel', 'colvis'), + scrollX = TRUE, + fixedColumns = FALSE, + autoWidth = TRUE, + columnDefs = list(list(className = 'dt-body-left', targets = c(0,1,2,3,4,5,6)))), + extensions = 'Buttons', + rownames = F) +``` diff --git a/docker/reports/report_general.Rmd b/docker/renv/reports/report_general.Rmd similarity index 100% rename from docker/reports/report_general.Rmd rename to docker/renv/reports/report_general.Rmd diff --git a/docker/reports/report_resistance.Rmd b/docker/renv/reports/report_resistance.Rmd similarity index 97% rename from docker/reports/report_resistance.Rmd rename to docker/renv/reports/report_resistance.Rmd index 5dc2f889..d70c4fca 100644 --- a/docker/reports/report_resistance.Rmd +++ b/docker/renv/reports/report_resistance.Rmd @@ -102,6 +102,7 @@ gff <- try(gffRead(params$gff), silent = TRUE) ## Adding IDs and coordinates to the GFF gff$`Query Protein Coordinates` <- paste(gff$seqname, ":", gff$start, "-", gff$end, sep = "") gff$Prokka_ID <- getAttributeField(gff$attributes, "ID", ";") +gff$`Prokka Product` <- getAttributeField(gff$attributes, "product", ";") # Amrfinder amrtsv <- try(read.delim(params$amrfinder) %>% select(2,3,4,5,6,7,8,9,10,16), silent = TRUE) @@ -239,10 +240,10 @@ Additionally, Prokka generically annotates a few proteins that are related to an ```{r prokka-general-resistance, echo=FALSE} gff %>% filter(str_detect(attributes, "resistance|Resistance")) %>% - select("seqname", "start", "end", "attributes") %>% + select("seqname", "start", "end", `Prokka Product`, "attributes") %>% datatable(escape = FALSE, filter = 'top', - colnames = c("Contig", "Start", "End", "Description"), + colnames = c("Contig", "Start", "End", "Prokka Product", "Description"), options = list(pageLength = 5, lengthMenu = c(5, 10, 15, 20, 50), dom='flrtBip', @@ -250,7 +251,7 @@ gff %>% scrollX = TRUE, fixedColumns = FALSE, autoWidth = TRUE, - columnDefs = list(list(className = 'dt-body-left', targets = c(0,3)))), + columnDefs = list(list(className = 'dt-body-left', targets = c(0,4)))), extensions = 'Buttons', rownames = F) ``` diff --git a/docker/reports/report_virulence.Rmd b/docker/renv/reports/report_virulence.Rmd similarity index 100% rename from docker/reports/report_virulence.Rmd rename to docker/renv/reports/report_virulence.Rmd diff --git a/docker/reports/reports.Rproj b/docker/renv/reports/reports.Rproj similarity index 100% rename from docker/reports/reports.Rproj rename to docker/renv/reports/reports.Rproj diff --git a/docker/reports/styles.css b/docker/renv/reports/styles.css similarity index 63% rename from docker/reports/styles.css rename to docker/renv/reports/styles.css index 94cd5be8..01251116 100644 --- a/docker/reports/styles.css +++ b/docker/renv/reports/styles.css @@ -1,13 +1,13 @@ body{ /* Normal */ - font-size: 14pt; - line-height: 1.5; - } + font-size: 14pt; + line-height: 1.5; +} blockquote { - padding: 12px 20px; - margin: 0 0 20px; - font-size: 12pt; - border-left: 5px solid #ec5800; + padding: 12px 20px; + margin: 0 0 20px; + font-size: 12pt; + border-left: 5px solid #ec5800; } .table { @@ -16,6 +16,7 @@ blockquote { font-size: 10.5pt; margin-left: auto; margin-right: auto; + table-layout: fixed; } .main-container { diff --git a/docker/reports/victors_metadata.tsv b/docker/renv/reports/victors_metadata.tsv similarity index 100% rename from docker/reports/victors_metadata.tsv rename to docker/renv/reports/victors_metadata.tsv diff --git a/docker/reports/yes_AMRfinder.Rmd b/docker/renv/reports/yes_AMRfinder.Rmd similarity index 100% rename from docker/reports/yes_AMRfinder.Rmd rename to docker/renv/reports/yes_AMRfinder.Rmd diff --git a/docker/reports/yes_RGI.Rmd b/docker/renv/reports/yes_RGI.Rmd similarity index 100% rename from docker/reports/yes_RGI.Rmd rename to docker/renv/reports/yes_RGI.Rmd diff --git a/docker/reports/yes_Resfinder.Rmd b/docker/renv/reports/yes_Resfinder.Rmd similarity index 100% rename from docker/reports/yes_Resfinder.Rmd rename to docker/renv/reports/yes_Resfinder.Rmd diff --git a/docker/reports/yes_argminer.Rmd b/docker/renv/reports/yes_argminer.Rmd similarity index 100% rename from docker/reports/yes_argminer.Rmd rename to docker/renv/reports/yes_argminer.Rmd diff --git a/docker/reports/yes_digis.Rmd b/docker/renv/reports/yes_digis.Rmd similarity index 100% rename from docker/reports/yes_digis.Rmd rename to docker/renv/reports/yes_digis.Rmd diff --git a/docker/reports/yes_ice.Rmd b/docker/renv/reports/yes_ice.Rmd similarity index 59% rename from docker/reports/yes_ice.Rmd rename to docker/renv/reports/yes_ice.Rmd index 82b954f9..d7db00e9 100644 --- a/docker/reports/yes_ice.Rmd +++ b/docker/renv/reports/yes_ice.Rmd @@ -21,9 +21,9 @@ full_ices <- nrow(ice_genome_blast) ### Analysis of full-length ICEs -Full-length ICEs are available at [ICEberg database](https://db-mml.sjtu.edu.cn/ICEberg2/download.html) in nucleotide fastas while the proteins found inside these ICEs are in protein fastas. Since the ICEfinder script has no licenses to be incorporated to the pipeline, we try to search for the full-length ICEs. However, they are very difficult to be completely found in new genomes, thus they are scanned without coverage or identity thresholds. The filtering and selection of these is up to you. We have found a total of `r full_ices` alignments in the query genome, check it out in table \@ref(tab:write-table-ices-full). +Full-length ICEs are available at [ICEberg database](https://bioinfo-mml.sjtu.edu.cn/ICEberg2/download.html) in nucleotide fastas while the proteins found inside these ICEs are in protein fastas. Since the ICEfinder script has no licenses to be incorporated to the pipeline, we try to search for the full-length ICEs. However, they are very difficult to be completely found in new genomes, thus they are scanned without coverage or identity thresholds. The filtering and selection of these is up to you. We have found a total of `r full_ices` alignments in the query genome, check it out in table \@ref(tab:write-table-ices-full). -Users are advised to also use the [ICEfinder](https://db-mml.sjtu.edu.cn/ICEberg2/tools.html) tool to predict the putative genomic position of known ICEs since we are not allowed to include this step under this pipeline. +Users are advised to also use the [ICEfinder](https://bioinfo-mml.sjtu.edu.cn/ICEberg2/tools.html) tool to predict the putative genomic position of known ICEs since we are not allowed to include this step under this pipeline.
(#tab:write-table-ices-full) Alignment of full-length ICEs to the query genome via BLASTn @@ -54,20 +54,20 @@ full_info <- merge.data.frame(ice_prot_blast, gff, by.x = "qseqid", by.y = "ID") full_info$position <- paste(full_info$V1, ":", full_info$V4, "-", full_info$V5, sep = "") # Parse BLAST -blast <- full_info %>% select(qseqid, stitle, position) -blast$name <- str_split(blast$stitle, pattern = "\\|", simplify = TRUE )[,6] -blast <- separate(blast, stitle, c("header", "Description"), sep = " ", extra = "merge") -blast <- separate(blast, header, c("db", "ICE_ID", "ref", "product"), sep = "~~~", extra = "merge") +blast <- full_info %>% select(qseqid, sseqid, position) +blast <- separate(blast, sseqid, c("db", "ICE_ID", "ref", "product", "Description"), sep = "~~~", extra = "merge") +# blast$Description <- str_split(blast$Description, pattern = "\\|", simplify = TRUE )[,6] +blast$Description <- gsub(blast$Description, pattern = "_", replacement = " ") blast <- blast %>% select(-db) # Get urls blast$ICE_ID <- sapply(str_split(blast$ICE_ID, "_"), tail, 1) blast$product <- gsub(blast$product, pattern = "_", replacement = " ") -urls <- lapply(blast$ICE_id, function(x){paste0("http://202.120.12.136:7913/ICEberg2/feature_page.php?ice_id=", x)}) +urls <- lapply(blast$ICE_id, function(x){paste0("https://bioinfo-mml.sjtu.edu.cn/ICEberg2/feature_page.php?ice_id=", x)}) blast$ICE_ID <- apply(blast, 1, function (x) { id <- x[2] - url <- paste0("https://db-mml.sjtu.edu.cn/ICEberg2/feature_page.php?ice_id=", id, "#ICE") + url <- paste0("https://bioinfo-mml.sjtu.edu.cn/ICEberg2/feature_page.php?ice_id=", id, "#ICE") url <- paste0("", id,"" ) return(url) @@ -76,9 +76,9 @@ blast$ICE_ID <- apply(blast, 1, function (x) { All query genes predicted by Prokka that have a match in ICEberg database are shown in Table \@ref(tab:write-table-ice-blastp). It is summarized the ICE id and all its genes that were found in the query genome. All of them are linked to the database for further investigations. -> Take note: The fact that the genome possess some proteins from ICEs does not necessarily means that the ICE is present in the genome. Please, check the number of proteins that the ICE of origin posses in the [ICEberg database list of ICEs](https://db-mml.sjtu.edu.cn/ICEberg2/browse_result.php?type=alpha&alpha=100), and then make inferences based one the alignments you see. +> Take note: The fact that the genome possess some proteins from ICEs does not necessarily means that the ICE is present in the genome. Please, check the number of proteins that the ICE of origin posses in the [ICEberg database list of ICEs](https://bioinfo-mml.sjtu.edu.cn/ICEberg2/browse_result.php?type=alpha&alpha=100), and then make inferences based one the alignments you see. -Users are advised to also use the [ICEfinder](https://db-mml.sjtu.edu.cn/ICEberg2/tools.html) tool to predict the putative genomic position of known ICEs since we are not allowed to include this step under this pipeline. +Users are advised to also use the [ICEfinder](https://bioinfo-mml.sjtu.edu.cn/ICEberg2/tools.html) tool to predict the putative genomic position of known ICEs since we are not allowed to include this step under this pipeline.
(#tab:write-table-ice-blastp) ICE genes annotated from ICEberg database via BLASTp @@ -86,8 +86,7 @@ Users are advised to also use the [ICEfinder](https://db-mml.sjtu.edu.cn/ICEberg # Render dt blast[sapply(blast, is.character)] <- lapply(blast[sapply(blast, is.character)], as.factor) colnames(blast) <- c("Query Protein", "ICEberg of origin", "Ref. Accession", "Product / Gene", "Description", "Genomic Position") -datatable(blast %>% - select(-7), +datatable(blast, escape = FALSE, filter = 'top', options = dt_opt_lst, @@ -96,10 +95,9 @@ datatable(blast %>% ``` -```{r ices-png, fig.align='center', fig.show='hold', fig.cap="The number of genes from known ICEs (from [ICEberg](https://db-mml.sjtu.edu.cn/ICEberg2/index.php)) found in the query genome", out.width="45%"} -blast <- full_info %>% select(qseqid, stitle, position) -blast <- separate(blast, stitle, c("header", "Description"), sep = " ", extra = "merge") -blast <- separate(blast, header, c("db", "ICE_ID", "ref", "product"), sep = "~~~", extra = "merge") +```{r ices-png, fig.align='center', fig.show='hold', fig.cap="The number of genes from known ICEs (from [ICEberg](https://bioinfo-mml.sjtu.edu.cn/ICEberg2/index.php)) found in the query genome", out.width="45%"} +blast <- full_info %>% select(qseqid, sseqid, position) +blast <- separate(blast, sseqid, c("db", "ICE_ID", "ref", "product", "Description"), sep = "~~~", extra = "merge") summary <- blast %>% select("ICE_ID", "qseqid") ices <- plyr::count(summary, "ICE_ID") ggplot(ices, aes(x=ICE_ID, y=freq, fill=ICE_ID)) + geom_bar(stat = "identity") + diff --git a/docker/reports/yes_kegg.Rmd b/docker/renv/reports/yes_kegg.Rmd similarity index 100% rename from docker/reports/yes_kegg.Rmd rename to docker/renv/reports/yes_kegg.Rmd diff --git a/docker/reports/yes_ncbi.Rmd b/docker/renv/reports/yes_ncbi.Rmd similarity index 100% rename from docker/reports/yes_ncbi.Rmd rename to docker/renv/reports/yes_ncbi.Rmd diff --git a/docker/reports/yes_phast.Rmd b/docker/renv/reports/yes_phast.Rmd similarity index 90% rename from docker/reports/yes_phast.Rmd rename to docker/renv/reports/yes_phast.Rmd index c256f061..c9cb7f99 100644 --- a/docker/reports/yes_phast.Rmd +++ b/docker/renv/reports/yes_phast.Rmd @@ -30,9 +30,8 @@ gff$ID <- getAttributeField(as.character(gff$V9), "ID", ";") gff$ID <- toupper(gff$ID) # Parse BLAST -blast <- phast_prot_blast %>% select(-sseqid) -blast <- separate(blast, stitle, c("header", "Description"), sep = " ", extra = "merge") -blast <- separate(blast, header, c("db", "product", "ref", "phast_id"), sep = "~~~", extra = "merge") +blast <- phast_prot_blast %>% select(-stitle) +blast <- separate(blast, sseqid, c("db", "product", "ref", "phast_id", "Description"), sep = "~~~", extra = "merge") blast$prophage <- sapply(str_split(blast$Description, "\\|"), head, 1) blast$prophage <- gsub(blast$prophage, pattern = "-..$", replacement = "") blast$product <- gsub(blast$product, pattern = "_", replacement = " ") diff --git a/docker/reports/yes_phigaro.Rmd b/docker/renv/reports/yes_phigaro.Rmd similarity index 100% rename from docker/reports/yes_phigaro.Rmd rename to docker/renv/reports/yes_phigaro.Rmd diff --git a/docker/reports/yes_phispy.Rmd b/docker/renv/reports/yes_phispy.Rmd similarity index 100% rename from docker/reports/yes_phispy.Rmd rename to docker/renv/reports/yes_phispy.Rmd diff --git a/docker/reports/yes_plasmids.Rmd b/docker/renv/reports/yes_plasmids.Rmd similarity index 100% rename from docker/reports/yes_plasmids.Rmd rename to docker/renv/reports/yes_plasmids.Rmd diff --git a/docker/reports/yes_prophages.Rmd b/docker/renv/reports/yes_prophages.Rmd similarity index 100% rename from docker/reports/yes_prophages.Rmd rename to docker/renv/reports/yes_prophages.Rmd diff --git a/docker/reports/yes_vfdb.Rmd b/docker/renv/reports/yes_vfdb.Rmd similarity index 85% rename from docker/reports/yes_vfdb.Rmd rename to docker/renv/reports/yes_vfdb.Rmd index b26b3a56..675df6de 100644 --- a/docker/reports/yes_vfdb.Rmd +++ b/docker/renv/reports/yes_vfdb.Rmd @@ -20,25 +20,12 @@ firstup <- function(x) { x } -# Function to get VF IDs -vf_id_url <- function (x) { - if (grepl("VF.*[0-9]+", x[8])) { - id <- x[8] - url <- paste0("http://www.mgc.ac.cn/cgi-bin/VFs/vfs.cgi?VFID=", id, "#", id) - } else { - id <- str_split(x[4], "\\(")[[1]][1] - url <- paste0("http://www.mgc.ac.cn/cgi-bin/VFs/comp_gene.cgi?RID=", id) - } - - return(url) -} - # Function to get URLs for VF List vf_id_url_list <- function (x) { if (grepl("^VF.*[0-9]+", x[8])) { name <- x[5] id <- x[8] - url <- paste0("[", name, "]", "(http://www.mgc.ac.cn/cgi-bin/VFs/vfs.cgi?VFID=", id, "#", id, ")") + url <- paste0("[", name, "]", "(http://www.mgc.ac.cn/cgi-bin/VFs/vfs.cgi?VFID=", id, ")") } else { name <- x[5] id <- str_split(x[4], "\\(")[[1]][1] @@ -50,9 +37,13 @@ vf_id_url_list <- function (x) { # Function do get URLs for DATATABLE vf_id_url_DT <- function (x) { - if (grepl("^VF.*[0-9]+", x[8])) { + if (grepl("^VFG.*[0-9]+", x[8])) { id <- x[8] - url <- paste0("http://www.mgc.ac.cn/cgi-bin/VFs/vfs.cgi?VFID=", id, "#", id) + url <- paste0("http://www.mgc.ac.cn/cgi-bin/VFs/gene.cgi?GeneID=", id) + url <- paste0('', id,'' ) + } else if (grepl("^VF.*[0-9]+", x[8])) { + id <- x[8] + url <- paste0("http://www.mgc.ac.cn/cgi-bin/VFs/vfs.cgi?VFID=", id) url <- paste0('', id,'' ) } else { id <- str_split(x[4], "\\(")[[1]][1] @@ -79,23 +70,21 @@ full_info <- merge.data.frame(vfdb_blast, gff, by.x = "qseqid", by.y = "ID") full_info$position <- paste(full_info$V1, ":", full_info$V4, "-", full_info$V5, sep = "") # Parse BLAST -blast <- full_info %>% select(qseqid, stitle, position) -blast <- separate(blast, stitle, c("vf_header", "Description"), sep = " ", extra = "merge") -blast <- separate(blast, vf_header, c("db", "Gene Name", "ref", "Virulence Factor Name"), sep = "~~~", extra = "merge") +blast <- full_info %>% select(qseqid, sseqid, position) +blast <- separate(blast, sseqid, c("db", "Gene Name", "ref", "Virulence Factor Name", "Description"), sep = "~~~", extra = "merge") blast$`Virulence Factor Name` <- gsub(blast$`Virulence Factor`, pattern = "\\[", replacement = "") blast$`Virulence Factor Name` <- gsub(blast$`Virulence Factor`, pattern = "\\]", replacement = "") blast$`Virulence Factor Name` <- gsub(blast$`Virulence Factor`, pattern = "_", replacement = " ") +blast$Description <- gsub(blast$Description, pattern = "_", replacement = " ") blast$`Virulence Factor Name` <- firstup(blast$`Virulence Factor Name`) -vfs <- str_split(blast$`Virulence Factor Name`, " ") -blast$`Virulence Factor ID` <- sapply(vfs, tail, 1) +vfs <- lapply(str_split(blast$`Virulence Factor Name`, " "), function(x){ x[str_detect(x, "VF\\d+")] }) +blast$`Virulence Factor ID` <- vfs blast$`Virulence Factor ID` <- gsub(blast$`Virulence Factor ID`, pattern = "\\(", replacement = "") blast$`Virulence Factor ID` <- gsub(blast$`Virulence Factor ID`, pattern = "\\)", replacement = "") +blast$`Virulence Factor ID` <- gsub(blast$`Virulence Factor ID`, pattern = " ", replacement = "") # Sort blast <- arrange(blast, `Virulence Factor ID`, `Gene Name`) - -# Get urls -urls <- apply(blast, 1, vf_id_url) ``` All virulence factors (from VFDB) that were found to have at least one gene in the query genome are summarized below. All of them are linked to the database for further investigations. A more detailed information about the virulence annotation with VFDB is given in Table \@ref(tab:vfdb-table). Additionally, Figure \@ref(fig:vfs-png) summarizes the amount of annotated genes of each virulence factor. Information are always linked to the database to make investigations easier. diff --git a/docker/reports/yes_victors.Rmd b/docker/renv/reports/yes_victors.Rmd similarity index 90% rename from docker/reports/yes_victors.Rmd rename to docker/renv/reports/yes_victors.Rmd index 9a1a6d83..832fe403 100644 --- a/docker/reports/yes_victors.Rmd +++ b/docker/renv/reports/yes_victors.Rmd @@ -30,9 +30,8 @@ victors_meta <- read.delim("victors_metadata.tsv") ```{r parsing-db-victors, eval=TRUE, include=FALSE, echo=FALSE} # Parse BLAST -blast <- victors_blast %>% select(qseqid, stitle) -blast <- separate(blast, stitle, c("vf_header", "Description"), sep = " ", extra = "merge") -blast <- separate(blast, vf_header, c("db", "Gene Name", "ref", "VF ID"), sep = "~~~", extra = "merge") +blast <- victors_blast %>% select(qseqid, sseqid) +blast <- separate(blast, sseqid, c("db", "Gene Name", "ref", "VF ID", "Description"), sep = "~~~", extra = "merge") blast <- separate(blast, `VF ID`, c("db", "VF ID"), sep = "_", extra = "merge") blast$`Gene Name` <- gsub(blast$`Gene Name`, pattern = "_", replacement = " ") @@ -71,7 +70,8 @@ colnames(blast) <- c("Query Protein ID", "Gene Name", "Product", "Description", blast$`Database ID` <- apply(blast, 1, vf_id_url_DT) ## The conversion blast[sapply(blast, is.character)] <- lapply(blast[sapply(blast, is.character)], as.factor) -datatable(blast, +datatable(blast %>% + select(`Gene Name`, `Database ID`, Product, `Query Protein ID`, Description, `Query Protein Coordinates`), escape = FALSE, filter = 'top', options = dt_opt_lst, diff --git a/docker/scripts/bscripts/run_jbrowse.sh b/docker/renv/scripts/bscripts/run_jbrowse.sh similarity index 100% rename from docker/scripts/bscripts/run_jbrowse.sh rename to docker/renv/scripts/bscripts/run_jbrowse.sh diff --git a/docker/scripts/bscripts/run_server.sh b/docker/renv/scripts/bscripts/run_server.sh similarity index 100% rename from docker/scripts/bscripts/run_server.sh rename to docker/renv/scripts/bscripts/run_server.sh diff --git a/docker/renv/scripts/pscripts/resfinder2gff.py b/docker/renv/scripts/pscripts/resfinder2gff.py new file mode 100644 index 00000000..9ff3e4d9 --- /dev/null +++ b/docker/renv/scripts/pscripts/resfinder2gff.py @@ -0,0 +1,71 @@ +#!/usr/bin/env python3 +# coding: utf-8 + +## Def help message +""" +A simple script to be used as part of Bacannot pipeline. Created in order to aggregate the +Resfinder results to the main GFF output (which merges all annotations). +--- +Copyright (C) 2020 Felipe Marques de Almeida (almeidafmarques@gmail.com) +License: Public Domain + +Usage: + resfinder2gff.py + resfinder2gff.py -h|--help + resfinder2gff.py -v|--version + resfinder2gff.py [ --input ] + +Options: + -h,--help Show this screen. + -v,--version Show version information + -i,--input= Resfinder 'results_tab.txt' file +""" + +################################## +### Loading Necessary Packages ### +################################## +from docopt import docopt +import pandas as pd +import re + +#################################### +### Def resfinder results loader ### +#################################### +def load_resfinder(input): + + # Get results + res_df = pd.read_csv(input, sep='\t', comment='#') + res_df = res_df.sort_values(by=['Contig', 'Position in contig']).reset_index(drop=True) + + # Subset information + for index, line in res_df.iterrows(): + + num=f"Resfinder_{index+1}" + gene=line['Resistance gene'] + id=line['Identity'] + contig=line['Contig'] + start=line['Position in contig'].split('..')[0] + end=line['Position in contig'].split('..')[1] + if start < end: + strand="+" + else: + strand="-" + source='Resfinder' + type='Resistance' + target=line['Phenotype'].replace(";","") + acc=line['Accession no.'] + + print(f"{contig}\t{source}\t{type}\t{start}\t{end}\t.\t{strand}\t.\tID={num};Additional_database={source};Resfinder_gene={gene};Resfinder_phenotype={target};Resfinder_reference={acc}".replace(" ", "_")) + + + +############ +### Main ### +############ + +if __name__ == '__main__': + arguments = docopt(__doc__, version='v1.0 by Felipe Marques de Almeida') + + # Main pipe: resfinder to gff + if arguments['--input']: + load_resfinder(input=arguments['--input']) diff --git a/docker/scripts/pscripts/run_blasts.py b/docker/renv/scripts/pscripts/run_blasts.py similarity index 100% rename from docker/scripts/pscripts/run_blasts.py rename to docker/renv/scripts/pscripts/run_blasts.py diff --git a/docker/renv/scripts/pscripts/splitgenbank.py b/docker/renv/scripts/pscripts/splitgenbank.py new file mode 100644 index 00000000..b88ced0a --- /dev/null +++ b/docker/renv/scripts/pscripts/splitgenbank.py @@ -0,0 +1,9 @@ +#!/usr/bin/env python3 +# coding: utf-8 + +# Split GBK +from Bio import SeqIO +import sys + +for rec in SeqIO.parse(sys.argv[1], "genbank"): + SeqIO.write([rec], open(rec.id + ".gbk", "w"), "genbank") diff --git a/docker/renv/scripts/rscripts/addBlast2Gff.R b/docker/renv/scripts/rscripts/addBlast2Gff.R new file mode 100644 index 00000000..73816cc2 --- /dev/null +++ b/docker/renv/scripts/rscripts/addBlast2Gff.R @@ -0,0 +1,157 @@ +#!/usr/bin/Rscript +# Setting Help +'usage: addBlast2Gff.R [--input= --gff= --out= --database= --type=] + +options: + -i, --input= Tabular Blast to be added to GFF + -g, --gff= GFF file to add Blast hits into + -o, --out= Output file name [default: out.gff] + -d, --database= Name of databased which Blast came from + -t, --type= Type of feature blasted. Ex: resistance' -> doc + +# Parse parameters +suppressMessages(library(docopt)) +opt <- docopt(doc) + +if (is.null(opt$input)){ + stop("At least one argument must be supplied (input file)\n", call.=FALSE) +} + +# Load libraries +suppressMessages(library(ballgown)) +suppressMessages(library(DataCombine)) +suppressMessages(library(dplyr)) +suppressMessages(library(tidyr)) +suppressMessages(library(stringr)) + +################# +### Functions ### +################# + +# Function used to remove redundancy +reduce_row = function(i) { + d <- unlist(strsplit(i, split=",")) + paste(unique(d), collapse = ',') +} + +# Parse Blast Titles +subj_title = function(x, db) { + desc = strsplit(x, "~~~", fixed=TRUE) + + if (db == "PHAST" | db == "Victors") { + text <- paste("Additional_database=", desc[[1]][1], ";", desc[[1]][1], ":Target=", + desc[[1]][4], ";", desc[[1]][1], ":Product=", desc[[1]][2], sep = "") + } else if (db == "VFDB") { + text <- paste("Additional_database=", desc[[1]][1], ";", desc[[1]][1], ":Target=", + desc[[1]][3], ";", desc[[1]][1], ":Product=", desc[[1]][4], sep = "") + } else { + text <- paste("Additional_database=", desc[[1]][1], ";", desc[[1]][1], ":Target=", + desc[[1]][2], ";", desc[[1]][1], ":Product=", desc[[1]][4], sep = "") + } + + return(text) +} + +# Function to get Attribute Fields +getAttributeField <- function (x, field, attrsep = ";") { + s = strsplit(x, split = attrsep, fixed = TRUE) + sapply(s, function(atts) { + a = strsplit(atts, split = "=", fixed = TRUE) + m = match(field, sapply(a, "[", 1)) + if (!is.na(m)) { rv = a[[m]][2] + } + else { + rv = as.character(NA) + } + return(rv) + }) +} + +# Operator to discard patterns found +'%ni%' <- Negate('%in%') + +############# +### BEGIN ### +############# + +# Check if file is empty + +if (file.info(opt$input)$size > 0 ) { + + blastFile <- read.delim(opt$input, header = TRUE) + + if (nrow(blastFile) > 0) { + + # Sort entries + blastFile <- blastFile[order(blastFile$qseqid),] + + # Remove whitespaces for GFF + blastFile$sseqid <- gsub(" ", "_", x = blastFile$sseqid) + + # Create GFF Attribute Entry + blastFile$NEW_attributes <- sapply(blastFile$sseqid, subj_title, db=opt$database) + + # Get gene names + ids <- blastFile$qseqid + + # Load GFF file for merge + gff <- gffRead(opt$gff) + + # Create a column in gff with ids + gff$ID <- getAttributeField(gff$attributes, "ID", ";") + + # Subset based on gene IDs + ## Lines with our IDs + sub <- gff %>% + filter(ID %in% ids) %>% + select(seqname, source, feature, start, end, score, strand, frame, attributes, ID) + ## Lines without our IDs + not <- gff %>% + filter(ID %ni% ids) %>% + select(seqname, source, feature, start, end, score, strand, frame, attributes) + + # Change fields values + ## source + s <- sub$source + sn <- opt$database + snew <- paste(s, sn, sep = ",") + sub$source <- snew + + ## feature + f <- sub$feature + fn <- opt$type + fnew <- paste(f, fn, sep = ",") + sub$feature <- fnew + + ## attributes + sub <- merge.data.frame(sub, blastFile, by.x = "ID", + by.y = "qseqid", all = TRUE) + sub <- unite(sub, "attributes", c("attributes", "NEW_attributes"), sep = ";") %>% + select(seqname, source, feature, start, end, score, strand, frame, attributes) + + # Merge files + merged_df <- merge.data.frame(sub, not, all = TRUE) + feat <- merged_df$feature + merged_df$feature <- sapply(feat, reduce_row) + source <- merged_df$source + merged_df$source <- sapply(source, reduce_row) + merged_df <- merged_df[str_order(merged_df$attributes, numeric = TRUE), ] + + # Write output + write.table(merged_df, file = opt$out, quote = FALSE, sep = "\t", col.names = FALSE, row.names = FALSE) + +} else { + + # Load GFF file + gff <- gffRead(opt$gff) + # Write output + write.table(gff, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE) +}} else { + + # Load GFF file + gff <- gffRead(opt$gff) + # Write output + write.table(gff, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE) +} diff --git a/docker/renv/scripts/rscripts/addCardDescription.R b/docker/renv/scripts/rscripts/addCardDescription.R new file mode 100644 index 00000000..cea9ae0d --- /dev/null +++ b/docker/renv/scripts/rscripts/addCardDescription.R @@ -0,0 +1,174 @@ +#!/usr/bin/Rscript +# Setting help +'usage: addCardDescription.R [--input= --gff= --out= --database= --type= --scoverage=] + +options: + -i, --input= Tabular Blast to be added to GFF + -g, --gff= GFF file to add Blast hits into + -o, --out= Output file name [default: out.gff] + -d, --database= Name of databased which Blast came from + -t, --type= Type of feature blasted. Ex: resistance + -c, --scoverage= Minimum subject coverage to keep' -> doc + +# Parse parameters +suppressMessages(library(docopt)) +opt <- docopt(doc) +if (is.null(opt$input)){ + stop("At least one argument must be supplied (input file)\n", call.=FALSE) +} + +# Load libraries +suppressMessages(library(DataCombine)) +suppressMessages(library(ballgown)) +suppressMessages(library(dplyr)) +suppressMessages(library(tidyr)) + +# Load CARD entries index. These will be used to write +# the attributes columns of CARD entries. +cat_index <- read.table("/work/indexes/aro_categories_index.csv", header = TRUE, sep = "\t") +cat <- read.table("/work/indexes/aro_categories.csv", header = TRUE, sep = "\t") +index <- read.table("/work/indexes/aro_index.csv", header = TRUE, sep = "\t", fill = TRUE) + +# Function used to remove redundancy +reduce_row = function(i) { + d <- unlist(strsplit(i, split=",")) + paste(unique(d), collapse = ',') +} + +getAttributeField <- function (x, field, attrsep = ";") { + s = strsplit(x, split = attrsep, fixed = TRUE) + sapply(s, function(atts) { + a = strsplit(atts, split = "=", fixed = TRUE) + m = match(field, sapply(a, "[", 1)) + if (!is.na(m)) { rv = a[[m]][2] + } + else { + rv = as.character(NA) + } + return(rv) + }) +} + +# Check if file is empty +if (file.info(opt$input)$size > 0 ) { +# Merge indexes to create a full index with all CARD values +merged <- merge.data.frame(cat_index, index, by.x = "Protein.Accession", + by.y = "Protein.Accession", all = TRUE) +card_indexes <- merge.data.frame(merged, cat, by.x = "ARO.Accession", + by.y = "ARO.Accession", all = TRUE) + +# Load Blast tabular file +blastFile <- read.delim(opt$input, header = FALSE) +blastHeader <- c("qseqid", "sseqid", "pident", "length", "mismatch", "gapopen", "qstart", + "qend", "sstart", "send", "slen", "evalue", "bitscore", "stitle") +colnames(blastFile) <- blastHeader + +# Filter blast based on subject coverage +if (!is.null(opt$scoverage)) { +blastFile$scov <- (blastFile$length / blastFile$slen) * 100 +blastFile <- dplyr::filter(blastFile, scov >= as.integer(opt$scoverage))} + +if (nrow(blastFile) > 0) { +# Remove duplicates based on bitscore +blastFile <- blastFile[order(blastFile$qseqid, -abs(blastFile$pident), -abs(blastFile$scov), -abs(blastFile$bitscore) ), ] +blastFile <-blastFile[ !duplicated(blastFile$qseqid), ] +blastFile <- blastFile[order(blastFile$qseqid),] + +## Filter per %Identity +# blast_filtered <- subset(blastFile, pident >= as.integer(opt$pident)) +blast_filtered <- blastFile +ssids <- as.vector(blast_filtered$sseqid) +aroID <- sapply(strsplit(ssids, "\\|"), `[`, 3) +blast_filtered$ARO <- aroID + +# Load gff file to merge entries +gff <- gffRead(opt$gff) + +# Create a column in gff with ids +gff$ID <- getAttributeField(gff$attributes, "ID", ";") + +## Subset Card indexes +card_subset <- grepl.sub(card_indexes, pattern = aroID, Var = "ARO.Accession") +card_subset$Drug.Class <- gsub(";", ":", card_subset$Drug.Class) +card_subset$Drug.Class <- gsub(" ", "_", card_subset$Drug.Class) +card_subset$Drug.Class <- gsub("\t", "_", card_subset$Drug.Class) +card_subset$Drug.Class <- gsub("-", "_", card_subset$Drug.Class) +card_subset$AMR.Gene.Family <- gsub(";", ":", card_subset$AMR.Gene.Family) +card_subset$AMR.Gene.Family <- gsub(" ", "_", card_subset$AMR.Gene.Family) +card_subset$AMR.Gene.Family <- gsub("\t", "_", card_subset$AMR.Gene.Family) +card_subset$AMR.Gene.Family <- gsub("-", "_", card_subset$AMR.Gene.Family) +card_subset$Resistance.Mechanism <- gsub(";", ":", card_subset$Resistance.Mechanism) +card_subset$Resistance.Mechanism <- gsub(" ", "_", card_subset$Resistance.Mechanism) +card_subset$Resistance.Mechanism <- gsub("-", "_", card_subset$Resistance.Mechanism) +card_subset$Resistance.Mechanism <- gsub("\t", "_", card_subset$Resistance.Mechanism) +card_subset$Model.Name <- gsub(" ", "_", card_subset$Model.Name) +card_subset$Model.Name <- gsub("\t", "_", card_subset$Model.Name) +card_subset$Model.Name <- gsub("-", "_", card_subset$Model.Name) + +# Get desired values for attributes columns +description <- paste("Additional_database=", opt$database, ";", + "ARO=", card_subset$ARO.Accession, ";", "Gene_Family=", + card_subset$AMR.Gene.Family, ";", "Drug_Class=", card_subset$Drug.Class, + ";", "Resistance_Mechanism=", card_subset$Resistance.Mechanism, ";", + "DB_Name=", card_subset$Model.Name, ";CVTERM=", card_subset$CVTERM, sep = "") + +card_subset$CARD_attributes <- description +card_subset$CARD_attributes <- gsub(" ", "_", card_subset$CARD_attributes) +card_subset$CARD_attributes <- gsub("\t", "_", card_subset$CARD_attributes) + +# Concatenate new attributes values +blast_filtered <- merge.data.frame(blast_filtered, card_subset, by.x = "ARO", + by.y = "ARO.Accession", all = TRUE) + +blast_filtered <- blast_filtered[order(blastFile$qseqid),] + +# Get gene names from blast hits +ids <- blast_filtered$qseqid + +# Subset based on gene IDs +sub <- grepl.sub(gff, pattern = ids, Var = "ID") %>% select(seqname, source, feature, start, end, score, strand, frame, attributes, ID) +not <- grepl.sub(gff, pattern = ids, Var = "ID", keep.found = FALSE) %>% select(seqname, source, feature, start, end, score, strand, frame, attributes) + +# Change fields - Add database source and feature type +## source +s <- sub$source +sn <- opt$database +snew <- paste(s, sn, sep = ",") +sub$source <- snew + +## feature +f <- sub$feature +fn <- opt$type +fnew <- paste(f, fn, sep = ",") +sub$feature <- fnew + +## attributes +sub <- merge.data.frame(sub, blast_filtered, by.x = "ID", + by.y = "qseqid", all = TRUE) +sub <- unite(sub, "attributes", c("attributes", "CARD_attributes"), sep = ";") %>% + select(seqname, source, feature, start, end, score, strand, frame, attributes) + +# Merge files +merged_df <- merge.data.frame(sub, not, all = TRUE) +feat <- merged_df$feature +merged_df$feature <- sapply(feat, reduce_row) +source <- merged_df$source +merged_df$source <- sapply(source, reduce_row) +merged_df <- merged_df[order(merged_df$seqname, merged_df$start),] + +# Write output +write.table(merged_df, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE, append = FALSE) +} else { + # Load GFF file + gff <- gffRead(opt$gff) + # Write output + write.table(gff, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE) +}} else { + # Load GFF file + gff <- gffRead(opt$gff) + # Write output + write.table(gff, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE) +} diff --git a/docker/renv/scripts/rscripts/addKO2Gff.R b/docker/renv/scripts/rscripts/addKO2Gff.R new file mode 100644 index 00000000..a09f1326 --- /dev/null +++ b/docker/renv/scripts/rscripts/addKO2Gff.R @@ -0,0 +1,107 @@ +#!/usr/bin/Rscript +# Setting Help +'usage: addKO2Gff.R [--input= --gff= --out= --database= --type= --scoverage=] + +options: +-i, --input= Tabular KOfamscan file to be added to GFF +-g, --gff= GFF file to add Blast hits into +-o, --out= Output file name [default: out.gff] +-d, --database= Name of databased which Blast came from' -> doc + +# Parse parameters +suppressMessages(library(docopt)) +opt <- docopt(doc) + +if (is.null(opt$input)){ + stop("At least one argument must be supplied (input file)\n", call.=FALSE) +} + +# Load libraries +suppressMessages(library(ballgown)) +suppressMessages(library(DataCombine)) +suppressMessages(library(dplyr)) + +# Function used to remove redundancy +reduce_row = function(i) { + d <- unlist(strsplit(i, split=",")) + paste(unique(d), collapse = ',') +} + +# Function to get Attribute Fields +getAttributeField <- function (x, field, attrsep = ";") { + s = strsplit(x, split = attrsep, fixed = TRUE) + sapply(s, function(atts) { + a = strsplit(atts, split = "=", fixed = TRUE) + m = match(field, sapply(a, "[", 1)) + if (!is.na(m)) { rv = a[[m]][2] + } + else { + rv = as.character(NA) + } + return(rv) + }) +} + +# Operator to discard patterns found +'%ni%' <- Negate('%in%') + +# Check if file is empty + +if (file.info(opt$input)$size > 0 ) { + # Load KOfamscan tabular file + KOfamHeader <- c("ID", "KO") + + KOfamFile <- read.delim(opt$input, header = FALSE) + colnames(KOfamFile) <- KOfamHeader + + # Filter Only Proteins that have a KO + KOfamFile <- dplyr::filter(KOfamFile, KO != "") + + if (nrow(KOfamFile) > 0) { + + # Create GFF Attribute Entry + att <- paste("Additional_database=", opt$database, ";", "KO=", KOfamFile$KO, ";Method=KOfamscan", sep = "") + + # Get gene names + ids <- KOfamFile$ID + + # Load GFF file + gff <- gffRead(opt$gff) + + # Create a column in gff with ids + gff$ID <- getAttributeField(gff$attributes, "ID", ";") + + # Subset based on gene IDs + sub <- gff %>% filter(ID %in% ids) %>% select(seqname, source, feature, start, end, score, strand, frame, attributes) + not <- gff %>% filter(ID %ni% ids) %>% select(seqname, source, feature, start, end, score, strand, frame, attributes) + + ## attributes + a <- sub$attributes + an <- att + anew <- paste(a, an, sep = ";") + sub$attributes <- anew + + # Merge files + merged_df <- merge.data.frame(sub, not, all = TRUE) + feat <- merged_df$feature + merged_df$feature <- sapply(feat, reduce_row) + source <- merged_df$source + merged_df$source <- sapply(source, reduce_row) + merged_df <- merged_df[order(merged_df$seqname, merged_df$start),] + + # Write output + write.table(merged_df, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE) + } else { + # Load GFF file + gff <- gffRead(opt$gff) + # Write output + write.table(gff, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE) + }} else { + # Load GFF file + gff <- gffRead(opt$gff) + # Write output + write.table(gff, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE) + } \ No newline at end of file diff --git a/docker/renv/scripts/rscripts/addNCBIamr2Gff.R b/docker/renv/scripts/rscripts/addNCBIamr2Gff.R new file mode 100644 index 00000000..5f5a43f3 --- /dev/null +++ b/docker/renv/scripts/rscripts/addNCBIamr2Gff.R @@ -0,0 +1,115 @@ +#!/usr/bin/Rscript +# Setting Help +'usage: addNCBIamr2Gff.R [--input= --gff= --out= --database= --type=] + +options: +-g, --gff= GFF file to add NCBI AMR Annotations into +-i, --input= AMRFinder output +-o, --out= Output file name [default: out.gff] +-t, --type= Type of feature. Ex: resistance +-d, --database= Name of databased which Blast came from' -> doc + +# Parse parameters +suppressMessages(library(docopt)) +opt <- docopt(doc) + +if (is.null(opt$gff)){ + stop("At least one argument must be supplied (gff file)\n", call.=FALSE) +} + +if (is.null(opt$input)){ + stop("At least one argument must be supplied (AMRFinder output file)\n", call.=FALSE) +} + +# Load libraries +suppressMessages(library(ballgown)) +suppressMessages(library(DataCombine)) +suppressMessages(library(dplyr)) +suppressMessages(library(stringr)) +suppressMessages(library(tidyr)) + +# Function used to remove redundancy +reduce_row = function(i) { + d <- unlist(strsplit(i, split=",")) + paste(unique(d), collapse = ',') +} + +# Function to get Attribute Fields +getAttributeField <- function (x, field, attrsep = ";") { + s = strsplit(x, split = attrsep, fixed = TRUE) + sapply(s, function(atts) { + a = strsplit(atts, split = "=", fixed = TRUE) + m = match(field, sapply(a, "[", 1)) + if (!is.na(m)) { rv = a[[m]][2] + } + else { + rv = as.character(NA) + } + return(rv) + }) +} +# Operator to discard patterns found +'%ni%' <- Negate('%in%') + +# Load GFF File +gff <- gffRead(opt$gff) +gff$ID <- getAttributeField(as.character(gff$attributes), "ID", ";") + +# Load NCBI AMRFinder output +NCBIamr <- read.delim(opt$input) + +if (is.null(NCBIamr) == FALSE & dim(NCBIamr)[1] != 0) { + +# Get its ids +ids <- NCBIamr$Protein.identifier + +# Subset based on gene IDs +sub <- gff %>% filter(ID %in% ids) %>% select(seqname, source, feature, start, end, score, strand, frame, attributes) +not <- gff %>% filter(ID %ni% ids) %>% select(seqname, source, feature, start, end, score, strand, frame, attributes) + +# Create Description +NCBIamr$description <- paste("Additional_database=NDARO;NDARO:Gene_Name=", NCBIamr$Gene.symbol, ";", + "NDARO:Gene_Product=", NCBIamr$Sequence.name, ";", "NDARO:Resistance_Category=", + NCBIamr$Element.type, ";", "NDARO:Resistance_Target=", NCBIamr$Class, ";", + "NDARO:Method=", NCBIamr$Method, ";", "NDARO:Closest_Sequence=", NCBIamr$Name.of.closest.sequence, sep = "") +NCBIamr$description <- gsub(" ", "_", NCBIamr$description) + +## Add New Source +s <- sub$source +sn <- opt$database +snew <- paste(s, sn, sep = ",") +sub$source <- snew + +## Add New Feature +f <- sub$feature +fn <- opt$type +fnew <- paste(f, fn, sep = ",") +sub$feature <- fnew + +## attributes +sub$ID <- getAttributeField(as.character(sub$attributes), "ID", ";") +sub <- merge.data.frame(sub, NCBIamr, by.x = "ID", + by.y = "Protein.identifier", all = TRUE) +sub <- unite(sub, "attributes", c("attributes", "description"), sep = ";") %>% + select(seqname, source, feature, start, end, score, strand, frame, attributes) + + +# Merge files +merged_df <- merge.data.frame(sub, not, all = TRUE) +feat <- merged_df$feature +merged_df$feature <- sapply(feat, reduce_row) +source <- merged_df$source +merged_df$source <- sapply(source, reduce_row) +merged_df <- merged_df[order(merged_df$seqname, merged_df$start),] + +# Write output +write.table(merged_df, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE) + +} else { + # Load GFF file + gff <- gffRead(opt$gff) + # Write output + write.table(gff, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE) +} diff --git a/docker/renv/scripts/rscripts/addRGI2gff.R b/docker/renv/scripts/rscripts/addRGI2gff.R new file mode 100644 index 00000000..fb1ef23f --- /dev/null +++ b/docker/renv/scripts/rscripts/addRGI2gff.R @@ -0,0 +1,139 @@ +#!/usr/bin/Rscript +# Setting Help +'usage: addRGI2gff.R [--input= --gff= --out=] + +options: +-g, --gff= GFF file to add NCBI AMR Annotations into +-i, --input= RGI tabular output +-o, --out= Output file name [default: out.gff]' -> doc + +# Parse parameters +suppressMessages(library(docopt)) +opt <- docopt(doc) + +if (is.null(opt$gff)){ + stop("At least one argument must be supplied (gff file)\n", call.=FALSE) +} + +if (is.null(opt$input)){ + stop("At least one argument must be supplied (AMRFinder output file)\n", call.=FALSE) +} + +# Load libraries +suppressMessages(library(ballgown)) +suppressMessages(library(DataCombine)) +suppressMessages(library(dplyr)) +suppressMessages(library(stringr)) +suppressMessages(library(tidyr)) + +# Function used to remove redundancy +reduce_row = function(i) { + d <- unlist(strsplit(i, split=",")) + paste(unique(d), collapse = ',') +} + +# Function to get Attribute Fields +getAttributeField <- function (x, field, attrsep = ";") { + s = strsplit(x, split = attrsep, fixed = TRUE) + sapply(s, function(atts) { + a = strsplit(atts, split = "=", fixed = TRUE) + m = match(field, sapply(a, "[", 1)) + if (!is.na(m)) { rv = a[[m]][2] + } + else { + rv = as.character(NA) + } + return(rv) + }) +} + +# Operator to discard patterns found +'%ni%' <- Negate('%in%') + +if (file.info(opt$input)$size > 0) { + + # Load GFF File + gff <- gffRead(opt$gff) + # Create a column in gff with ids + gff$ID <- getAttributeField(gff$attributes, "ID", ";") + + # Load CARD RGI results + rgi_input <- read.delim(opt$input, header = TRUE) + rgi_input <- rgi_input %>% select(-Contig, -Start, -Stop, -Orientation) + + ## Rename ORFs + rgi_input$ORF_ID <- sapply(str_split(rgi_input$ORF_ID, " "), head, 1) + ## Get gene names + ids <- rgi_input$ORF_ID + + if (is.null(rgi_input) == FALSE & dim(rgi_input)[1] != 0) { + + # Subset based on gene IDs + ## Lines with our IDs + sub <- gff %>% + filter(ID %in% ids) %>% + select(seqname, source, feature, start, end, score, strand, frame, attributes, ID) + ## Lines without our IDs + not <- gff %>% + filter(ID %ni% ids) %>% + select(seqname, source, feature, start, end, score, strand, frame, attributes) + + # Change fields values + ## source + s <- sub$source + sn <- "CARD" + snew <- paste(s, sn, sep = ",") + sub$source <- snew + + ## feature + f <- sub$feature + fn <- "Resistance" + fnew <- paste(f, fn, sep = ",") + sub$feature <- fnew + + ## attributes + sub <- merge.data.frame(sub, rgi_input, by.x = "ID", + by.y = "ORF_ID", all = TRUE) + + sub$Drug.Class <- gsub(pattern = "; ", replacement = "_&_", + x = sub$Drug.Class) + sub$NEW_attributes <- + paste( "Additional_database=CARD", ";CARD:Name=", sub$Best_Hit_ARO, ";CARD:Inference=", sub$Model_type, + ";CARD:Product=", sub$AMR.Gene.Family, ";CARD:Targeted_drug_class=", + sub$Drug.Class, sep = "") + + sub$NEW_attributes <- gsub(pattern = " ", replacement = "_", + x = sub$NEW_attributes) + sub$NEW_attributes <- gsub(pattern = "-", replacement = "_", + x = sub$NEW_attributes) + + sub <- unite(sub, "attributes", c("attributes", "NEW_attributes"), sep = ";") %>% + select(seqname, source, feature, start, end, score, strand, frame, attributes) + + # Merge files + merged_df <- merge.data.frame(sub, not, all = TRUE) + feat <- merged_df$feature + merged_df$feature <- sapply(feat, reduce_row) + source <- merged_df$source + merged_df$source <- sapply(source, reduce_row) + merged_df <- merged_df[str_order(merged_df$attributes, numeric = TRUE), ] + + # Write output + write.table(merged_df, file = opt$out, quote = FALSE, sep = "\t", col.names = FALSE, row.names = FALSE) + + + } else { + # Load GFF file + gff <- gffRead(opt$gff) + # Write output + write.table(gff, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE) + } + +} else { + # Load GFF file + gff <- gffRead(opt$gff) + # Write output + write.table(gff, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE) +} diff --git a/docker/scripts/rscripts/addResfinder.R b/docker/renv/scripts/rscripts/addResfinder.R similarity index 100% rename from docker/scripts/rscripts/addResfinder.R rename to docker/renv/scripts/rscripts/addResfinder.R diff --git a/docker/scripts/rscripts/correctSourceAndFeature.R b/docker/renv/scripts/rscripts/correctSourceAndFeature.R similarity index 100% rename from docker/scripts/rscripts/correctSourceAndFeature.R rename to docker/renv/scripts/rscripts/correctSourceAndFeature.R diff --git a/docker/renv/scripts/rscripts/gff2sql.R b/docker/renv/scripts/rscripts/gff2sql.R new file mode 100644 index 00000000..61eac29d --- /dev/null +++ b/docker/renv/scripts/rscripts/gff2sql.R @@ -0,0 +1,139 @@ +#!/usr/bin/Rscript +doc <- 'usage: gff2sql.R [--input= --out= --fasta= --nucleotide= --aminoacid=] + +options: + -i, --input= GFF file to transform in SQL + -o, --out= SQL database name to output [default: out.sql] + -n, --nucleotide= Takes in the nucleotide FASTA. + -a, --aminoacid= Takes in the protein FASTA + -f, --fasta= Takes in the genome FASTA' + +# Loading required packages +suppressMessages(library("docopt")) +suppressMessages(library(RSQLite)) +suppressMessages(library(dplyr)) +suppressMessages(library(stringr)) +suppressMessages(library(DataCombine)) +suppressMessages(library(Biostrings)) + +# Parse help +opt <- docopt(doc) + +# Useful functions +## Query the 9th column +getAttributeField <- function (x, field, attrsep = ";") { + s = strsplit(x, split = attrsep, fixed = TRUE) + sapply(s, function(atts) { + a = strsplit(atts, split = "=", fixed = TRUE) + m = match(field, sapply(a, "[", 1)) + if (!is.na(m)) { rv = a[[m]][2] + } + else { + rv = as.character(NA) + } + return(rv) + }) +} + +## Add table to SQL db +addTable <- function (con, sql, input) { + ## Open db + suppressWarnings(dbBegin(con)) + + ## Send rule + res <- suppressWarnings(dbSendQuery(con, sql)) + + ## Insert data based on rule + suppressWarnings(dbBind(res, input)) + suppressWarnings(dbFetch(res)) + suppressWarnings(dbClearResult(res)) + + ## Close db + suppressWarnings(dbCommit(con)) +} + +# Loading SQL database driver +drv <- dbDriver("SQLite") +dbname <- file.path("/work", opt$out) +con <- dbConnect(drv, dbname=dbname) + +##################################### +### First STEP load GENOME to sql ### +##################################### +fastaFile <- readDNAStringSet(opt$fasta) +seq_name = names(fastaFile) +#sequence = paste(fastaFile) +sequence_len = sapply(fastaFile, function(x) { + length(x)[[1]] +}) +genome <- data.frame(seq_name, sequence_len) +names(genome) <- c("Contig", "Length") + +# Create SQL table for the genome sequence +suppressWarnings(dbGetQuery(con, "CREATE Table Genome (Contig TEXT, Length TEXT)")) +# Create sql rule +sql <- "INSERT INTO Genome VALUES ($Contig, $Length)" +# Add to SQL db +addTable(con, sql, genome) + +################################### +### Second STEP load GFF to sql ### +################################### + +# Loading GFF file +gff <- read.delim(opt$input, header = FALSE, stringsAsFactors = FALSE) +# Give data a header +names(gff) <- c("chr", "source", "feature", "start", "end", "score", "strand", "frame", "attributes") +# Get IDs +gff$ID <- getAttributeField(as.character(gff$attributes), "ID", ";") +# Reorder columns +gff <- gff %>% select(chr, source, ID, feature, start, end, score, strand, frame, attributes) +# Create SQL table to store GFF data +suppressWarnings(dbGetQuery(con, "CREATE Table GFF (Contig TEXT, Source TEXT, ID TEXT, Feature TEXT, + Start INTEGER, End INTEGER, Score INTEGER, Strand TEXT, + Frame INTEGER, Attributes TEXT)")) +# Create sql rule +sql <- "INSERT INTO GFF VALUES ($chr, $source, $ID, $feature, +$start, $end, $score, $strand, $frame, $attributes)" +# Add to SQL db +addTable(con, sql, gff) + +############################################## +### Third STEP load gene nucl fasta to sql ### +############################################## + +## Loading Protein fasta +genes <- readAAStringSet(opt$aminoacid) +gene_ids <- sapply(names(genes), function(x) { + unlist(strsplit(as.character(x), " "))[1] +}) +gene_desc <- sapply(names(genes), function(x) { + paste0(unlist(strsplit(as.character(x), " "))[-1], collapse = " ") +}) +sequences = paste(genes) +genes_aa <- data.frame(gene_ids, gene_desc, sequences) +names(genes_aa) <- c("ID", "Description", "Sequence") +## Create SQL table to store Protein FASTA +suppressWarnings(dbGetQuery(con, "CREATE Table ProteinFasta (ID TEXT, Description TEXT, Sequence TEXT)")) +## Create sql rule +sql <- "INSERT INTO ProteinFasta VALUES ($ID, $Description, $Sequence)" +# Add to SQL db +addTable(con, sql, genes_aa) + +## Loading Nucleotide fasta +genes <- readDNAStringSet(opt$nucleotide) +gene_ids <- sapply(names(genes), function(x) { + unlist(strsplit(as.character(x), " "))[1] +}) +gene_desc <- sapply(names(genes), function(x) { + paste0(unlist(strsplit(as.character(x), " "))[-1], collapse = " ") +}) +sequences = paste(genes) +genes_ncl <- data.frame(gene_ids, gene_desc, sequences) +names(genes_ncl) <- c("ID", "Description", "Sequence") +## Create SQL table to store Protein FASTA +suppressWarnings(dbGetQuery(con, "CREATE Table NucleotideFasta (ID TEXT, Description TEXT, Sequence TEXT)")) +## Create sql rule +sql <- "INSERT INTO NucleotideFasta VALUES ($ID, $Description, $Sequence)" +# Add to SQL db +addTable(con, sql, genes_ncl) diff --git a/docker/renv/scripts/rscripts/installPack.R b/docker/renv/scripts/rscripts/installPack.R new file mode 100644 index 00000000..cae4c6fd --- /dev/null +++ b/docker/renv/scripts/rscripts/installPack.R @@ -0,0 +1,12 @@ +#!/usr/bin/Rscript + +install.packages("sf", repos = "https://cloud.r-project.org/", dependencies = TRUE) ; +install.packages(c("DataCombine", "glue", "docopt", "dplyr", + "stringr", "DT", "ggplot2", + "bookdown", "plyr", "tidyr"), repos = "https://cloud.r-project.org/", dependencies = TRUE) ; + + +# Install bioc packages +install.packages("BiocManager", repos = "https://cloud.r-project.org/", dependencies = TRUE) ; +BiocManager::install("Rsamtools", ask = FALSE) ; +BiocManager::install("ballgown", ask = FALSE) diff --git a/docker/renv/scripts/rscripts/reduceRepeatedValues.R b/docker/renv/scripts/rscripts/reduceRepeatedValues.R new file mode 100644 index 00000000..d4be3e12 --- /dev/null +++ b/docker/renv/scripts/rscripts/reduceRepeatedValues.R @@ -0,0 +1,38 @@ +#!/usr/bin/Rscript +# Setting help +'usage: reduceRepeatedValues.R [--input= --out=] + +options: + -i, --input= GFF file + -o, --out= Output file name [default: out.gff]' -> doc + +# Parse parameters +suppressMessages(library(docopt)) +opt <- docopt(doc) +if (is.null(opt$input)){ + stop("At least one argument must be supplied (input file)\n", call.=FALSE) +} + +# Load libraries +suppressMessages(library(ballgown)) +suppressMessages(library(DataCombine)) + +# Function used to remove redundancy +reduce_row = function(i) { + d <- unlist(strsplit(i, split=",")) + paste(unique(d), collapse = ',') +} + +# Load GFF file +gff <- gffRead(opt$input) + +## Remove repeated values +feature <- gff$feature +gff$feature <- sapply(feature, reduce_row) +source <- gff$source +gff$source <- sapply(source, reduce_row) +reduced_df <- gff[order(gff$seqname, gff$start),] + +# Write output +write.table(reduced_df, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE) \ No newline at end of file diff --git a/docker/renv/scripts/rscripts/tolower.R b/docker/renv/scripts/rscripts/tolower.R new file mode 100644 index 00000000..ede8eb26 --- /dev/null +++ b/docker/renv/scripts/rscripts/tolower.R @@ -0,0 +1,28 @@ +#!/usr/bin/Rscript +# Setting Help +'usage: tolower.R [--input= --out= ] + +options: + -i, --input= Tabular Blast to be added to GFF + -o, --out= Output file name [default: out.gff]' -> doc + +# Parse parameters +suppressMessages(library(docopt)) +opt <- docopt(doc) + +if (is.null(opt$input)){ + stop("At least one argument must be supplied (input file)\n", call.=FALSE) +} + +# Load libraries +suppressMessages(library(ballgown)) +suppressMessages(library(DataCombine)) +suppressMessages(library(dplyr)) + +# Load GFF file +gff <- gffRead(opt$input) +# Lower case the attributes column +gff$attributes <- sapply(gff$attributes, tolower) +# Write output +write.table(gff, file = opt$out, quote = FALSE, sep = "\t", + col.names = FALSE, row.names = FALSE) \ No newline at end of file diff --git a/docker/renv/scripts/rscripts/write_gff.R b/docker/renv/scripts/rscripts/write_gff.R new file mode 100644 index 00000000..7d9f70e7 --- /dev/null +++ b/docker/renv/scripts/rscripts/write_gff.R @@ -0,0 +1,40 @@ +#!/usr/bin/Rscript + +# Setting parameters +library(optparse) + +option_list = list( + make_option(c("-i", "--input"), type="character", default=NULL, + help="dataset file name", metavar="character"), + make_option(c("-o", "--out"), type="character", default="out.txt", + help="output file name [default= %default]", metavar="character") +); + +opt_parser = OptionParser(option_list=option_list); +opt = parse_args(opt_parser); + +if (is.null(opt$input)){ + print_help(opt_parser) + stop("At least one argument must be supplied (input file)\n", call.=FALSE) +} + + +df <- read.delim(opt$input) +df$Contig <- sub("_[^_]+$", "", df$Contig) +df$source <- "RGI" +df$type <- "resistance" +df$frame <- "." +df$score <- "." + +attributes <- paste0("ID=", df$ID, ";", "gene=", df$Best_Hit_ARO, ";", "product=", df$Drug.Class, ";", "gene_family=", + df$AMR.Gene.Family, ";", "resistance_mechanism=", df$Resistance.Mechanism) +df$attributes <- attributes + +gff <- df[, c("Contig", "source", "type", "Start", "Stop", "score", "Orientation", "frame", "attributes")] + +colnames(gff) <- c("seqname", "source", "type", "start", "end", "score", "strand", "frame", "attributes") + + +##Write file + +write.table(gff, file = opt$out, quote = FALSE, sep = "\t", row.names = FALSE, col.names = FALSE) diff --git a/docker/renv/scripts/rscripts/write_table_from_gff.R b/docker/renv/scripts/rscripts/write_table_from_gff.R new file mode 100644 index 00000000..bb5dafba --- /dev/null +++ b/docker/renv/scripts/rscripts/write_table_from_gff.R @@ -0,0 +1,124 @@ +#!/usr/bin/Rscript +# Setting help +'usage: write_table_from_gff.R [--input= --out= --type=] + +options: + -i, --input= GFF file name + -o, --out= Output prefix file name [default: out] + -t, --type= Feature type to subset and write table from [default: resistance]' -> doc + +# Parse parameters +suppressMessages(library(docopt)) +opt <- docopt(doc) +if (is.null(opt$input)){ + stop("At least one argument must be supplied (input file).n", call.=FALSE) +} + +# Load Libraries +suppressMessages(library(DataCombine)) +suppressMessages(library(ballgown)) +suppressMessages(library(stringr)) + +getAttributeField <- function (x, field, attrsep = ";") { + s = strsplit(x, split = attrsep, fixed = TRUE) + sapply(s, function(atts) { + a = strsplit(atts, split = "=", fixed = TRUE) + m = match(field, sapply(a, "[", 1)) + if (!is.na(m)) { rv = a[[m]][2] + } + else { + rv = as.character(NA) + } + return(rv) + }) +} + +getAdditionalProducts <- function (vector) { + s=strsplit(vector, split = ";", fixed = TRUE) + sapply(s, function(x) { + v = str_subset(x, pattern="_target") + w = strsplit(v, split = "=", fixed = TRUE) + d = sapply(sapply(w, "[", 1), + function(x) { + strsplit(x, split = "_", fixed = TRUE) + }) + d = sapply(d, "[", 1) + j = sapply(w, "[", 2) + i = paste(d, j, sep = ":") + if (length(i) > 0) { + rv = paste(unique(i), collapse = ",") } else {rv = as.character(NA)} + }) +} + +# Check if file is empty +if (file.info(opt$input)$size > 0) { +# Load gff file +gff <- gffRead(opt$input) +gff$attributes <- gsub(x = gff$attributes, pattern = ",id", replacement = ";id") +output_file <- opt$out + +if (length(opt$type) && opt$type != "card") { + ### Create fields - Prokka + gff$Prokka_ID <- getAttributeField(gff$attributes, "id", ";") + gff$Prokka_product <- getAttributeField(gff$attributes, "product", ";") + gff$Prokka_inference <- getAttributeField(gff$attributes, "inference", ";") + gff$Domain <- getAttributeField(gff$attributes, "protein_motif", ";") + gff$Additional_DB <- getAttributeField(gff$attributes, "additional_database", ";") + gff$Additional_product <- getAdditionalProducts(gff$attributes) + + ### Give columns a name + col = c("seqname", "Prokka_ID", "start", "end", "feature", "source", "Additional_DB", + "Prokka_product", "Additional_product", "Prokka_inference", "Domain") + + ### Write document + table <- gff[, col] + out <- paste0(output_file, "_", opt$type, ".tsv", sep = "") + write.table(table, out, quote = FALSE, sep = "\t", row.names = FALSE, col.names = TRUE) +} else if (opt$type == "card") { + + ### Create CARD specific summary table, + ### since it has great information about antimicrobial genes. + gff$ARO_Accession <- getAttributeField(gff$attributes, "aro", ";") + gff$Gene_Family <- getAttributeField(gff$attributes, "gene_family", ";") + gff$Name <- getAttributeField(gff$attributes, "db_name", ";") + gff$Drug_Class <- getAttributeField(gff$attributes, "drug_class", ";") + gff$Resistance_Mechanism <- getAttributeField(gff$attributes, "resistance_mechanism", ";") + gff$CVTerm <- getAttributeField(gff$attributes, "cvterm", ";") + gff$Domain <- getAttributeField(gff$attributes, "protein_motif", ";") + gff$Prokka_product <- getAttributeField(gff$attributes, "product", ";") + gff$Prokka_ID <- getAttributeField(gff$attributes, "id", ";") + gff$Prokka_inference <- getAttributeField(gff$attributes, "inference", ";") + gff$Additional_DB <- getAttributeField(gff$attributes, "additional_database", ";") + gff$Additional_product <- getAdditionalProducts(gff$attributes) + + #### Give columns a name + col = c("seqname", "start", "end", "feature", "source", "ARO_Accession", + "Gene_Family", "Name", "Drug_Class", "Resistance_Mechanism", "CVTerm", + "Domain", "Prokka_product", "Prokka_ID", "Prokka_inference", "Additional_DB", "Additional_product") + + ### Write document + table <- gff[, col] + out <- paste0(output_file, "_", opt$type, ".tsv", sep = "") + write.table(table, out, quote = FALSE, sep = "\t", row.names = FALSE, col.names = TRUE) +} else { + ### Create non-specific file + ### Create fields - Prokka + gff$Prokka_ID <- getAttributeField(gff$attributes, "id", ";") + gff$Prokka_product <- getAttributeField(gff$attributes, "product", ";") + gff$Prokka_inference <- getAttributeField(gff$attributes, "inference", ";") + gff$Annotated_domain <- getAttributeField(gff$attributes, "protein_motif", ";") + + ### Give columns a name + col = c("seqname", "start", "end", "feature", "source", "Prokka_ID", + "Prokka_product", "Prokka_inference", "Annotated_domain") + + + ### Write document + table <- gff[, col] + out <- paste0(output_file, "_non_specific.tsv", sep = "") + write.table(table, out, quote = FALSE, sep = "\t", row.names = FALSE, col.names = TRUE) +}} else { + opt <- options(show.error.messages=FALSE) + on.exit(options(opt)) + stop() +} diff --git a/docker/reports/report_custom_blast.Rmd b/docker/reports/report_custom_blast.Rmd deleted file mode 100644 index 9347622d..00000000 --- a/docker/reports/report_custom_blast.Rmd +++ /dev/null @@ -1,127 +0,0 @@ ---- -title: "Annotation of mobile genetic elements" -author: "Produced with bacannot pipeline" -date: "`r format(Sys.time(), '%d %B %Y')`" -params: - blast_id: - blast_cov: - custom_blast: - blast_db: - blast_gff: - query: -output: - bookdown::html_document2: - css: styles.css - df_print: paged - number_sections: false - syntax: espresso - self_contained: yes - theme: readable - code_folding: "show" ---- - -```{r setup, include=FALSE} -knitr::opts_chunk$set(echo = FALSE, warning = FALSE, fig.pos = 'H') -suppressMessages(library(magrittr)) -suppressMessages(library(knitr)) -suppressMessages(library(tidyr)) -suppressMessages(library(plyr)) -suppressMessages(library(DT)) -suppressMessages(library(dplyr)) -suppressMessages(library(stringr)) -suppressMessages(library(ggplot2)) - -# Line checker -check_lines <- function(x) { - return(ifelse(identical(as.integer(nrow(x)), integer(0)), 0, nrow(x))) -} - -## Read ICEberg documents -custom_blast <- try(read.delim(params$custom_blast, header = TRUE), silent = TRUE) -blast_gff <- try(read.delim(params$blast_gff, header = FALSE), silent = TRUE) - -## Check for emptyness -if (class(custom_blast) == "try-error" || - check_lines(custom_blast) == 0) { - custom_blast <- data.frame( - matrix(ncol = 14, nrow = 0) - ) -} else { - custom_blast[sapply(custom_blast, is.character)] <- - lapply(custom_blast[sapply(custom_blast, is.character)], as.factor) -} - -if (class(blast_gff) == "try-error" || - check_lines(blast_gff) == 0) { - blast_gff <- data.frame( - matrix(ncol = 9, nrow = 0) - ) -} else { - blast_gff[sapply(blast_gff, is.character)] <- - lapply(blast_gff[sapply(blast_gff, is.character)], as.factor) -} - -# DT options -# Lists -dt_opt_lst <- list(pageLength = 5, - lengthMenu = c(5, 10, 15, 20, 50), - dom='flrtBip', - buttons = c('copy', 'csv', 'excel', 'colvis'), - scrollX = TRUE, - fixedColumns = FALSE, - autoWidth = TRUE, - columnDefs = list(list(className = 'dt-body-left', targets = -1))) -``` - -# `r params$query` report of user's custom database annotation: `r params$blast_db` - -## About - -This report is based on the user's custom database input called `r params$blast_db`. This database have been blasted against the query genome via BLASTn. The BLASTn raw results are shown in the table \@ref(tab:blastn-raw-table). Additionally, the BLAST results have been used to detect intersection points with the annotation using the software bedtools. Therefore, in table \@ref(tab:blastn-gff-table), we show the gene features from the query genome that have intersection points with the BLAST results. - -> Take note that DT tables will only be rendered when input is available. Thus, whenever a table is missing it is because no results have been found. - -### BLAST prediction thresholds - -All the predictions were passed through a user defined threshold for minimum coverage and identity: - -* Min. Identity (%): `> `r params$blast_id`` -* Min. Coverage (%): `> `r params$blast_cov`` - -## Results - -### BLASTn results - -The BLASTn results of the custom database against the query genome is shown in the table \@ref(tab:blastn-raw-table). - -
-(#tab:blastn-raw-table) Alignment of the `r params$blast_db` custom database against the query genome via BLASTn -```{r} -blast <- custom_blast -# Render dt -datatable(blast, - escape = FALSE, - filter = 'top', - options = dt_opt_lst, - extensions = 'Buttons', - rownames = F) -``` - -### Annotation intersection - -Using the software bedtools intersect, the BLASTn results have been used to search for intersections against the query gene annotation. This result is shown in table \@ref(tab:blastn-gff-table). - -
-(#tab:blastn-gff-table) Annotation intersection of the `r params$blast_db` custom database BLASTn results -```{r} -blast <- blast_gff -colnames(blast) <- - c("Contig", "Source", "Feature", "Start", "End", "Score", "Strand", "Phase", "Attributes") -# Render dt -datatable(blast, - escape = FALSE, - filter = 'top', - options = dt_opt_lst, - extensions = 'Buttons', - rownames = F) -``` diff --git a/docker/Dockerfile_server b/docker/server/Dockerfile similarity index 79% rename from docker/Dockerfile_server rename to docker/server/Dockerfile index be7aeab7..bb3eec6b 100644 --- a/docker/Dockerfile_server +++ b/docker/server/Dockerfile @@ -45,12 +45,12 @@ RUN apt-get install -y ruby-full RUN gem install sequenceserver ## Get scripts -COPY scripts/rscripts/shiny_parser.Rmd /app/shiny_parser.Rmd -COPY scripts/rscripts/shiny_parser_func.R /app/shiny_parser_func.R -COPY scripts/rscripts/get_attributes_field.R /app/get_attributes_field.R -COPY scripts/rscripts/blast_app.R /app/blast_app.R -COPY scripts/rscripts/SQL_parser.R /app/SQL_parser.R -COPY scripts/bscripts/server_entry.sh /app/server_entry.sh +COPY scripts/shiny_parser.Rmd /app/shiny_parser.Rmd +COPY scripts/shiny_parser_func.R /app/shiny_parser_func.R +COPY scripts/get_attributes_field.R /app/get_attributes_field.R +COPY scripts/blast_app.R /app/blast_app.R +COPY scripts/SQL_parser.R /app/SQL_parser.R +COPY scripts/server_entry.sh /app/server_entry.sh # expose port EXPOSE 3838 diff --git a/docker/server/build.sh b/docker/server/build.sh new file mode 100644 index 00000000..f9110339 --- /dev/null +++ b/docker/server/build.sh @@ -0,0 +1 @@ +docker build -t fmalmeida/bacannot:server . diff --git a/docker/scripts/rscripts/SQL_parser.R b/docker/server/scripts/SQL_parser.R similarity index 100% rename from docker/scripts/rscripts/SQL_parser.R rename to docker/server/scripts/SQL_parser.R diff --git a/docker/scripts/rscripts/blast_app.R b/docker/server/scripts/blast_app.R similarity index 100% rename from docker/scripts/rscripts/blast_app.R rename to docker/server/scripts/blast_app.R diff --git a/docker/scripts/rscripts/get_attributes_field.R b/docker/server/scripts/get_attributes_field.R similarity index 100% rename from docker/scripts/rscripts/get_attributes_field.R rename to docker/server/scripts/get_attributes_field.R diff --git a/docker/scripts/bscripts/server_entry.sh b/docker/server/scripts/server_entry.sh similarity index 100% rename from docker/scripts/bscripts/server_entry.sh rename to docker/server/scripts/server_entry.sh diff --git a/docker/scripts/rscripts/shiny_parser.Rmd b/docker/server/scripts/shiny_parser.Rmd similarity index 93% rename from docker/scripts/rscripts/shiny_parser.Rmd rename to docker/server/scripts/shiny_parser.Rmd index a62e3cae..f998c27a 100644 --- a/docker/scripts/rscripts/shiny_parser.Rmd +++ b/docker/server/scripts/shiny_parser.Rmd @@ -120,19 +120,24 @@ for (file in list.files("/work/report_files/", full.names = FALSE, include.dirs # get names if (grepl("resistance", file)) { name <- "Report of resistance features" + # cat + cat(paste("* [", trimws(name), "](reports/", trimws(file), ")", sep = ''), sep = '\n') } if (grepl("virulence", file)) { name <- "Report of virulence features" + # cat + cat(paste("* [", trimws(name), "](reports/", trimws(file), ")", sep = ''), sep = '\n') } if (grepl("MGEs", file)) { name <- "Report of MGEs features" + # cat + cat(paste("* [", trimws(name), "](reports/", trimws(file), ")", sep = ''), sep = '\n') } if (grepl("general", file)) { name <- "Report of general features" + # cat + cat(paste("* [", trimws(name), "](reports/", trimws(file), ")", sep = ''), sep = '\n') } - - # cat - cat(paste("* [", trimws(name), "](reports/", trimws(file), ")", sep = ''), sep = '\n') } if (length(files_list) > 0) { string.list <- as.list(files_list) diff --git a/docker/scripts/rscripts/shiny_parser_func.R b/docker/server/scripts/shiny_parser_func.R similarity index 100% rename from docker/scripts/rscripts/shiny_parser_func.R rename to docker/server/scripts/shiny_parser_func.R diff --git a/docker/set_version.sh b/docker/set_version.sh new file mode 100644 index 00000000..e8bef4b3 --- /dev/null +++ b/docker/set_version.sh @@ -0,0 +1 @@ +export NEW_VERSION=v3.1 diff --git a/docs/Makefile b/docs/Makefile deleted file mode 100644 index d4bb2cbb..00000000 --- a/docs/Makefile +++ /dev/null @@ -1,20 +0,0 @@ -# Minimal makefile for Sphinx documentation -# - -# You can set these variables from the command line, and also -# from the environment for the first two. -SPHINXOPTS ?= -SPHINXBUILD ?= sphinx-build -SOURCEDIR = . -BUILDDIR = _build - -# Put it first so that "make" without argument is like "make help". -help: - @$(SPHINXBUILD) -M help "$(SOURCEDIR)" "$(BUILDDIR)" $(SPHINXOPTS) $(O) - -.PHONY: help Makefile - -# Catch-all target: route all unknown targets to Sphinx using the new -# "make mode" option. $(O) is meant as a shortcut for $(SPHINXOPTS). -%: Makefile - @$(SPHINXBUILD) -M $@ "$(SOURCEDIR)" "$(BUILDDIR)" $(SPHINXOPTS) $(O) diff --git a/docs/_static/style.css b/docs/_static/style.css deleted file mode 100644 index 76574a62..00000000 --- a/docs/_static/style.css +++ /dev/null @@ -1,3 +0,0 @@ -.wy-nav-content { - max-width: 100% !important; -} diff --git a/docs/conf.py b/docs/conf.py deleted file mode 100644 index c6c15775..00000000 --- a/docs/conf.py +++ /dev/null @@ -1,96 +0,0 @@ -# Configuration file for the Sphinx documentation builder. -# -# This file only contains a selection of the most common options. For a full -# list see the documentation: -# https://www.sphinx-doc.org/en/master/usage/configuration.html - -# -- Path setup -------------------------------------------------------------- - -# If extensions (or modules to document with autodoc) are in another directory, -# add these directories to sys.path here. If the directory is relative to the -# documentation root, use os.path.abspath to make it absolute, like shown here. -# -# import os -# import sys -# sys.path.insert(0, os.path.abspath('.')) -import sphinx_rtd_theme - -# -- Project information ----------------------------------------------------- - -project = 'Bacannot: a generic genome annotation pipeline for prokaryotes' -copyright = '2020, fmalmeida/bacannot: A pipeline for an easy but comprehensive annotation of prokaryotic genomes' -author = 'Felipe Marques de Almeida' - - -# -- General configuration --------------------------------------------------- -# The suffix(es) of source filenames. -# You can specify multiple suffix as a list of string: -# -# source_suffix = ['.rst', '.md'] -source_suffix = '.rst' - -# The master toctree document. -master_doc = 'index' - -# Add any Sphinx extension module names here, as strings. They can be -# extensions coming with Sphinx (named 'sphinx.ext.*') or your custom -# ones. -extensions = [ - "sphinx.ext.intersphinx", - "sphinx.ext.autodoc", - "sphinx.ext.mathjax", - "sphinx.ext.viewcode", - "sphinx_copybutton" -] - -# Add any paths that contain templates here, relative to this directory. -templates_path = ['_templates'] - -# List of patterns, relative to source directory, that match files and -# directories to ignore when looking for source files. -# This pattern also affects html_static_path and html_extra_path. -exclude_patterns = ['_build', 'Thumbs.db', '.DS_Store'] - -# -- Options for HTML output ------------------------------------------------- - -# The theme to use for HTML and HTML Help pages. See the documentation for -# a list of builtin themes. -# -#html_theme = 'sphinx_rtd_theme' - -# --- material --- -html_theme = "sphinx_material" -# Material theme options (see theme.conf for more information) -html_theme_options = { - - # Set the color and the accent color - # Primary colo. Options are red, pink, purple, deep-purple, indigo, blue, light-blue, cyan, teal, green, light-green, lime, yellow, amber, orange, deep-orange, brown, grey, blue-grey, and white. - 'color_primary': 'teal', - #Accent color. Options are red, pink, purple, deep-purple, indigo, blue, light-blue, cyan, teal, green, light-green, lime, yellow, amber, orange, and deep-orange. - 'color_accent': 'indigo', - - # Visible levels of the global TOC; -1 means unlimited - 'globaltoc_depth': 1, - # If False, expand all TOC entries - 'globaltoc_collapse': True, - # If True, show hidden TOC entries - 'globaltoc_includehidden': True, - - # logo - 'logo_icon': "book", - - # repo info - "repo_url": "https://github.com/fmalmeida/bacannot", - "repo_name": "bacannot", - "repo_type": "github", - -} -html_sidebars = { - "**": ["globaltoc.html", "localtoc.html", "searchbox.html"] -} - -# Add any paths that contain custom static files (such as style sheets) here, -# relative to this directory. They are copied after the builtin static files, -# so a file named "default.css" will overwrite the builtin "default.css". -html_static_path = ['_static'] -html_css_files = ['style.css'] diff --git a/docs/config.md b/docs/config.md new file mode 100644 index 00000000..748cae47 --- /dev/null +++ b/docs/config.md @@ -0,0 +1,189 @@ +# Configuration File + +To download a configuration file template users just use `--get_config` parameter. Using a config file your code is lot more clean and concise. + +```bash +# get config +nextflow run fmalmeida/bacannot --get_config +# run with config +nextflow run fmalmeida/bacannot -c [path-to-config] +``` + +Default configuration +--------------------- + +```groovy +/* + + Required / Default Parameters. + This parameters must always be set + +*/ +params { + + /* + + DB DOWNLOAD WORKFLOW + + */ + +// Trigger database download and formatting workflow? --> will not run annotation +// Will download and format a database inside {output} parameter + get_dbs = false + force_update = false + + /* + + ANNOTATION INPUTS + + */ + +// Input data mus be given inside a well-formated samplesheet. +// We provide a well-formated example at: https://github.com/fmalmeida/test_datasets/raw/main/bacannot_testing_samplesheets/samplesheet.yaml +// +// Please read the example samplesheet so you can understand how to properly fill it. +// +// It is also documented in the main manual: https://bacannot.readthedocs.io/en/latest/samplesheet.html + input = null + +// path to directory containing databases used by bacannot +// you can download databases with: +// nextflow run fmalmeida/bacannot --get_dbs --output bacannot_dbs -profile + bacannot_db = null + + /* + + GENERAL PARAMETERS + + */ + +// Main output folder name. More than one bacannot annotation can be redirected +// to the same output parameter. It is good to keep related annotations together. +// A subdirectory with the filename will be created inside this directory. + output = 'results' + +// Number of minimum overlapping base pairs required for merging +// Negative values, such as -20, means the number of required overlapping bases for merging. +// Positive values, such as 5, means the maximum distance accepted between features for merging. +// By default (if Blank), this process is not executed. For execution the user needs to provide a value + bedtools_merge_distance = null + + /* + * Prokka optional parameters + */ +// Do not use PGAP (NCBI) database? +// PGAP is big and using it may have higher running times but better results +// To do not use it, set the following to true + prokka_skip_pgap = false + +// Annotation mode: Archaea|Bacteria|Mitochondria|Viruses (default 'Bacteria') + prokka_kingdom = null + +// Translation table code. Must be set if the above is set. +// Example: params.prokka_genetic.code = 11 + prokka_genetic_code = null + +// Use rnammer instead of Barrnap? False or True? + prokka_use_rnammer = false + + /* + * Resfinder species panel + */ + +// Species panel to be used when annotating with Resfinder. +// It sets a default for all samples in the samplesheet. +// If a sample has a different value inside the samplesheet it will overwrite the value for that sample +// If blank it will not be executed. +// It must be identical (without the *) as written in their webservice https://cge.cbs.dtu.dk/services/ResFinder/. +// E.g. 'Escherichia coli'; 'Klebsiella' ... + resfinder_species = null + + /* + * Handling the execution of processes + * + * By default, all processes are executed. These + * parameters tells wheter NOT to run a process. + * + * Which means: false will allow its execution + * while true will create a barrier and skip a process. + +*/ +// (NOT RUN?) Plasmids annotation (controls PlasmidFinder execution) + skip_plasmid_search = false + +// (NOT RUN?) General Virulence annotation (controls VFDB and Victors scan) + skip_virulence_search = false + +// (NOT RUN?) Resistance annotation (controls AMRfinder and RGI) + skip_resistance_search = false + +// (NOT RUN?) ICE annotation (controls ICEberg annotation) + skip_iceberg_search = false + +// (NOT RUN?) prophage annotation (controls PHAST and Phigaro) + skip_prophage_search = false + +// (NOT RUN?) KO (KEGG Orthology) annotation + skip_kofamscan = false + +// (NOT RUN?) antiSMASH (secondary metabolite) annotation + skip_antismash = false + + /* + * Custom databases can be used to annotate additional genes in the genome. + * It runs a BLAST alignment against the genome, therefore, the custom database + * More than one custom database can be given separated by commas. + * Gene headers must be properly formated as described in the + * documentation: https://bacannot.readthedocs.io/en/latest/custom-db.html + */ +// Custom fastas (PROT / NUCL) + custom_db = null +// Custom annotation using list of NCBI protein accs + ncbi_proteins = null + + /* + * Annotation thresholds to be used when scanning specific databases and features + * Select a combination of thresholds that is meaningful for your data. Some of + * the databases are protein-only, others are nucleotide only. We cannnot control + * that and the databases will be scanned either if blastp or blastn using these + * thresholds described here. + */ + +// Identity threshold for plasmid annotation + plasmids_minid = 90 + +// Coverage threshold for plasmid annotation + plasmids_mincov = 60 + +// Virulence genes identity threshold + blast_virulence_minid = 90 + +// Virulence genes coverage threshold + blast_virulence_mincov = 90 + +// AMR genes identity threshold + blast_resistance_minid= 90 + +// AMR genes coverage threshold + blast_resistance_mincov = 90 + +// MGEs (ICEs and Phages) identity threshold + blast_MGEs_minid = 85 + +// MGEs (ICEs and Phages) coverage threshold + blast_MGEs_mincov = 85 + +// User's custom database identity threshold + blast_custom_minid = 65 + +// User's custom database coverage threshold + blast_custom_mincov = 65 + +// Max resource options +// Defaults only, expecting to be overwritten + max_memory = '20.GB' + max_cpus = 16 + max_time = '40.h' + +} +``` \ No newline at end of file diff --git a/docs/config.rst b/docs/config.rst deleted file mode 100644 index b391ed1f..00000000 --- a/docs/config.rst +++ /dev/null @@ -1,14 +0,0 @@ -.. _config: - -Configuration File -================== - -To download a configuration file template users just need to run ``nextflow run fmalmeida/bacannot --get_config`` - -Using a config file your code is lot more clean and concise: ``nextflow run fmalmeida/bacannot -c [path-to-config]`` - -Default configuration ---------------------- - -.. literalinclude:: ../nextflow.config - :language: groovy diff --git a/docs/custom-db.md b/docs/custom-db.md new file mode 100644 index 00000000..490b6baf --- /dev/null +++ b/docs/custom-db.md @@ -0,0 +1,54 @@ +## Custom database configuration + +It is also possible that users use custom databases or a list of NCBI protein IDs for additional genome annotation. The custom annotation is triggered with the `--custom_db` or `--ncbi_proteins` parameters. The `--custom_db` option accepts more than one database (in nucl/prot FASTA) at once, separated by commas. E.g. `--custom_db db1.fasta,db2.fasta`. The `--ncbi_proteins` option expects a single file containing a list of NCBI protein IDs. + +Although simple, the files must follow some formatting rules in order to make it possible to summarize alignments and render reports in HTML format, that shall be available under the `report_files` directory. + +## Files in FASTA (`--custom_db`) + +Sequence headers must follow a 5-field rule separated by "~~~" and spaces. The first 4 fields must be separated by "~~~" and the last one by one space, as exemplified below: + +```bash +# Sequence header +>Database_name~~~gene/product_name/alias~~~acc.number_reference_or_identification~~~gene_product Description + +# An example with a VFDB sequence +>VFDB~~~(plc)~~~VFG037176(gb|YP_001844723)~~~[Phospholipase_C_(VF0470)] VFG037176(gb|YP_001844723) (plc) phospholipase C [Phospholipase C (VF0470)] +``` + +!!! warning + + Except for the Description field, the first four (DB name, gene name, gene reference and gene product) must have no whitespaces. + +!!! note + + It is very important to follow this header format in order to make it possible and easier to render summaries and reports of the BLASTn result, such as below: + +## List of NCBI protein IDs (`--ncbi_proteins`) + +If users do not want to download and format input sequences, it is possible to give the pipeline a list of IDs from [NCBI protein database](https://www.ncbi.nlm.nih.gov/protein/). When given, the pipeline download the sequences and formats them in the expected way for the annotation modules. See the example below of the expected formating of this file. It is pretty simple. + +```bash +WP_118891437.1 +VTX70803.1 +VTX49335.1 +WP_005693332.1 +WP_138172127.1 +``` + +## Expected output + +When the header is followed, the summaries and reports are very well rendered such as in this example: + +```bash +SEQUENCE START END STRAND GENE COVERAGE GAPS %COVERAGE %IDENTITY DATABASE VFDB_ID PRODUCT DESCRIPTION +BNHFPCLP_00473 1 528 + (rcsB) 1-527/651 2/3 80.8 99.43 VFDB VFG049018(gb|YP_002920501.1) [RcsAB_(VF0571)] VFG049018(gb|YP_002920501.1) (rcsB) transcriptional regulator RcsB [RcsAB (VF0571)] +BNHFPCLP_00654 1 629 + (cpsACP) 1-629/630 0/0 99.84 95.55 VFDB VFG048985(gb|YP_002920368.1) [Capsule_(VF0560)] VFG048985(gb|YP_002920368.1) (cpsACP) phosphatase PAP2 family protein [Capsule (VF0560)] +BNHFPCLP_00676 1 1167 + (ugd) 1-1167/1167 0/0 100.0 96.92 VFDB VFG048797(gb|YP_002920350.1) [Capsule_(VF0560)] VFG048797(gb|YP_002920350.1) (ugd) UDP-glucose 6-dehydrogenase [Capsule (VF0560)] +BNHFPCLP_00680 1 741 + (wzt) 1-741/741 0/0 100.0 99.46 VFDB VFG049084(gb|YP_002920347.1) [LPS_(VF0561)] VFG049084(gb|YP_002920347.1) (wzt) lipopolysaccharide O-antigen ABC transport system ATP-binding component [LPS (VF0561)] +BNHFPCLP_00685 1 894 + (wbbN) 1-894/894 0/0 100.0 98.77 VFDB VFG049051(gb|YP_002920344.1) [LPS_(VF0561)] VFG049051(gb|YP_002920344.1) (wbbN) glycosyltransferase [LPS (VF0561)] +BNHFPCLP_00686 1 1131 + (wbbO) 1-1131/1131 0/0 100.0 99.47 VFDB VFG049040(gb|YP_002920343.1) [LPS_(VF0561)] VFG049040(gb|YP_002920343.1) (wbbO) glycosyltransferase family 1 protein [LPS (VF0561)] +BNHFPCLP_00770 1 624 + (rcsA) 1-624/624 0/0 100.0 100.0 VFDB VFG049007(gb|YP_002920216.1) [RcsAB_(VF0571)] VFG049007(gb|YP_002920216.1) (rcsA) transcriptional activator for ctr capsule biosynthesis [RcsAB (VF0571)] +BNHFPCLP_01728 1 936 + (iroE) 1-936/936 0/0 100.0 99.04 VFDB VFG044322(gb|YP_002919453) [Sal_(VF0563)] VFG044322(gb|YP_002919453) (iroE) siderophore esterase IroE [Sal (VF0563)] +BNHFPCLP_02092 1 543 + (sciN/tssJ) 1-543/543 0/0 100.0 99.45 VFDB VFG048784(gb|YP_005226619.1) [T6SS_(VF0569)] VFG048784(gb|YP_005226619.1) (sciN/tssJ) type VI secretion system lipoprotein TssJ [T6SS (VF0569)] +``` diff --git a/docs/custom-db.rst b/docs/custom-db.rst deleted file mode 100644 index 89b7eac7..00000000 --- a/docs/custom-db.rst +++ /dev/null @@ -1,53 +0,0 @@ -.. _custom-db: - -Custom database configuration -============================= - -It is also possible that users use custom databases for the annotation of genomes. Currently, the pipeline only executes BLASTn alignments using the genome as query. -Therefore, the given databases must be files of **target gene sequences in nucleotide** FASTA. - -The custom annotation is triggered with the ``--custom_db`` parameter. The pipeline accepts more than one custom database at once, separated by commas, e.g. -``--custom_db db1.fasta,db2.fasta``. - -Although simple, the custom database must follow some rules about sequence header format in order to make it possible the summarization of alignments and renderization -of custom reports in HTML format, that shall be available under the ``report_files`` directory. - -Sequence header format ----------------------- - -Sequence headers must follow a 5-field rule separated by "~~~" and spaces. The first 4 fields must be separated by "~~~" and the last one by one space, following the -example shown below: - -.. warning:: - - Except for the Description field, the first four (DB name, gene name, gene reference and gene product) must be written **whithout** whitespaces. - -.. code-block:: bash - - # Sequence header - >Database_name~~~gene/product_name/alias~~~acc.number_reference_or_identification~~~gene_product Description - - # An example with a VFDB sequence - >VFDB~~~(plc)~~~VFG037176(gb|YP_001844723)~~~[Phospholipase_C_(VF0470)] VFG037176(gb|YP_001844723) (plc) phospholipase C [Phospholipase C (VF0470)] - -.. note:: - - It is very important to follow this header format in order to make it possible and easier to render summaries and reports of the BLASTn result, such as below: - -BLASTn summary example ----------------------- - -When the header is followed, the summaries and reports are very well rendered such as in this example: - -.. code-block:: bash - - SEQUENCE START END STRAND GENE COVERAGE GAPS %COVERAGE %IDENTITY DATABASE VFDB_ID PRODUCT DESCRIPTION - BNHFPCLP_00473 1 528 + (rcsB) 1-527/651 2/3 80.8 99.43 VFDB VFG049018(gb|YP_002920501.1) [RcsAB_(VF0571)] VFG049018(gb|YP_002920501.1) (rcsB) transcriptional regulator RcsB [RcsAB (VF0571)] - BNHFPCLP_00654 1 629 + (cpsACP) 1-629/630 0/0 99.84 95.55 VFDB VFG048985(gb|YP_002920368.1) [Capsule_(VF0560)] VFG048985(gb|YP_002920368.1) (cpsACP) phosphatase PAP2 family protein [Capsule (VF0560)] - BNHFPCLP_00676 1 1167 + (ugd) 1-1167/1167 0/0 100.0 96.92 VFDB VFG048797(gb|YP_002920350.1) [Capsule_(VF0560)] VFG048797(gb|YP_002920350.1) (ugd) UDP-glucose 6-dehydrogenase [Capsule (VF0560)] - BNHFPCLP_00680 1 741 + (wzt) 1-741/741 0/0 100.0 99.46 VFDB VFG049084(gb|YP_002920347.1) [LPS_(VF0561)] VFG049084(gb|YP_002920347.1) (wzt) lipopolysaccharide O-antigen ABC transport system ATP-binding component [LPS (VF0561)] - BNHFPCLP_00685 1 894 + (wbbN) 1-894/894 0/0 100.0 98.77 VFDB VFG049051(gb|YP_002920344.1) [LPS_(VF0561)] VFG049051(gb|YP_002920344.1) (wbbN) glycosyltransferase [LPS (VF0561)] - BNHFPCLP_00686 1 1131 + (wbbO) 1-1131/1131 0/0 100.0 99.47 VFDB VFG049040(gb|YP_002920343.1) [LPS_(VF0561)] VFG049040(gb|YP_002920343.1) (wbbO) glycosyltransferase family 1 protein [LPS (VF0561)] - BNHFPCLP_00770 1 624 + (rcsA) 1-624/624 0/0 100.0 100.0 VFDB VFG049007(gb|YP_002920216.1) [RcsAB_(VF0571)] VFG049007(gb|YP_002920216.1) (rcsA) transcriptional activator for ctr capsule biosynthesis [RcsAB (VF0571)] - BNHFPCLP_01728 1 936 + (iroE) 1-936/936 0/0 100.0 99.04 VFDB VFG044322(gb|YP_002919453) [Sal_(VF0563)] VFG044322(gb|YP_002919453) (iroE) siderophore esterase IroE [Sal (VF0563)] - BNHFPCLP_02092 1 543 + (sciN/tssJ) 1-543/543 0/0 100.0 99.45 VFDB VFG048784(gb|YP_005226619.1) [T6SS_(VF0569)] VFG048784(gb|YP_005226619.1) (sciN/tssJ) type VI secretion system lipoprotein TssJ [T6SS (VF0569)] diff --git a/docs/index.md b/docs/index.md new file mode 100644 index 00000000..a361f1f5 --- /dev/null +++ b/docs/index.md @@ -0,0 +1,65 @@ +# Welcome to bacannot pipeline documentation + + + +[![Cite with Zenodo](http://img.shields.io/badge/DOI-10.5281/zenodo.3627669-1073c8?labelColor=000000)](https://doi.org/10.5281/zenodo.3627669) +[![GitHub release (latest by date including pre-releases)](https://img.shields.io/github/v/release/fmalmeida/bacannot?include_prereleases&label=Latest%20release)](https://github.com/fmalmeida/bacannot/releases) +[![Documentation](https://img.shields.io/badge/Documentation-readthedocs-brightgreen)](https://bacannot.readthedocs.io/en/latest/?badge=latest) +[![Nextflow](https://img.shields.io/badge/nextflow%20DSL2-%E2%89%A521.10.3-23aa62.svg?labelColor=000000)](https://www.nextflow.io/) +[![run with docker](https://img.shields.io/badge/run%20with-docker-0db7ed?labelColor=000000&logo=docker)](https://www.docker.com/) +[![run with singularity](https://img.shields.io/badge/run%20with-singularity-1d355c.svg?labelColor=000000)](https://sylabs.io/docs/) +[![License](https://img.shields.io/badge/License-GPL%203-black)](https://github.com/fmalmeida/bacannot/blob/master/LICENSE) +[![Follow on Twitter](http://img.shields.io/badge/twitter-%40fmarquesalmeida-1DA1F2?labelColor=000000&logo=twitter)](https://twitter.com/fmarquesalmeida) + +## About + +[Bacannot](https://github.com/fmalmeida/bacannot) is a pipeline designed to provide an easy-to-use framework for performing a comprehensive annotation on prokaryotic genomes. It is developed with [Nextflow](https://www.nextflow.io/docs/latest/index.html) and [Docker](https://www.docker.com/). It can annotate resistance genes, virulence factors, genomic islands, prophages, methylation and more. + +## Workflow + +The pipeline's main steps are: + +| Analysis steps | Used software or databases | +| :------------- | :------------------------- | +| Genome assembly (if raw reads are given) | [Flye](https://github.com/fenderglass/Flye) and [Unicycler](https://github.com/rrwick/Unicycler) | +| Identification of closest 10 NCBI Refseq genomes | [RefSeq Masher](https://github.com/phac-nml/refseq_masher) | +| Generic annotation and gene prediction | [Prokka](https://github.com/tseemann/prokka) | +| rRNA prediction | [barrnap](https://github.com/tseemann/barrnap) | +| Classification within multi-locus sequence types (STs) | [mlst](https://github.com/tseemann/mlst) | +| KEGG KO annotation and visualization | [KofamScan](https://github.com/takaram/kofam_scan) and [KEGGDecoder](https://github.com/bjtully/BioData/tree/master/KEGGDecoder) | +| Annotation of secondary metabolites | [antiSMASH](https://docs.antismash.secondarymetabolites.org/) | +| Methylation annotation | [Nanopolish](https://github.com/jts/nanopolish) | +| Annotation of antimicrobial (AMR) genes | [AMRFinderPlus](https://github.com/ncbi/amr/wiki), [ARGminer](https://bench.cs.vt.edu/argminer), [Resfinder](https://cge.cbs.dtu.dk/services/ResFinder/) and [RGI](https://github.com/arpcard/rgi) | +| Annotation of virulence genes | [Victors](http://www.phidias.us/victors/) and [VFDB](http://www.mgc.ac.cn/VFs/main.htm) | +| Prophage sequences and genes annotation | [PHASTER](http://phast.wishartlab.com/), [Phigaro](https://github.com/bobeobibo/phigaro) and [PhySpy](https://github.com/linsalrob/PhiSpy) | +| Annotation of integrative and conjugative elements | [ICEberg](http://db-mml.sjtu.edu.cn/ICEberg/) | +| Focused detection of insertion sequences | [digIS](https://github.com/janka2012/digIS) | +| _In silico_ detection of plasmids | [Plasmidfinder](https://cge.cbs.dtu.dk/services/PlasmidFinder/) and [Platon](https://github.com/oschwengers/platon) | +| Prediction and visualization of genomic islands | [IslandPath-DIMOB](https://github.com/brinkmanlab/islandpath) and [gff-toolbox](https://github.com/fmalmeida/gff-toolbox) | +| Custom annotation from formatted FASTA or NCBI protein IDs | [BLAST](https://blast.ncbi.nlm.nih.gov/Blast.cgi?PAGE_TYPE=BlastDocs) | +| Merge of annotation results | [bedtools](https://bedtools.readthedocs.io/en/latest/) | +| Genome Browser renderization | [JBrowse](http://jbrowse.org/) | +| Renderization of automatic reports and shiny app for results interrogation | [R Markdown](https://rmarkdown.rstudio.com/), [Shiny](https://shiny.rstudio.com/) and [SequenceServer](https://sequenceserver.com/) | + +!!! note "Quickstart" + + A [quickstart](quickstart.md#) is available so you can quickly get the gist of the pipeline's capabilities. + +## Usage + +The pipeline's common usage is very simple as shown below: + +```bash +# usual command-line +nextflow run fmalmeida/bacannot \ + --bacannot_db "./bacannot_databases" \ + --input "bacannot_samplesheet.yml" +``` + +!!! quote + + Some parameters are required, some are not. Please read the pipeline's manual reference to understand each parameter. + +## Support contact + +Whenever a doubt arise feel free to contact me at almeidafmarques@gmail.com diff --git a/docs/index.rst b/docs/index.rst deleted file mode 100644 index ccd3cb18..00000000 --- a/docs/index.rst +++ /dev/null @@ -1,90 +0,0 @@ -.. Generic Archaeal and Bacterial Annotation (bacannot)>`_ - -.. image:: lOGO_3_transparente.png - :width: 250 - :align: left - ----- - -Bacannot -======== - -Designed to provide an easy-to-use framework for performing a comprehensive annotation on prokaryotic genomes, `bacannot `_ is developed with `Nextflow `_ and `Docker `_. It can annotate resistance genes, virulence factors, genomic islands, prophages, methylation and more. - -Its main steps are: - -.. list-table:: - :widths: 60 40 - :header-rows: 1 - - * - Analysis steps - - Used software or databases - - * - Genome assembly (if raw reads are given) - - `Flye `_ and `Unicycler `_ - - * - Identification of closest 10 NCBI Refseq genomes - - `RefSeq Masher `_ - - * - Generic annotation and gene prediction - - `Prokka `_ - - * - rRNA prediction - - `barrnap `_ - - * - Classification within multi-locus sequence types (STs) - - `mlst `_ - - * - KEGG KO annotation and visualization - - `KofamScan `_ and `KEGGDecoder `_ - - * - Annotation of secondary metabolites - - `antiSMASH `_ - - * - Methylation annotation - - `Nanopolish `_ - - * - Annotation of antimicrobial (AMR) genes - - `AMRFinderPlus `_, `ARGminer `_, `Resfinder `_ and `RGI `_ - - * - Annotation of virulence genes - - `Victors `_ and `VFDB `_ - - * - Prophage sequences and genes annotation - - `PHASTER `_, `Phigaro `_ and `PhySpy `_ - - * - Annotation of integrative and conjugative elements - - `ICEberg `_ - - * - Focused detection of insertion sequences - - `digIS `_ - - * - *In silico* detection of plasmids - - `Plasmidfinder `_ and `Platon `_ - - * - Prediction and visualization of genomic islands - - `IslandPath-DIMOB `_ and `gff-toolbox `_ - - * - Merge of annotation results - - `bedtools `_ - - * - Renderization of results in a Genome Browser - - `JBrowse `_ - - * - Renderization of automatic reports and shiny app for results interrogation - - `R Markdown `_, `Shiny `_ and `SequenceServer `_ - -.. toctree:: - :hidden: - - installation - quickstart - samplesheet - outputs - manual - config - custom-db - -Support Contact -=============== -Whenever a doubt arise feel free to contact me at almeidafmarques@gmail.com diff --git a/docs/installation.md b/docs/installation.md new file mode 100644 index 00000000..e569ce86 --- /dev/null +++ b/docs/installation.md @@ -0,0 +1,57 @@ +# Installation + +## Dependencies + +The pipeline require only a UNIX system, [Nextflow](https://www.nextflow.io/docs/latest/index.html#) and either [Docker](https://www.docker.com/) or [Singularity](https://sylabs.io/docs/). Please, for installing these tools refer to their manual. + +## Downloading the pipeline + +You can easily get a copy of the pipeline with: + +```bash +# nextflow pull +nextflow pull fmalmeida/bacannot +``` + +!!! warning + + The pipeline requires a UNIX system, therefore, Windows users may successfully use this pipeline via the [Linux subsystem for window](https://docs.microsoft.com/pt-br/windows/wsl/install-win10). Nextflow team has made available a [nice tutorial](https://www.nextflow.io/blog.html) about this issue. + +## Downloading docker images + +The docker images used by the pipeline are: + +```bash +docker pull fmalmeida/bacannot:v3.1_misc ; +docker pull fmalmeida/bacannot:v3.1_perlenv ; +docker pull fmalmeida/bacannot:v3.1_pyenv ; +docker pull fmalmeida/bacannot:v3.1_py36env ; +docker pull fmalmeida/bacannot:v3.1_renv ; +docker pull fmalmeida/bacannot:jbrowse ; +``` + +!!! info "Using singularity" + + Docker and singularity images are downloaded on the fly. Be sure to properly set `NXF_SINGULARITY_LIBRARYDIR` env variable to a writable directory if using Singularity. This will make that the downloaded images are resuable through different executions. Read more at: https://www.nextflow.io/docs/latest/singularity.html#singularity-docker-hub + + For example, to download the images for docker you may: + + ```bash + # apply this command to each image + # just change the "/" and ":" for "-". + # E.g. Image fmalmeida/bacannot:v3.1_misc becomes fmalmeida-bacannot-v3.1_misc.img + singularity pull --dir $NXF_SINGULARITY_LIBRARYDIR fmalmeida-bacannot-v3.1_misc.img docker://fmalmeida/bacannot:v3.1_misc + ``` + +## Testing your installation + +After that, you can run the pipeline with a testing dataset by selecting one of the available profiles: + +1. Docker + * `nextflow run fmalmeida/mpgap -profile docker,test` +2. Singularity + * `nextflow run fmalmeida/mpgap -profile singularity,test` + +!!! note "About NF profiles" + + Please read more about how to [proper select NF profiles](profiles.md#) to better understand it. diff --git a/docs/installation.rst b/docs/installation.rst deleted file mode 100644 index bfdeb728..00000000 --- a/docs/installation.rst +++ /dev/null @@ -1,59 +0,0 @@ -.. _installation: - -Installation -============ - -Dependencies ------------- - -This pipeline requires only `Docker `_ (and its Docker images) and -`Nextflow `_ to run. - -1. Installing Docker - - * Read more in their `manual `_ - -2. Installing Nextflow - - .. code-block:: bash - - curl -s https://get.nextflow.io | bash - -3. Download the pipeline - - .. code-block:: bash - - nextflow pull fmalmeida/bacannot - -4. Test your installation - - .. code-block:: bash - - nextflow run fmalmeida/bacannot --help - -5. Download required Docker images - - .. code-block:: bash - - docker pull fmalmeida/bacannot:main_tools ; # this is the core of the main image - docker pull fmalmeida/bacannot:v3.0 ; - docker pull fmalmeida/bacannot:kofamscan ; - docker pull fmalmeida/bacannot:antismash ; - docker pull fmalmeida/bacannot:jbrowse ; - docker pull fmalmeida/bacannot:v3.0_renv ; - -.. tip:: - - If the download of ``fmalmeida/bacannot:v3.0`` image keeps hanging due to its size, download the ``fmalmeida/bacannot:main_tools`` first. It is the core of the versioned tag and it will help on the download by creating some cache. Also, remember to always keep your Docker images up to date (Docker pull will always download the latest) - -6. (Optional) Install nf-core utility - - .. code-block:: bash - - pip install nf-core>=1.10 - -.. note:: - - The pipeline requires a UNIX system, therefore, Windows users may successfully use this pipeline via the `Linux subsystem for windows `_. - - Nextflow team has made available a `nice tutorial `_ about this issue. diff --git a/docs/lOGO_3_transparente.png b/docs/lOGO_3_transparente.png deleted file mode 100644 index 0f80e9b2..00000000 Binary files a/docs/lOGO_3_transparente.png and /dev/null differ diff --git a/docs/lab_logo.png b/docs/lab_logo.png new file mode 120000 index 00000000..a4b9df75 --- /dev/null +++ b/docs/lab_logo.png @@ -0,0 +1 @@ +../images/lOGO_3.png \ No newline at end of file diff --git a/docs/manual.md b/docs/manual.md new file mode 100644 index 00000000..e2540b50 --- /dev/null +++ b/docs/manual.md @@ -0,0 +1,112 @@ +# Manual + +```bash +# Get help in the command line +nextflow run fmalmeida/bacannot --help +``` + +!!! tip + + All these parameters are configurable through a configuration file. We encourage users to use the configuration file since it will keep your execution cleaner and more readable. See a [config example](config.md#). + +## Input description + +### Required + +To execute the annotation pipeline users **must** provide genomic data as either raw reads or assembled genomes as input. When raw reads are used, Unicycler and Flye assemblers are used to create, respectively, shortreads-only and hybrid assemblies, or longreads-only assemblies for the annotation process. Which means, the minimum required input files are: + +* An assembled genome in FASTA format, **or**; +* Raw sequencing reads. + +### Optional + +The pipeline accepts as input two other input files types that are used to perform additional annotation processes, they are: + +* path to a directory of FAST5 + * Then used together with nanopore reads it will call DNA methylation with Nanopolish. +* path to custom **nucleotide** databases as described in :ref:`custom-db` + * These custom databases (``--custom_db``) will be used to perform additional annotation processes using BLASTn. Please check the both the explanation [about the parameter](manual.md#custom-nucl-databases) and about its [configuration](custom-db.md#). + +## Input/output options + +|
Parameter
| Required | Default | Description | +| :-------------------------------------- | :------- | :------ | :---------- | +| `--input` | :material-check: | NA | Input samplesheet describing all the samples to be analysed | +| `--output` | :material-check: | results | Name of directory to store output values. A sub-directory for each genome will be created inside this main directory. | + + +!!! note "About the samplesheet" + + Please read the [samplesheet manual page](samplesheet.md#) to better understand its format. + +## Prokka annotation + +|
Parameter
| Required | Default | Description | +| :--------------------------------------- | :------- | :------ | :---------- | +| `--prokka_kingdom` | :material-close: | Bacteria | Prokka annotation mode. Possibilities: Archaea|Bacteria | +| `--prokka_genetic_code` | :material-close: | 11 | Genetic Translation code. Must be set if a different kingdom is customized. | +| `--prokka_use_rnammer` | :material-close: | false | Tells Prokka whether to use rnammer instead of barrnap | + +## Resfinder annotation + +The use of this parameter sets a default value for input samples. If a sample has a different value given inside the samplesheet, the pipeline will use, for that sample, the value found inside the [samplesheet](samplesheet.md#). + +|
Parameter
| Required | Default | Description | +| :--------------------------------------- | :------- | :------ | :---------- | +| `--resfinder_species` | :material-close: | NA | Resfinder species panel. It activates the resfinder annotation process using the given species panel. Check the available species at [their main page](https://cge.cbs.dtu.dk/services/ResFinder/) and in [their repository page](https://bitbucket.org/genomicepidemiology/resfinder/src/master/#usage). If your species is not available in Resfinder panels, you may use it with the "Other" panel (`--resfinder_species "Other"`). | + +## On/Off processes + +|
Parameter
| Required | Default | Description | +| :--------------------------------------- | :------- | :------ | :---------- | +| `--skip_virulence_search` | :material-close: | false | Tells whether not to run virulence factors annotation. It skips both vfdb and victors annotation | +| `--skip_plasmid_search` | :material-close: | false | Tells whether not to run plasmid detection modules | +| `--skip_resistance_search` | :material-close: | false | Tells whether not to run resistance genes annotation modules | +| `--skip_iceberg_search` | :material-close: | false | Tells whether not to run mobile genetic elements annotation with ICEberg | +| `--skip_prophage_search` | :material-close: | false | Tells whether not to run prophage annotation modules | +| `--skip_kofamscan` | :material-close: | false | Tells whether not to run KEGG orthology (KO) annotation with KofamScan | +| `--skip_antismash` | :material-close: | false | Tells whether or not to run antiSMASH (secondary metabolite) annotation. AntiSMASH is executed using only its core annotation modules in order to keep it fast. | + +## Custom databases + +Users can give fasta files (nucl or prot) properly formatted or a text file containing a list of NCBI protein IDs (one per line). Please check the [custom db manual](custom-db.md#) for more information. Sequences are searched against the genome, with `blastn` for nucl sequences and `tblastn` for prot sequences. + +|
Parameter
| Required | Default | Description | +| :--------------------------------------- | :------- | :------ | :---------- | +| `--custom_db` | :material-close: | NA | Custom gene nucleotide/protein databases to be used for additional annotations. N files are accepted separated by commas. E.g. `--custom_db db1.fasta,db2.fasta,db3.fasta`. | +| `--ncbi_proteins` | :material-close: | NA | Path to file with NCBI protein IDs. The pipeline will download, format and use them for additional annotation. | + +## Annotation thresholds + +|
Parameter
| Required | Default | Description | +| :--------------------------------------- | :------- | :------ | :---------- | +| `--blast_virulence_minid` | :material-close: | 90 | Identity (%) threshold to be used when annotating virulence factors from VFDB and Victors | +| `--blast_virulence_mincov` | :material-close: | 90 | Coverage (%) threshold to be used when annotating virulence factors from VFDB and Victors | +| `--blast_resistance_minid` | :material-close: | 90 | Identity (%) threshold to be used when annotating AMR genes with CARD-RGI, Resfinder, ARGminer and AMRFinderPlus. | +| `--blast_resistance_mincov` | :material-close: | 90 | Coverage (%) threshold to be used when annotating AMR genes with Resfinder, ARGminer and AMRFinderPlus. CARD-RGI is not affected. | +| `--plasmids_minid` | :material-close: | 90 | Identity (%) threshold to be used when detecting plasmids with Plasmidfinder | +| `--plasmids_mincov` | :material-close: | 60 | Coverage (%) threshold to be used when detecting plasmids with Plasmidfinder | +| `--blast_MGEs_minid` | :material-close: | 85 | Coverage (%) threshold to be used when annotating AMR genes with Resfinder, ARGminer and AMRFinderPlus. CARD-RGI is not affected. | +| `--blast_MGEs_mincov` | :material-close: | 85 | Coverage (%) threshold to be used when annotating prophages and mobile elements from PHAST and ICEberg databases | +| `--blast_custom_minid` | :material-close: | 65 | Identity (%) threshold to be used when annotating with user's custom databases | +| `--blast_custom_mincov` | :material-close: | 65 | Coverage (%) threshold to be used when annotating with user's custom databases | + +## Merge distance + +|
Parameter
| Required | Default | Description | +| :--------------------------------------- | :------- | :------ | :---------- | +| `--bedtools_merge_distance` | :material-close: | NA | Minimum number of required overlapping bases to merge genes. By default it is not executed. | + +## Max job request options + +Set the top limit for requested resources for any single job. If you are running on a smaller system, a pipeline step requesting more resources than are available may cause the Nextflow to stop the run with an error. These options allow you to cap the maximum resources requested by any single job so that the pipeline will run on your system. + +!!! note + + Note that you can not _increase_ the resources requested by any job using these options. For that you will need your own configuration file. See [the nf-core website](https://nf-co.re/usage/configuration) for details. + +| Parameter | Default | Description | +| :-------- | :------ | :---------- | +| `--max_cpus` | 16 | Maximum number of CPUs that can be requested for any single job | +| `--max_memory` | 20.GB | Maximum amount of memory that can be requested for any single job | +| `--max_time` | 40.h | Maximum amount of time that can be requested for any single job | diff --git a/docs/manual.rst b/docs/manual.rst deleted file mode 100644 index b2826cf6..00000000 --- a/docs/manual.rst +++ /dev/null @@ -1,300 +0,0 @@ -.. _manual: - -Manual -====== - -.. code-block:: bash - - # Get help in the command line - nextflow run fmalmeida/bacannot --help - -Parameters description ----------------------- - -Input files -""""""""""" - -Required -^^^^^^^^ - -To execute the annotation pipeline users **must** provide genomic data as either raw reads or assembled genomes as input. When raw reads are used, Unicycler and Flye assemblers are used to create, respectively, shortreads-only and hybrid assemblies, or longreads-only assemblies for the annotation process. Which means, the minimum required input files are: - -* An assembled genome in FASTA format, **or**; -* Raw sequencing reads. - -Optional -^^^^^^^^ - -The pipeline accepts as input two other input files types that are used to perform additional annotation processes, they are: - -* path to a directory of FAST5 - - * Then used together with nanopore reads it will call DNA methylation with Nanopolish. - -* path to custom **nucleotide** databases as described in :ref:`custom-db` - - * These custom databases (``--custom_db``) will be used to perform additional annotation processes using BLASTn - -Input samplesheet -^^^^^^^^^^^^^^^^^ - -.. list-table:: - :widths: 20 10 20 25 - :header-rows: 1 - - * - Arguments - - Required - - Default value - - Description - - * - ``--input`` - - Y - - NA - - Input samplesheet describing all the samples to be analysed. - -.. note:: - - Please read the :ref:`samplesheet manual page` to better understand the samplesheet format. - -Output directory -"""""""""""""""" - -.. list-table:: - :widths: 20 10 20 30 - :header-rows: 1 - - * - Arguments - - Required - - Default value - - Description - - * - ``--output`` - - Y - - outdir - - Name of directory to store output values. A sub-directory for each genome will be created inside this main directory. - -Max job request -""""""""""""""" - -.. list-table:: - :widths: 20 10 20 30 - :header-rows: 1 - - * - Arguments - - Required - - Default value - - Description - - * - ``--threads`` - - N - - 2 - - Number of threads to use - - * - ``--parallel_jobs`` - - N - - NA - - Number of jobs to run in parallel. Each job can consume up to N threads (``--threads``). If not given, let's nextflow automatically handle it. - -Prokka annotation -""""""""""""""""" - -.. list-table:: - :widths: 20 10 20 30 - :header-rows: 1 - - * - Arguments - - Required - - Default value - - Description - - * - ``--prokka_kingdom`` - - N - - Bacteria - - Prokka annotation mode. Possibilities: Archaea|Bacteria - - * - ``--prokka_genetic_code`` - - N - - 11 - - Genetic Translation code. Must be set if a different kingdom is customized. - - * - ``--prokka_use_rnammer`` - - N - - False - - Tells Prokka whether to use rnammer instead of barrnap - -Resfinder annotation -"""""""""""""""""""" - -.. note:: - - Sets a default value for input samples. If a sample has a different value given inside the samplesheet, the pipeline will use, for that sample, the value found inside the :ref:`samplesheet`. - -.. warning:: - - Users must select one of the available Resfinder Species panels. They are listed at `their main page `_ and in `their repository page `_. If your species is not available in Resfinder panels, you may use it with the "Other" panel (``--resfinder_species "Other"``). - -.. list-table:: - :widths: 20 10 20 30 - :header-rows: 1 - - * - Arguments - - Required - - Default value - - Description - - * - ``--resfinder_species`` - - N - - NA - - Resfinder species panel. It activates the resfinder annotation process using the given species panel. Check the available species at `their page `_. If your species is not available in Resfinder panels, you may use it with the "Other" panel (``--resfinder_species "Other"``). - -On/Off processes -"""""""""""""""" - -.. list-table:: - :widths: 20 10 20 30 - :header-rows: 1 - - * - Arguments - - Required - - Default value - - Description - - * - ``--skip_virulence_search`` - - N - - False - - Tells whether not to run virulence factors annotation. It skips both vfdb and victors annotation - - * - ``--skip_plasmid_search`` - - N - - False - - Tells whether not to run plasmid detection with Plasmidfinder - - * - ``--skip_resistance_search`` - - N - - False - - Tells whether not to run resistance genes annotation. It skips AMRFinderPlus and RGI annotation - - * - ``--skip_iceberg_search`` - - N - - False - - Tells whether not to run mobile genetic elements annotation with ICEberg - - * - ``--skip_prophage_search`` - - N - - False - - Tells whether not to run prophage annotation with PHAST and Phigaro - - * - ``--skip_kofamscan`` - - N - - False - - Tells whether not to run KEGG orthology (KO) annotation with KofamScan - - * - ``--skip_antismash`` - - N - - False - - | Tells whether or not to run antiSMASH (secondary metabolite) annotation. - | AntiSMASH is executed using only its core annotation modules in order to keep it fast - -Custom nucl databases -""""""""""""""""""""" - -.. list-table:: - :widths: 20 10 20 30 - :header-rows: 1 - - * - Arguments - - Required - - Default value - - Description - - * - ``--custom_db`` - - N - - NA - - Custom gene nucleotide databases to be used for additional annotations against the genome. See :ref:`custom-db`. - -Annotation thresholds -""""""""""""""""""""" - -.. list-table:: - :widths: 20 10 20 30 - :header-rows: 1 - - * - Arguments - - Required - - Default value - - Description - - * - ``--blast_virulence_minid`` - - N - - 90 - - Identity (%) threshold to be used when annotating virulence factors from VFDB and Victors - - * - ``--blast_virulence_mincov`` - - N - - 90 - - Coverage (%) threshold to be used when annotating virulence factors from VFDB and Victors - - * - ``--blast_resistance_minid`` - - N - - 90 - - Identity (%) threshold to be used when annotating AMR genes with CARD-RGI, Resfinder, ARGminer and AMRFinderPlus. - - * - ``--blast_resistance_mincov`` - - N - - 90 - - Coverage (%) threshold to be used when annotating AMR genes with Resfinder, ARGminer and AMRFinderPlus. CARD-RGI is not affected. - - * - ``--plasmids_minid`` - - N - - 90 - - Identity (%) threshold to be used when detecting plasmids with Plasmidfinder - - * - ``--plasmids_mincov`` - - N - - 60 - - Coverage (%) threshold to be used when detecting plasmids with Plasmidfinder - - * - ``--blast_MGEs_minid`` - - N - - 85 - - Identity (%) threshold to be used when annotating prophages and mobile elements from PHAST and ICEberg databases - - * - ``--blast_MGEs_mincov`` - - N - - 85 - - Coverage (%) threshold to be used when annotating prophages and mobile elements from PHAST and ICEberg databases - - * - ``--blast_custom_minid`` - - N - - 0 - - Identity (%) threshold to be used when annotating with user's custom databases - - * - ``--blast_custom_mincov`` - - N - - 0 - - Coverage (%) threshold to be used when annotating with user's custom databases - -Merge distance -"""""""""""""" - -.. list-table:: - :widths: 20 10 20 30 - :header-rows: 1 - - * - Arguments - - Required - - Default value - - Description - - * - ``--bedtools_merge_distance`` - - N - - NA - - Minimum number of required overlapping bases to merge genes. By default it is not executed. - -All this parameters are configurable through a configuration file. We encourage users to use the configuration -file since it will keep your execution cleaner and more readable. See a :ref:`config` example. - -Examples -^^^^^^^^ - -For a better understanding of the usage we provided a feel examples. See :ref:`examples` diff --git a/docs/outputs.md b/docs/outputs.md new file mode 100644 index 00000000..8a78fe59 --- /dev/null +++ b/docs/outputs.md @@ -0,0 +1,149 @@ +# Output files + +Here, using the results produced in the [quickstart section](quickstart.md#), we give users a glimpse over the main outputs produced by bacannot. The command used in the quickstart wrote the results under the `_ANNOTATION` directory. + +!!! note + + Please take note that the pipeline uses the directory set with the `--output` parameter as a storage place in which it will create a folder for each sample using its `id`. Therefore the the same `--output` can be used for different annotations. + +## Directory tree + +After a successful execution, you will have something like this: + +```bash + +# Directory tree from the running dir +. +├── _ANNOTATION +| └── ecoli_ref.fna +│   └── ecoli +│   ├── assembly # Assembly files (when raw reads are given) +│   ├── annotation # Prokka annotation files +│   ├── antiSMASH # antiSMASH secondary annotation files +│   ├── digIS # Insertion sequences predicted with digIS +|   ├── gbk # Gbk files produced from the resulting GFF +|   ├── gffs # A copy of the main GFF files produced during the annotation +|   ├── genomic_islands # Genomic Islands predicted with IslandPath-DIMOB +|   ├── ICEs # Results from ICEberg database annotation +|   ├── jbrowse # The files that set up the JBrowse genome browser +|   ├── KOfamscan # Results from annotation with KEGG database +|   ├── methylations # Methylated sites predicted with Nanopolish (if fast5 is given) +|   ├── MLST # MLST results with mlst pipeline +|   ├── plasmids # Plasmid annotation results from Platon and Plasmidfinder +|   ├── prophages # Prophage annotation results from PhiSpy, Phigaro and PHAST +|   ├── refseq_masher # Closest NCBI Resfseq genomes identified with refseq_masher +|   ├── report_files # Annotation reports in HTML format +|   ├── resistance # AMR annotation results from ARGminer, AMRFinderPlus, RGI and Resfinder +|   ├── rRNA # barrnap annotation results +|   ├── SequenceServerDBs # SequenceServer pre-formatted databases to be used with SequenceServer blast application +|   ├── SQLdb # The SQLdb of the annotation used by the shiny server for rapid parsing +|   ├── tools_versioning # Versions of tools and databases used (whenever available) +|   ├── virulence # Virulence genes annotation results from Victors and VFDB databases +|   └── run_server.sh # The shiny parser runner that enables a rapid and simple exploration of the results (see below) +``` + +## KEGG KO annotation heatmap + +Using both [KofamScan](https://github.com/takaram/kofam_scan) and [KEGGDecoder](https://github.com/bjtully/BioData/tree/master/KEGGDecoder), bacannot is capable of annotating KOs and plotting a heatmap of detected pathways as exemplified below. + +!!! tip "" + + Click on the image to zoom it! :) + +
+ +
+ +## Bacannot automatic reports + +Bacannot will use [R Markdown](https://rmarkdown.rstudio.com/) to produce automatic annotation reports. To date, the available reports are: + +* [Report of general annotation features](https://fmalmeida.github.io/reports/report_general.html) +* [Report of Antimicrobial resistance (AMR) genes annotation](https://fmalmeida.github.io/reports/report_resistance.html) +* [Report of virulence genes annotation](https://fmalmeida.github.io/reports/report_virulence.html) +* [Report of mobile genetic elements annotation](https://fmalmeida.github.io/reports/report_MGEs.html) + * Including plasmids, prophages, ICEs and genomic islands. +* Report of user's custom db annotations. + * The quickstart does not produce an example, however, the report is similar to the ICEberg section in the MGE example report. + * See [custom-db reference page](custom-db.md#) +* [Report of antiSMASH annotation](https://docs.antismash.secondarymetabolites.org/understanding_output/) + * The annotation report is provided by the antiSMASH tool + +## Genome Browser + +With aid of [JBrowse](http://jbrowse.org/), Bacannot already give users a totally customised and redered Genome Browser for exploration of annotation results. + +
+ +
+ +!!! warning + + The JBrowse wrapper in the shiny server is not capable of displaying the GC content and methylation plots when available. It can only display the simpler tracks. If the user wants to visualise and interrogate the GC or methylation tracks it must open the JBrowse outside from the shiny server. For that, two options are available: + + * You can navigate to the `jbrowse` directory under your sample's output folder and simply execute `http-server`. This command can be found at: https://www.npmjs.com/package/http-server + * Or, you can download the `JBrowse Desktop app + + + +The bacannot shiny server is basically a wrapper of the main outputs of the pipeline that is packed up in a docker image called `fmalmeida/bacannot:server`. This server is triggered by going under the results folder, in our quickstart case for instance, the `_ANNOTATION/ecoli` folder, and executing the command: + +```bash +# Trigger the server +./run_server.sh -s + +# This will open the pipeline in localhost:3838 +# log message: +The server has started in: http://localhost:3838/ +When finished, run the command: + docker rm -f ServerBacannot + +# To stop the server you just need to execute +docker rm -f ServerBacannot +``` + +### Server homepage + +In the first page of the shiny app, the main HTML reports and the **JBrowse genome browser** are indexed as url links for quick opening (See the image below). + +
+ +
+ +### Server SQLdb parser + +In the second page, the SQL database (SQLdb) produced in the pipeline is used to provide a rapid and simple way to query and filter the genome annotation. + +!!! note + + The SQLdb parser contains a set of features that enables users to filter the annotation following their desires. It is possible to filter based on `contigs`, `sources`, `start`, `end`, `strand` and more. + + Additionally, it accepts as input a file of patterns. These patterns are used to filter the annotation based on the values available in the attributes column of the GFF (9th column). + + Any value available in this column can be used as filters, the only requirement is to write each pattern in one line, exactly as it is found in the annotation result. For example, it can be used to select only a few genes based on their IDs. + +
+ +
+ +### Server BLAST (for intersection) app + +In the its third page, the server provides a simple way to BLAST the genome with new queries and to automatically identify intersections between the blast results and the the main annotation. + +
+ +
+ +### Server BLAST (SequenceServer) app + +In its the last page, the server provides an implementation of [SequenceServer](https://sequenceserver.com/) which allows users to BLAST their samples and visualise the alignments produced. + +
+ +
diff --git a/docs/outputs.rst b/docs/outputs.rst deleted file mode 100644 index d83eea54..00000000 --- a/docs/outputs.rst +++ /dev/null @@ -1,180 +0,0 @@ -.. _outputs: - -Output files -============ - -Here, using the results produced in the :ref:`quickstart` section, we give users a glimpse over the main outputs produced by bacannot. The command used in the quickstart wrote the results under the ``_ANNOTATION`` directory. - -.. note:: - - Please take note that the pipeline uses the directory set with the ``--output`` parameter as a storage place in which it will create a folder for each sample using its ``id``. Therefore the the same ``--output`` can be used for different annotations. - -Directory tree --------------- - -After a successful execution, you will have something like this: - -.. code-block:: bash - - # Directory tree from the running dir - . - ├── _ANNOTATION - │   └── ecoli - │   ├── assembly # Assembly files (when raw reads are given) - │   ├── annotation # Prokka annotation files - │   ├── antiSMASH # antiSMASH secondary annotation files - │   ├── digIS # Insertion sequences predicted with digIS - │   ├── gbk # Gbk file produced from the resulting GFF - │   ├── gffs # A copy of the main GFF files produced during the annotation - │   ├── genomic_islands # Genomic Islands predicted with IslandPath-DIMOB - │   ├── ICEs # Results from ICEberg database annotation - │   ├── jbrowse # The files that set up the JBrowse genome browser - │   ├── KOfamscan # Results from annotation with KEGG database - │   ├── methylations # Methylated sites predicted with Nanopolish (if fast5 is given) - │   ├── MLST # MLST results with mlst pipeline - │   ├── plasmids # Plasmid annotation results from Platon and Plasmidfinder - │   ├── prophages # Prophage annotation results from PhiSpy, Phigaro and PHAST - │   ├── refseq_masher # Closest NCBI Resfseq genomes identified with refseq_masher - │   ├── report_files # Annotation reports in HTML format - │   ├── resistance # AMR annotation results from ARGminer, AMRFinderPlus, RGI and Resfinder - │   ├── rRNA # barrnap annotation results - │   ├── SequenceServerDBs # SequenceServer pre-formatted databases to be used with SequenceServer blast application - │   ├── sqldb # The sqlDB of the annotation used by the shiny server for rapid parsing - │   ├── tools_versioning # Versions of tools and databases used (whenever available) - │   ├── virulence # Virulence genes annotation results from Victors and VFDB databases - │   └── run_server.sh # The shiny parser runner that enables a rapid and simple exploration of the results (see below) - └── ecoli_ref.fna - -KEGG KO annotation heatmap --------------------------- - -Using both `KofamScan `_ and `KEGGDecoder `_, bacannot is capable of annotating KOs and plotting a heatmap of detected pathways as exemplified below. - -.. tip:: - - Click on the image to zoom it! :) - -.. image:: images/ecoli_kegg-decoder_heatmap-static.svg - :width: 100% - :align: center - -Bacannot automatic reports --------------------------- - -Bacannot will use `R Markdown `_ to produce automatic annotation reports. To date, the available reports are: - -* Report of general annotation features - - - See `the general example report `_ - -* Report of Antimicrobial resistance (AMR) genes annotation - - - See `the AMR example report `_ - -* Report of virulence genes annotation - - - See `the virulence example report `_ - -* Report of mobile genetic elements annotation - - - Including plasmids, prophages, ICEs and genomic islands. - - See `the MGE example report `_ - -* Report of user's custom db annotations. - - - The quickstart does not produce an example, however, the report is similar to the ICEberg section in the MGE example report. - - See :ref:`custom-db`. - -* Report of antiSMASH annotation - - - The annotation report is provided by the antiSMASH tool - - See `the example `_ - -Genome Browser --------------- - -With aid of `JBrowse `_, Bacannot already give users a totally customised and redered Genome Browser for exploration of genome annotation. - -.. image:: images/jbrowse.png - :width: 800 - :align: center - -In order to provide an integrative solution, the genome browser is already packed inside the shiny app that can be used with the ``run_server.sh`` script or the server docker image (See below at Bacannot shiny parser). - -.. warning:: - - The JBrowse wrapper in the shiny server is not capable of displaying the GC content and methylation plots when available. It can only display the simpler tracks. If the user wants to visualise and interrogate the GC or methylation tracks it must open the JBrowse outside from the shiny server. For that, two options are available: - * You can navigate to the ``jbrowse`` directory under your sample's output folder and simply execute `http-server`. This command can be found at: https://www.npmjs.com/package/http-server - * Or, you can download the `JBrowse Desktop app `_ and, from inside the app, select the folder `jbrowse/data` that is available in your sample's output directory. - - -Bacannot shiny parser ---------------------- - -.. image:: images/bacannot_shiny.gif - :width: 70% - :align: center - -The bacannot shiny server is basically a wrapper of the main outputs of the pipeline that is packed up in a docker image called ``fmalmeida/bacannot:server``. -This server is triggered by going under the results folder, in our quickstart case, the ``_ANNOTATION/ecoli`` folder, and executing the command: - -.. code-block:: bash - - # Trigger the server - ./run_server.sh -s - - # This will open the pipeline in localhost:3838 - # log message: - The server has started in: http://localhost:3838/ - When finished, run the command: - docker rm -f ServerBacannot - - # To stop the server you just need to execute - docker rm -f ServerBacannot - -Server homepage -^^^^^^^^^^^^^^^ - -In the first page it has indexed as url links the main HTML reports and the **JBrowse genome browser**. - -.. image:: images/bacannot_server_home.png - :width: 800 - :align: center - -Server sqlDB parser -^^^^^^^^^^^^^^^^^^^ - -In the second page, the sqlDB is used to provide a rapid and simple way to query and filter the genome annotation. - -.. note:: - - The sqlDB parser contains a set of features that enables that the users filter the annotation following their desires. It is possible - to filter based on the ``contigs``, ``sources``, ``start``, ``end``, ``strand`` and more. - - Additionally, the parser accepts as input a file of patterns to filter the annotation based on the values available in the attributes - column of the GFF (9th column). Any value available in this column can be used as filters, the only requirement is to write each pattern - in one line, exactly as it is found in the annotation result. For example, it can be used to select only a few genes based on their IDs. - - -.. image:: images/bacannot_server_sqldb.png - :width: 800 - :align: center - -Server BLAST (for intersection) app -^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ - -In the third page, the server provides a simple way to BLAST the genome with new gene queries and to automatically identify intersections -between the blast results and the the main annotation. - -.. image:: images/bacannot_server_blast.png - :width: 800 - :align: center - -Server BLAST (SequenceServer) app -^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ - -In the last page, the server provides an implementation of SequenceServer which allows users to BLAST their samples and visualise the alignments produced. - -.. image:: images/bacannot_server_blast_sequenceserver.png - :width: 800 - :align: center diff --git a/docs/profiles.md b/docs/profiles.md new file mode 100644 index 00000000..409dc5ea --- /dev/null +++ b/docs/profiles.md @@ -0,0 +1,31 @@ +# Selecting between profiles + +## What are profiles? + +Note that some form of configuration will be needed so that Nextflow knows how to fetch the required software. This is usually done in the form of a config profile (`YOURPROFILE` in the example command above). You can chain multiple config profiles in a comma-separated string. They are a set of "sensible defaults" for the resource requirements of each of the steps in the workflow, that can be enabled with the command line flag `-profile`. You can learn more about nextflow profiles at: + ++ ++ + +## Available profiles + +The pipeline have "standard profiles" set to run the workflows with either **docker** or **singularity** using the [local executor](https://www.nextflow.io/docs/latest/executor.html), which is nextflow's default executor and basically runs the pipeline processes in the computer where Nextflow is launched. + +If you need to run the pipeline using another executor such as sge, lsf, slurm, etc. you can take a look at [nextflow's manual page](https://www.nextflow.io/docs/latest/executor.html) to proper configure one in a new custom profile set in your personal copy of [MpGAP config file](https://github.com/fmalmeida/phylogram/blob/master/nextflow.config) and take advantage that nextflow allows multiple profiles to be used at once, e.g. `-profile docker,sge`. + +!!! note + + If `-profile` is not specified, the pipeline will run locally and expect all software to be installed and available on the `PATH`. **This is not recommended** and will most likely fail. + +### Note on sigularity + +If you are using `singularity` and are persistently observing issues downloading Singularity images directly due to timeout or network issues, try downloading it first. Setting the [`NXF_SINGULARITY_CACHEDIR` or `singularity.cacheDir`](https://www.nextflow.io/docs/latest/singularity.html?#singularity-docker-hub) Nextflow options enables you to store and re-use the images from a central location for future pipeline runs. + +!!! tip "" + + This is exemplified in the [installation page](installation.md#downloading-docker-images) + +```bash +# run +nextflow run fmalmeida/phylogram -profile singularity [OPTIONS] +``` \ No newline at end of file diff --git a/docs/quickstart.md b/docs/quickstart.md new file mode 100644 index 00000000..37857a36 --- /dev/null +++ b/docs/quickstart.md @@ -0,0 +1,84 @@ +# Quickstart + +For a rapid and simple quickstart that enables to understand most of the available features we will use as input the _Escherichia coli_ reference genome. + +## Required inputs + +To run the pipeline, we basically need a samplesheet describing the genomes to be samples to be analysed (`--input`) and the path to the directory containing the databases used by bacannot (`--bacannot_db`). + +## Downloading/Generating the inputs + +### Input genome and samplesheet + +First we need to download the genome: + +```bash +# Download the ecoli ref genome +wget -O ecoli_ref.fna.gz https://ftp.ncbi.nlm.nih.gov/genomes/all/GCF/000/008/865/GCF_000008865.2_ASM886v2/GCF_000008865.2_ASM886v2_genomic.fna.gz +gzip -d ecoli_ref.fna.gz +``` + +After downloading it, we must create a samplesheet for the input data as described in the [samplesheet manual page](samplesheet.md#). A proper formated file for this data would look like that: + +```yaml +samplesheet: # this header is required + - id: ecoli + assembly: ecoli_ref.fna + resfinder: Escherichia coli +``` + +!!! tip + + Download this file and save it as `bacannot_samplesheet.yaml` to help on later reference to it + +### Bacannot databases + +```{bash .annotate hl_lines="5"} +# Download pipeline databases +nextflow run fmalmeida/bacannot \ + --get_dbs \ + --output bacannot_dbs \ + -profile docker +``` + +!!! important "About profiles" + + Users **must** select one of the available profiles: docker or singularity. Conda may come in near future. Please read more about how to [proper select NF profiles](profiles.md#) + +## Run the pipeline + +In this step we will get a major overview of the main pipeline's steps. To run it, we will use the databases (`bacannot_dbs`) downloaded in the previous step. + +```bash +# Run the pipeline using the Escherichia coli resfinder database +nextflow run fmalmeida/bacannot \ + --input bacannot_samplesheet.yaml \ + --output _ANNOTATION \ + --bacannot_db ./bacannot_dbs \ + --max_cpus 10 \ + -profile docker +``` + +!!! note "About resfinder" + + The resfinder species could also be selected via the command line with `--resfinder_species`. Please, read more about it at [manual](manual.md#) and [samplesheet](samplesheet.md#) reference pages. + +### Outputs + +A glimpse over the main outputs produced by bacannot is given at [outputs](outputs.md#) section. + +### Testing more workflows + +Moreover, we have also made available a few example datasets in the pipeline so users can test all capabilities at once, from assembling raw reads to annotating genomes. To test it users must run: + +```bash +# Run the pipeline using the provided (bigger) test dataset +nextflow run fmalmeida/bacannot -profile docker,test --bacannot_db ./bacannot_dbs --max_cpus 10 + +# Or run the quick test +nextflow run fmalmeida/bacannot -profile docker,quicktest --bacannot_db ./bacannot_dbs ---max_cpus 10 +``` + +!!! info "" + + Unfortunately, due to file sizes, we could not provide fast5 files for users to check on the methylation step. \ No newline at end of file diff --git a/docs/quickstart.rst b/docs/quickstart.rst deleted file mode 100644 index 40a6ca15..00000000 --- a/docs/quickstart.rst +++ /dev/null @@ -1,70 +0,0 @@ -.. _quickstart: - -Quickstart -========== - -For a rapid and simple quickstart we will use as input the *Escherichia coli* reference genome. - -Download the data ------------------ - -.. code-block:: bash - - # Download the ecoli ref genome - wget -O ecoli_ref.fna.gz https://ftp.ncbi.nlm.nih.gov/genomes/all/GCF/000/008/865/GCF_000008865.2_ASM886v2/GCF_000008865.2_ASM886v2_genomic.fna.gz - gzip -d ecoli_ref.fna.gz - -Prepare a samplesheet ---------------------- - -After downloading the genome, we must create a samplesheet for the input data as described in the :ref:`samplesheet manual page`. A proper formated file for this data would look like that: - -.. code-block:: yaml - - samplesheet: - - id: ecoli - assembly: ecoli_ref.fna - resfinder: Escherichia coli - -.. note:: - - Download this file and save it as ``bacannot_samplesheet.yaml``. - -Run the pipeline ----------------- - -For examplification purposes and to get a major overview we will execute the pipeline's major processes: - -.. code-block:: bash - - # Run the pipeline using the Escherichia coli resfinder database - nextflow run fmalmeida/bacannot \ - --input bacannot_samplesheet.yaml \ - --output _ANNOTATION \ - --threads 10 - -.. note:: - - The resfinder species could also be selected via the command line with ``--resfinder_species``. Please, read more about it at :ref:`manual` and :ref:`samplesheet`. - -Outputs -------- - -A glimpse over the main outputs produced by bacannot is given at :ref:`outputs` section. - -Testing more workflows ----------------------- - -Moreover, we have also made available a few example datasets in the pipeline so users can test all capabilities at once, from assembling raw reads to annotating genomes. To test it users must run: - -.. code-block:: bash - - # Run the pipeline using the provided (bigger) test dataset - nextflow run fmalmeida/bacannot --profile test --threads 10 - - # Or run the quick test - nextflow run fmalmeida/bacannot --profile quicktest --threads 10 - -.. note:: - - Unfortunately, due to file sizes, we could not provide fast5 files for users to check on the methylation step. \ No newline at end of file diff --git a/docs/requirements.txt b/docs/requirements.txt index 4f15f03b..d5ff7eda 100644 --- a/docs/requirements.txt +++ b/docs/requirements.txt @@ -1,9 +1,17 @@ -Sphinx -sphinxcontrib-applehelp -sphinxcontrib-devhelp -sphinxcontrib-htmlhelp -sphinxcontrib-jsmath -sphinxcontrib-qthelp -sphinxcontrib-serializinghtml -sphinx_copybutton -sphinx-material +babel>=2.9.0 +click>=7.0 +Jinja2>=2.10.2 +Markdown>=3.2.1 +PyYAML>=5.2 +watchdog>=2.0.0 +mdx_gh_links>=0.2 +ghp-import>=1.0 +pyyaml_env_tag>=0.1 +mkdocs-redirects>=1.0.1 +importlib_metadata>=3.10 +packaging>=20.5 +mergedeep>=1.3.4 +colorama>=0.4; platform_system == 'Windows' +mkdocs-pymdownx-material-extras +mkdocs-git-revision-date-plugin +mkdocs-material \ No newline at end of file diff --git a/docs/samplesheet.md b/docs/samplesheet.md new file mode 100644 index 00000000..3a8826ee --- /dev/null +++ b/docs/samplesheet.md @@ -0,0 +1,96 @@ +# Samplesheet (input files) + +The samplesheet is a **required** YAML document that is used to describe the input samples and, if desired, its "sample-specific" configuration. The input samplesheet is expected with the `--input` parameter. + +!!! tip "Get a template" + + A samplesheet template can be downloaded with: `nextflow run fmalmeida/bacannot --get_samplesheet` + +## Samplesheet header + +The first line of the file must be the header followed by an indentation: + +```yaml +samplesheet: # required header + - ...: +``` + +!!! info "" + + Each indentation level is set by two blank spaces + +## Sample identification + +Each sample must be identified by the tag _id_ in the YAML file, followed by the sample's input tags (keys) that will accomodate the files and values to be used by the pipeline for each sample. + +```yaml +samplesheet: + - id: sample_1 + ...: + ...: + - id: sample_2 + ...: + ...: +``` + +## Input tags (keys) + +Input tags are used to represent/set the inputs that shall be used for each input sample. By default, for resfinder species panel, if it is not set inside the samplesheet, the pipeline will use the defaults set via the "nextflow config file" or via the command line. Otherwise, if set inside the samplesheet, it will overwrite the pipeline's configuration for that specific sample. + +!!! note "About `assembly` key" + + Whenever an assembled genome is given with `assembly` key, the pipeline **will not** perform genome assembly even if reads are given. Users may use the `assembly` tag together with `nanopore` and `fast5` tags, which will trigger methylation calling with Nanopolish + +Please, the [manual reference page](manual.md#) to understand the global/defaults configurations. + +The available keys (input tags) are: + +| Input tags (YAML keys) | Description | +| :--------------------- | :---------- | +| `assembly` | Used to set path to genomic FASTA of an assembled bacterial genome | +| `illumina` | Used to set path to illumina raw reads (paired, unpaired or both) | +| `pacbio` | Used to set path to pacbio raw reads (mutually excludable with `nanopore`) | +| `nanopore` | Used to set path to nanopore raw reads (mutually excludable with `pacbio`) | +| `fast5` | Used to set path to nanopore raw FAST5 data (used together with `nanopore` for calling methylation with Nanopolish) | +| `resfinder` | Used to set resfinder species panel for resistance annotation with resfinder (must be exactly as shown in [their web page](https://cge.cbs.dtu.dk/services/ResFinder/)). If your species is not available in Resfinder panels, you may use it with the `"Other"` panel. It is also possible to set with `--resfinder_species` in a global manner, please read the [manual page](manual.md#). | + +!!! note "About illumina tag/key" + + * When using both paired and unpaired reads, the paired reads must be given first, in the order\: pair 1, pair 2, unpaired. + * Otherwise, if using only paired reads, they must be given in the order\: pair 1, pair 2. + * If using only unpaired reads, only one entry is expected. Check samples in the template to 1, 4 and 5 to understand it. + * The illumina tag is the only one that **must** be set in indented newlines + * two white spaces relative to the + * one line per read as shown in the complete samplesheet example. + +!!! warning + + All the other input tags **must** be set in the same line, right after the separator (":"), without quotations, white spaces or signs. + +## Complete samplesheet example + +```yaml +samplesheet: + - id: sample_1 + illumina: + - sample_1/1.fastq + - sample_1/2.fastq + nanopore: sample_1/ont.fastq + - id: sample_2 + assembly: sample_2/assembly.fasta + nanopore: sample_2/ont.fastq + fast5: sample_2/fast5_pass + resfinder: Klebsiella # this tells the pipeline a differente value for only this sample + - id: sample_3 + nanopore: sample_3/ont.fastq + fast5: sample_3/fast5_pass + - id: sample_4 + pacbio: sample_4/pacbio.fastq + illumina: + - sample_4/merged_unpaired.fastq + - id: sample_5 + illumina: + - sample_5/1.fastq + - sample_5/2.fastq + - sample_5/merged.fastq +``` diff --git a/docs/samplesheet.rst b/docs/samplesheet.rst deleted file mode 100644 index 4ebfe8a3..00000000 --- a/docs/samplesheet.rst +++ /dev/null @@ -1,121 +0,0 @@ -.. _samplesheet: - -Samplesheet (input files) -========================= - -The samplesheet is a required YAML document that is used to describe the input samples and, if desired, its "sample-specific" configuration. The input samplesheet is given using the ``--input`` parameter. - -.. tip:: - - A samplesheet template can be downloaded with: ``nextflow run fmalmeida/bacannot --get_samplesheet`` - -Samplesheet header ------------------- - -The first line of the file must be the header followed by an indentation: - -.. code-block:: yaml - - samplesheet: - - ...: - -Sample identification ---------------------- - -Each sample must be identified by the tag *id* in the YAML file, followed by the input input tags that shall -be used by the pipeline: - -.. code-block:: yaml - - samplesheet: - - id: sample_1 - ...: - ...: - - id: sample_2 - ...: - ...: - -Input tags (keys) ------------------ - -Input tags are are used to represent/set the inputs that shall be used for each input sample. By default, for resfinder species panel, if it is not set inside the samplesheet, the pipeline will use the configurations set via the "nextflow config file" or via the command line. Otherwise, if set inside the samplesheet, it will overwrite the pipeline’s configuration for that specific sample. - -.. note:: - - Whenever an assembled genome is given with ``assembly:`` the pipeline **will not** perform genome assembly even if reads are given. - - Users may use the ``assembly:`` tag together with ``nanopore:`` and ``fast5:`` tags, which will trigger methylation calling with Nanopolish - -Please, the :ref:`manual reference page` the global/defaults configurations. - -The available tags are: - -.. list-table:: - :widths: 20 50 - :header-rows: 1 - - * - Input tags - - Description - - * - ``assembly`` - - Used to set path to genomic FASTA of an assembled bacterial genome - - * - ``illumina`` - - Used to set path to illumina raw reads (paired, unpaired or both) - - * - ``pacbio`` - - Used to set path to pacbio raw reads (mutually excludable with ``nanopore``) - - * - ``nanopore`` - - Used to set path to nanopore raw reads (mutually excludable with ``pacbio``) - - * - ``fast5`` - - Used to set path to nanopore raw FAST5 data (used in conjunction with ``nanopore`` for calling methylation with Nanopolish) - - * - ``resfinder`` - - Used to set resfinder species database for resistance annotation with resfinder (must be exactly as shown in `their web page `_). If your species is not available in Resfinder panels, you may use it with the "Other" panel. Possible to set with ``--resfinder_species``, please read the :ref:`manual page`. - - -.. note:: - - Note for the illumina tag/key. - - * When using both paired and unpaired reads, the paired reads must be given first, in the order\: pair 1, pair 2, unpaired. - * Otherwise, if using only paired reads, they must be given in the order\: pair 1, pair 2. - * If using only unpaired reads, only one entry is expected. Check samples in the template to 1, 4 and 5 to understand it. - * The illumina tag is the only one that **must** be set in indented newlines - * two white spaces relative to the - * one line per read as shown in the complete samplesheet example. - -.. warning:: - - All the other input tags **must** be set in the same line, right after the separator (":"), without quotations, white spaces or signs. - -Complete samplesheet example ----------------------------- - -.. code-block:: yaml - - samplesheet: - - id: sample_1 - illumina: - - sample_1/1.fastq - - sample_1/2.fastq - nanopore: sample_1/ont.fastq - - id: sample_2 - assembly: sample_2/assembly.fasta - nanopore: sample_2/ont.fastq - fast5: sample_2/fast5_pass - resfinder: Klebsiella # this tells the pipeline a differente value for only this sample - - id: sample_3 - nanopore: sample_3/ont.fastq - fast5: sample_3/fast5_pass - - id: sample_4 - pacbio: sample_4/pacbio.fastq - illumina: - - sample_4/merged_unpaired.fastq - - id: sample_5 - illumina: - - sample_5/1.fastq - - sample_5/2.fastq - - sample_5/merged.fastq diff --git a/docs/stylesheets/extra.css b/docs/stylesheets/extra.css new file mode 100644 index 00000000..be1aea80 --- /dev/null +++ b/docs/stylesheets/extra.css @@ -0,0 +1,50 @@ +/* paragraph */ +.md-typeset p { + font-size: 16px; +} + +/* table header */ +th { + color: white; + background: #666565; + font-size: 15px; + /* font-family: Georgia, 'Times New Roman', Times, serif */ +} + +/* inside table body */ +td { + font-size: 14px; + /* font-family: Georgia, 'Times New Roman', Times, serif */ +} + +/* light mode alternating table bg colors */ +.md-typeset__table tr:nth-child(2n) { + background-color: #f8f8f8; +} + +/* dark mode alternating table bg colors */ +[data-md-color-scheme="slate"] .md-typeset__table tr:nth-child(2n) { + background-color: hsla(var(--md-hue),25%,25%,1) +} + + +/* Definition of custom admonition */ +:root { + --md-admonition-icon--pied-piper: url('data:image/svg+xml;charset=utf-8,') + } + .md-typeset .admonition.pied-piper, + .md-typeset details.pied-piper { + border-color: rgb(43, 155, 70); + } + .md-typeset .pied-piper > .admonition-title, + .md-typeset .pied-piper > summary { + background-color: rgba(43, 155, 70, 0.1); + border-color: rgb(43, 155, 70); + } + .md-typeset .pied-piper > .admonition-title::before, + .md-typeset .pied-piper > summary::before { + background-color: rgb(43, 155, 70); + -webkit-mask-image: var(--md-admonition-icon--pied-piper); + mask-image: var(--md-admonition-icon--pied-piper); + } + \ No newline at end of file diff --git a/lib/NfcoreSchema.groovy b/lib/NfcoreSchema.groovy new file mode 100755 index 00000000..e2668a34 --- /dev/null +++ b/lib/NfcoreSchema.groovy @@ -0,0 +1,529 @@ +// +// This file holds several functions used to perform JSON parameter validation, help and summary rendering for the nf-core pipeline template. +// + +import org.everit.json.schema.Schema +import org.everit.json.schema.loader.SchemaLoader +import org.everit.json.schema.ValidationException +import org.json.JSONObject +import org.json.JSONTokener +import org.json.JSONArray +import groovy.json.JsonSlurper +import groovy.json.JsonBuilder + +class NfcoreSchema { + + // + // Resolve Schema path relative to main workflow directory + // + public static String getSchemaPath(workflow, schema_filename='nextflow_schema.json') { + return "${workflow.projectDir}/${schema_filename}" + } + + // + // Function to loop over all parameters defined in schema and check + // whether the given parameters adhere to the specifications + // + /* groovylint-disable-next-line UnusedPrivateMethodParameter */ + public static void validateParameters(workflow, params, log, schema_filename='nextflow_schema.json') { + def has_error = false + //=====================================================================// + // Check for nextflow core params and unexpected params + def json = new File(getSchemaPath(workflow, schema_filename=schema_filename)).text + def Map schemaParams = (Map) new JsonSlurper().parseText(json).get('definitions') + def nf_params = [ + // Options for base `nextflow` command + 'bg', + 'c', + 'C', + 'config', + 'd', + 'D', + 'dockerize', + 'h', + 'log', + 'q', + 'quiet', + 'syslog', + 'v', + 'version', + + // Options for `nextflow run` command + 'ansi', + 'ansi-log', + 'bg', + 'bucket-dir', + 'c', + 'cache', + 'config', + 'dsl2', + 'dump-channels', + 'dump-hashes', + 'E', + 'entry', + 'latest', + 'lib', + 'main-script', + 'N', + 'name', + 'offline', + 'params-file', + 'pi', + 'plugins', + 'poll-interval', + 'pool-size', + 'profile', + 'ps', + 'qs', + 'queue-size', + 'r', + 'resume', + 'revision', + 'stdin', + 'stub', + 'stub-run', + 'test', + 'w', + 'with-charliecloud', + 'with-conda', + 'with-dag', + 'with-docker', + 'with-mpi', + 'with-notification', + 'with-podman', + 'with-report', + 'with-singularity', + 'with-timeline', + 'with-tower', + 'with-trace', + 'with-weblog', + 'without-docker', + 'without-podman', + 'work-dir' + ] + def unexpectedParams = [] + + // Collect expected parameters from the schema + def expectedParams = [] + def enums = [:] + for (group in schemaParams) { + for (p in group.value['properties']) { + expectedParams.push(p.key) + if (group.value['properties'][p.key].containsKey('enum')) { + enums[p.key] = group.value['properties'][p.key]['enum'] + } + } + } + + for (specifiedParam in params.keySet()) { + // nextflow params + if (nf_params.contains(specifiedParam)) { + log.error "ERROR: You used a core Nextflow option with two hyphens: '--${specifiedParam}'. Please resubmit with '-${specifiedParam}'" + has_error = true + } + // unexpected params + def params_ignore = params.schema_ignore_params.split(',') + 'schema_ignore_params' + def expectedParamsLowerCase = expectedParams.collect{ it.replace("-", "").toLowerCase() } + def specifiedParamLowerCase = specifiedParam.replace("-", "").toLowerCase() + def isCamelCaseBug = (specifiedParam.contains("-") && !expectedParams.contains(specifiedParam) && expectedParamsLowerCase.contains(specifiedParamLowerCase)) + if (!expectedParams.contains(specifiedParam) && !params_ignore.contains(specifiedParam) && !isCamelCaseBug) { + // Temporarily remove camelCase/camel-case params #1035 + def unexpectedParamsLowerCase = unexpectedParams.collect{ it.replace("-", "").toLowerCase()} + if (!unexpectedParamsLowerCase.contains(specifiedParamLowerCase)){ + unexpectedParams.push(specifiedParam) + } + } + } + + //=====================================================================// + // Validate parameters against the schema + InputStream input_stream = new File(getSchemaPath(workflow, schema_filename=schema_filename)).newInputStream() + JSONObject raw_schema = new JSONObject(new JSONTokener(input_stream)) + + // Remove anything that's in params.schema_ignore_params + raw_schema = removeIgnoredParams(raw_schema, params) + + Schema schema = SchemaLoader.load(raw_schema) + + // Clean the parameters + def cleanedParams = cleanParameters(params) + + // Convert to JSONObject + def jsonParams = new JsonBuilder(cleanedParams) + JSONObject params_json = new JSONObject(jsonParams.toString()) + + // Validate + try { + schema.validate(params_json) + } catch (ValidationException e) { + println '' + log.error 'ERROR: Validation of pipeline parameters failed!' + JSONObject exceptionJSON = e.toJSON() + printExceptions(exceptionJSON, params_json, log, enums) + println '' + has_error = true + } + + // Check for unexpected parameters + if (unexpectedParams.size() > 0) { + Map colors = NfcoreTemplate.logColours(params.monochrome_logs) + println '' + def warn_msg = 'Found unexpected parameters:' + for (unexpectedParam in unexpectedParams) { + warn_msg = warn_msg + "\n* --${unexpectedParam}: ${params[unexpectedParam].toString()}" + } + log.warn warn_msg + log.info "- ${colors.dim}Ignore this warning: params.schema_ignore_params = \"${unexpectedParams.join(',')}\" ${colors.reset}" + println '' + } + + if (has_error) { + System.exit(1) + } + } + + // + // Beautify parameters for --help + // + public static String paramsHelp(workflow, params, command, schema_filename='nextflow_schema.json') { + Map colors = NfcoreTemplate.logColours(params.monochrome_logs) + Integer num_hidden = 0 + String output = '' + output += 'Typical pipeline command:\n\n' + output += " ${colors.cyan}${command}${colors.reset}\n\n" + Map params_map = paramsLoad(getSchemaPath(workflow, schema_filename=schema_filename)) + Integer max_chars = paramsMaxChars(params_map) + 1 + Integer desc_indent = max_chars + 14 + Integer dec_linewidth = 160 - desc_indent + for (group in params_map.keySet()) { + Integer num_params = 0 + String group_output = colors.underlined + colors.bold + group + colors.reset + '\n' + def group_params = params_map.get(group) // This gets the parameters of that particular group + for (param in group_params.keySet()) { + if (group_params.get(param).hidden && !params.show_hidden_params) { + num_hidden += 1 + continue; + } + def type = '[' + group_params.get(param).type + ']' + def description = group_params.get(param).description + def defaultValue = group_params.get(param).default != null ? " [default: " + group_params.get(param).default.toString() + "]" : '' + def description_default = description + colors.dim + defaultValue + colors.reset + // Wrap long description texts + // Loosely based on https://dzone.com/articles/groovy-plain-text-word-wrap + if (description_default.length() > dec_linewidth){ + List olines = [] + String oline = "" // " " * indent + description_default.split(" ").each() { wrd -> + if ((oline.size() + wrd.size()) <= dec_linewidth) { + oline += wrd + " " + } else { + olines += oline + oline = wrd + " " + } + } + olines += oline + description_default = olines.join("\n" + " " * desc_indent) + } + group_output += " --" + param.padRight(max_chars) + colors.dim + type.padRight(10) + colors.reset + description_default + '\n' + num_params += 1 + } + group_output += '\n' + if (num_params > 0){ + output += group_output + } + } + if (num_hidden > 0){ + output += colors.dim + "!! Hiding $num_hidden params, use --show_hidden_params to show them !!\n" + colors.reset + } + output += NfcoreTemplate.dashedLine(params.monochrome_logs) + return output + } + + // + // Groovy Map summarising parameters/workflow options used by the pipeline + // + public static LinkedHashMap paramsSummaryMap(workflow, params, schema_filename='nextflow_schema.json') { + // Get a selection of core Nextflow workflow options + def Map workflow_summary = [:] + if (workflow.revision) { + workflow_summary['revision'] = workflow.revision + } + workflow_summary['runName'] = workflow.runName + if (workflow.containerEngine) { + workflow_summary['containerEngine'] = workflow.containerEngine + } + if (workflow.container) { + workflow_summary['container'] = workflow.container + } + workflow_summary['launchDir'] = workflow.launchDir + workflow_summary['workDir'] = workflow.workDir + workflow_summary['projectDir'] = workflow.projectDir + workflow_summary['userName'] = workflow.userName + workflow_summary['profile'] = workflow.profile + workflow_summary['configFiles'] = workflow.configFiles.join(', ') + + // Get pipeline parameters defined in JSON Schema + def Map params_summary = [:] + def params_map = paramsLoad(getSchemaPath(workflow, schema_filename=schema_filename)) + for (group in params_map.keySet()) { + def sub_params = new LinkedHashMap() + def group_params = params_map.get(group) // This gets the parameters of that particular group + for (param in group_params.keySet()) { + if (params.containsKey(param)) { + def params_value = params.get(param) + def schema_value = group_params.get(param).default + def param_type = group_params.get(param).type + if (schema_value != null) { + if (param_type == 'string') { + if (schema_value.contains('$projectDir') || schema_value.contains('${projectDir}')) { + def sub_string = schema_value.replace('\$projectDir', '') + sub_string = sub_string.replace('\${projectDir}', '') + if (params_value.contains(sub_string)) { + schema_value = params_value + } + } + if (schema_value.contains('$params.output') || schema_value.contains('${params.output}')) { + def sub_string = schema_value.replace('\$params.output', '') + sub_string = sub_string.replace('\${params.output}', '') + if ("${params.output}${sub_string}" == params_value) { + schema_value = params_value + } + } + } + } + + // We have a default in the schema, and this isn't it + if (schema_value != null && params_value != schema_value) { + sub_params.put(param, params_value) + } + // No default in the schema, and this isn't empty + else if (schema_value == null && params_value != "" && params_value != null && params_value != false) { + sub_params.put(param, params_value) + } + } + } + params_summary.put(group, sub_params) + } + return [ 'Core Nextflow options' : workflow_summary ] << params_summary + } + + // + // Beautify parameters for summary and return as string + // + public static String paramsSummaryLog(workflow, params) { + Map colors = NfcoreTemplate.logColours(params.monochrome_logs) + String output = '' + def params_map = paramsSummaryMap(workflow, params) + def max_chars = paramsMaxChars(params_map) + for (group in params_map.keySet()) { + def group_params = params_map.get(group) // This gets the parameters of that particular group + if (group_params) { + output += colors.bold + group + colors.reset + '\n' + for (param in group_params.keySet()) { + output += " " + colors.blue + param.padRight(max_chars) + ": " + colors.green + group_params.get(param) + colors.reset + '\n' + } + output += '\n' + } + } + output += "!! Only displaying parameters that differ from the pipeline defaults !!\n" + output += NfcoreTemplate.dashedLine(params.monochrome_logs) + return output + } + + // + // Loop over nested exceptions and print the causingException + // + private static void printExceptions(ex_json, params_json, log, enums, limit=5) { + def causingExceptions = ex_json['causingExceptions'] + if (causingExceptions.length() == 0) { + def m = ex_json['message'] =~ /required key \[([^\]]+)\] not found/ + // Missing required param + if (m.matches()) { + log.error "* Missing required parameter: --${m[0][1]}" + } + // Other base-level error + else if (ex_json['pointerToViolation'] == '#') { + log.error "* ${ex_json['message']}" + } + // Error with specific param + else { + def param = ex_json['pointerToViolation'] - ~/^#\// + def param_val = params_json[param].toString() + if (enums.containsKey(param)) { + def error_msg = "* --${param}: '${param_val}' is not a valid choice (Available choices" + if (enums[param].size() > limit) { + log.error "${error_msg} (${limit} of ${enums[param].size()}): ${enums[param][0..limit-1].join(', ')}, ... )" + } else { + log.error "${error_msg}: ${enums[param].join(', ')})" + } + } else { + log.error "* --${param}: ${ex_json['message']} (${param_val})" + } + } + } + for (ex in causingExceptions) { + printExceptions(ex, params_json, log, enums) + } + } + + // + // Remove an element from a JSONArray + // + private static JSONArray removeElement(json_array, element) { + def list = [] + int len = json_array.length() + for (int i=0;i + if(raw_schema.keySet().contains('definitions')){ + raw_schema.definitions.each { definition -> + for (key in definition.keySet()){ + if (definition[key].get("properties").keySet().contains(ignore_param)){ + // Remove the param to ignore + definition[key].get("properties").remove(ignore_param) + // If the param was required, change this + if (definition[key].has("required")) { + def cleaned_required = removeElement(definition[key].required, ignore_param) + definition[key].put("required", cleaned_required) + } + } + } + } + } + if(raw_schema.keySet().contains('properties') && raw_schema.get('properties').keySet().contains(ignore_param)) { + raw_schema.get("properties").remove(ignore_param) + } + if(raw_schema.keySet().contains('required') && raw_schema.required.contains(ignore_param)) { + def cleaned_required = removeElement(raw_schema.required, ignore_param) + raw_schema.put("required", cleaned_required) + } + } + return raw_schema + } + + // + // Clean and check parameters relative to Nextflow native classes + // + private static Map cleanParameters(params) { + def new_params = params.getClass().newInstance(params) + for (p in params) { + // remove anything evaluating to false + if (!p['value']) { + new_params.remove(p.key) + } + // Cast MemoryUnit to String + if (p['value'].getClass() == nextflow.util.MemoryUnit) { + new_params.replace(p.key, p['value'].toString()) + } + // Cast Duration to String + if (p['value'].getClass() == nextflow.util.Duration) { + new_params.replace(p.key, p['value'].toString().replaceFirst(/d(?!\S)/, "day")) + } + // Cast LinkedHashMap to String + if (p['value'].getClass() == LinkedHashMap) { + new_params.replace(p.key, p['value'].toString()) + } + } + return new_params + } + + // + // This function tries to read a JSON params file + // + private static LinkedHashMap paramsLoad(String json_schema) { + def params_map = new LinkedHashMap() + try { + params_map = paramsRead(json_schema) + } catch (Exception e) { + println "Could not read parameters settings from JSON. $e" + params_map = new LinkedHashMap() + } + return params_map + } + + // + // Method to actually read in JSON file using Groovy. + // Group (as Key), values are all parameters + // - Parameter1 as Key, Description as Value + // - Parameter2 as Key, Description as Value + // .... + // Group + // - + private static LinkedHashMap paramsRead(String json_schema) throws Exception { + def json = new File(json_schema).text + def Map schema_definitions = (Map) new JsonSlurper().parseText(json).get('definitions') + def Map schema_properties = (Map) new JsonSlurper().parseText(json).get('properties') + /* Tree looks like this in nf-core schema + * definitions <- this is what the first get('definitions') gets us + group 1 + title + description + properties + parameter 1 + type + description + parameter 2 + type + description + group 2 + title + description + properties + parameter 1 + type + description + * properties <- parameters can also be ungrouped, outside of definitions + parameter 1 + type + description + */ + + // Grouped params + def params_map = new LinkedHashMap() + schema_definitions.each { key, val -> + def Map group = schema_definitions."$key".properties // Gets the property object of the group + def title = schema_definitions."$key".title + def sub_params = new LinkedHashMap() + group.each { innerkey, value -> + sub_params.put(innerkey, value) + } + params_map.put(title, sub_params) + } + + // Ungrouped params + def ungrouped_params = new LinkedHashMap() + schema_properties.each { innerkey, value -> + ungrouped_params.put(innerkey, value) + } + params_map.put("Other parameters", ungrouped_params) + + return params_map + } + + // + // Get maximum number of characters across all parameter names + // + private static Integer paramsMaxChars(params_map) { + Integer max_chars = 0 + for (group in params_map.keySet()) { + def group_params = params_map.get(group) // This gets the parameters of that particular group + for (param in group_params.keySet()) { + if (param.size() > max_chars) { + max_chars = param.size() + } + } + } + return max_chars + } +} diff --git a/lib/NfcoreTemplate.groovy b/lib/NfcoreTemplate.groovy new file mode 100755 index 00000000..3e741d64 --- /dev/null +++ b/lib/NfcoreTemplate.groovy @@ -0,0 +1,253 @@ +// +// This file holds several functions used within the nf-core pipeline template. +// + +import org.yaml.snakeyaml.Yaml + +class NfcoreTemplate { + + // + // Check AWS Batch related parameters have been specified correctly + // + public static void awsBatch(workflow, params) { + if (workflow.profile.contains('awsbatch')) { + // Check params.awsqueue and params.awsregion have been set if running on AWSBatch + assert (params.awsqueue && params.awsregion) : "Specify correct --awsqueue and --awsregion parameters on AWSBatch!" + // Check outdir paths to be S3 buckets if running on AWSBatch + assert params.output.startsWith('s3:') : "Outdir not on S3 - specify S3 Bucket to run on AWSBatch!" + } + } + + // + // Warn if a -profile or Nextflow config has not been provided to run the pipeline + // + public static void checkConfigProvided(workflow, log) { + if (workflow.profile == 'standard' && workflow.configFiles.size() <= 1) { + log.warn "[$workflow.manifest.name] You are attempting to run the pipeline without any custom configuration!\n\n" + + "This will be dependent on your local compute environment but can be achieved via one or more of the following:\n" + + " (1) Using an existing pipeline profile e.g. `-profile docker` or `-profile singularity`\n" + + " (2) Using an existing nf-core/configs for your Institution e.g. `-profile crick` or `-profile uppmax`\n" + + " (3) Using your own local custom config e.g. `-c /path/to/your/custom.config`\n\n" + + "Please refer to the quick start section and usage docs for the pipeline.\n " + } + } + + // + // Construct and send completion email + // + public static void email(workflow, params, summary_params, projectDir, log, multiqc_report=[]) { + + // Set up the e-mail variables + def subject = "[$workflow.manifest.name] Successful: $workflow.runName" + if (!workflow.success) { + subject = "[$workflow.manifest.name] FAILED: $workflow.runName" + } + + def summary = [:] + for (group in summary_params.keySet()) { + summary << summary_params[group] + } + + def misc_fields = [:] + misc_fields['Date Started'] = workflow.start + misc_fields['Date Completed'] = workflow.complete + misc_fields['Pipeline script file path'] = workflow.scriptFile + misc_fields['Pipeline script hash ID'] = workflow.scriptId + if (workflow.repository) misc_fields['Pipeline repository Git URL'] = workflow.repository + if (workflow.commitId) misc_fields['Pipeline repository Git Commit'] = workflow.commitId + if (workflow.revision) misc_fields['Pipeline Git branch/tag'] = workflow.revision + misc_fields['Nextflow Version'] = workflow.nextflow.version + misc_fields['Nextflow Build'] = workflow.nextflow.build + misc_fields['Nextflow Compile Timestamp'] = workflow.nextflow.timestamp + + def email_fields = [:] + email_fields['version'] = workflow.manifest.version + email_fields['runName'] = workflow.runName + email_fields['success'] = workflow.success + email_fields['dateComplete'] = workflow.complete + email_fields['duration'] = workflow.duration + email_fields['exitStatus'] = workflow.exitStatus + email_fields['errorMessage'] = (workflow.errorMessage ?: 'None') + email_fields['errorReport'] = (workflow.errorReport ?: 'None') + email_fields['commandLine'] = workflow.commandLine + email_fields['projectDir'] = workflow.projectDir + email_fields['summary'] = summary << misc_fields + + // On success try attach the multiqc report + def mqc_report = null + try { + if (workflow.success) { + mqc_report = multiqc_report.getVal() + if (mqc_report.getClass() == ArrayList && mqc_report.size() >= 1) { + if (mqc_report.size() > 1) { + log.warn "[$workflow.manifest.name] Found multiple reports from process 'MULTIQC', will use only one" + } + mqc_report = mqc_report[0] + } + } + } catch (all) { + if (multiqc_report) { + log.warn "[$workflow.manifest.name] Could not attach MultiQC report to summary email" + } + } + + // Check if we are only sending emails on failure + def email_address = params.email + if (!params.email && params.email_on_fail && !workflow.success) { + email_address = params.email_on_fail + } + + // Render the TXT template + def engine = new groovy.text.GStringTemplateEngine() + def tf = new File("$projectDir/assets/email_template.txt") + def txt_template = engine.createTemplate(tf).make(email_fields) + def email_txt = txt_template.toString() + + // Render the HTML template + def hf = new File("$projectDir/assets/email_template.html") + def html_template = engine.createTemplate(hf).make(email_fields) + def email_html = html_template.toString() + + // Render the sendmail template + def max_multiqc_email_size = params.max_multiqc_email_size as nextflow.util.MemoryUnit + def smail_fields = [ email: email_address, subject: subject, email_txt: email_txt, email_html: email_html, projectDir: "$projectDir", mqcFile: mqc_report, mqcMaxSize: max_multiqc_email_size.toBytes() ] + def sf = new File("$projectDir/assets/sendmail_template.txt") + def sendmail_template = engine.createTemplate(sf).make(smail_fields) + def sendmail_html = sendmail_template.toString() + + // Send the HTML e-mail + Map colors = logColours(params.monochrome_logs) + if (email_address) { + try { + if (params.plaintext_email) { throw GroovyException('Send plaintext e-mail, not HTML') } + // Try to send HTML e-mail using sendmail + [ 'sendmail', '-t' ].execute() << sendmail_html + log.info "-${colors.purple}[$workflow.manifest.name]${colors.green} Sent summary e-mail to $email_address (sendmail)-" + } catch (all) { + // Catch failures and try with plaintext + def mail_cmd = [ 'mail', '-s', subject, '--content-type=text/html', email_address ] + if ( mqc_report.size() <= max_multiqc_email_size.toBytes() ) { + mail_cmd += [ '-A', mqc_report ] + } + mail_cmd.execute() << email_html + log.info "-${colors.purple}[$workflow.manifest.name]${colors.green} Sent summary e-mail to $email_address (mail)-" + } + } + + // Write summary e-mail HTML to a file + def output_d = new File("${params.output}/pipeline_info/") + if (!output_d.exists()) { + output_d.mkdirs() + } + def output_hf = new File(output_d, "pipeline_report.html") + output_hf.withWriter { w -> w << email_html } + def output_tf = new File(output_d, "pipeline_report.txt") + output_tf.withWriter { w -> w << email_txt } + } + + // + // Print pipeline summary on completion + // + public static void summary(workflow, params, log) { + Map colors = logColours(params.monochrome_logs) + if (workflow.success) { + if (workflow.stats.ignoredCount == 0) { + log.info "-${colors.purple}[$workflow.manifest.name]${colors.green} Pipeline completed successfully${colors.reset}-" + } else { + log.info "-${colors.purple}[$workflow.manifest.name]${colors.red} Pipeline completed successfully, but with errored process(es) ${colors.reset}-" + } + } else { + log.info "-${colors.purple}[$workflow.manifest.name]${colors.red} Pipeline completed with errors${colors.reset}-" + } + } + + // + // ANSII Colours used for terminal logging + // + public static Map logColours(Boolean monochrome_logs) { + Map colorcodes = [:] + + // Reset / Meta + colorcodes['reset'] = monochrome_logs ? '' : "\033[0m" + colorcodes['bold'] = monochrome_logs ? '' : "\033[1m" + colorcodes['dim'] = monochrome_logs ? '' : "\033[2m" + colorcodes['underlined'] = monochrome_logs ? '' : "\033[4m" + colorcodes['blink'] = monochrome_logs ? '' : "\033[5m" + colorcodes['reverse'] = monochrome_logs ? '' : "\033[7m" + colorcodes['hidden'] = monochrome_logs ? '' : "\033[8m" + + // Regular Colors + colorcodes['black'] = monochrome_logs ? '' : "\033[0;30m" + colorcodes['red'] = monochrome_logs ? '' : "\033[0;31m" + colorcodes['green'] = monochrome_logs ? '' : "\033[0;32m" + colorcodes['yellow'] = monochrome_logs ? '' : "\033[0;33m" + colorcodes['blue'] = monochrome_logs ? '' : "\033[0;34m" + colorcodes['purple'] = monochrome_logs ? '' : "\033[0;35m" + colorcodes['cyan'] = monochrome_logs ? '' : "\033[0;36m" + colorcodes['white'] = monochrome_logs ? '' : "\033[0;37m" + + // Bold + colorcodes['bblack'] = monochrome_logs ? '' : "\033[1;30m" + colorcodes['bred'] = monochrome_logs ? '' : "\033[1;31m" + colorcodes['bgreen'] = monochrome_logs ? '' : "\033[1;32m" + colorcodes['byellow'] = monochrome_logs ? '' : "\033[1;33m" + colorcodes['bblue'] = monochrome_logs ? '' : "\033[1;34m" + colorcodes['bpurple'] = monochrome_logs ? '' : "\033[1;35m" + colorcodes['bcyan'] = monochrome_logs ? '' : "\033[1;36m" + colorcodes['bwhite'] = monochrome_logs ? '' : "\033[1;37m" + + // Underline + colorcodes['ublack'] = monochrome_logs ? '' : "\033[4;30m" + colorcodes['ured'] = monochrome_logs ? '' : "\033[4;31m" + colorcodes['ugreen'] = monochrome_logs ? '' : "\033[4;32m" + colorcodes['uyellow'] = monochrome_logs ? '' : "\033[4;33m" + colorcodes['ublue'] = monochrome_logs ? '' : "\033[4;34m" + colorcodes['upurple'] = monochrome_logs ? '' : "\033[4;35m" + colorcodes['ucyan'] = monochrome_logs ? '' : "\033[4;36m" + colorcodes['uwhite'] = monochrome_logs ? '' : "\033[4;37m" + + // High Intensity + colorcodes['iblack'] = monochrome_logs ? '' : "\033[0;90m" + colorcodes['ired'] = monochrome_logs ? '' : "\033[0;91m" + colorcodes['igreen'] = monochrome_logs ? '' : "\033[0;92m" + colorcodes['iyellow'] = monochrome_logs ? '' : "\033[0;93m" + colorcodes['iblue'] = monochrome_logs ? '' : "\033[0;94m" + colorcodes['ipurple'] = monochrome_logs ? '' : "\033[0;95m" + colorcodes['icyan'] = monochrome_logs ? '' : "\033[0;96m" + colorcodes['iwhite'] = monochrome_logs ? '' : "\033[0;97m" + + // Bold High Intensity + colorcodes['biblack'] = monochrome_logs ? '' : "\033[1;90m" + colorcodes['bired'] = monochrome_logs ? '' : "\033[1;91m" + colorcodes['bigreen'] = monochrome_logs ? '' : "\033[1;92m" + colorcodes['biyellow'] = monochrome_logs ? '' : "\033[1;93m" + colorcodes['biblue'] = monochrome_logs ? '' : "\033[1;94m" + colorcodes['bipurple'] = monochrome_logs ? '' : "\033[1;95m" + colorcodes['bicyan'] = monochrome_logs ? '' : "\033[1;96m" + colorcodes['biwhite'] = monochrome_logs ? '' : "\033[1;97m" + + return colorcodes + } + + // + // Does what is says on the tin + // + public static String dashedLine(monochrome_logs) { + Map colors = logColours(monochrome_logs) + return "-${colors.dim}----------------------------------------------------${colors.reset}-" + } + + // + // pipeline logo + // + public static String logo(workflow, monochrome_logs) { + Map colors = logColours(monochrome_logs) + String.format( + """\n + ${dashedLine(monochrome_logs)} + ${colors.purple} ${workflow.manifest.name} v${workflow.manifest.version}${colors.reset} + ${dashedLine(monochrome_logs)} + """.stripIndent() + ) + } +} diff --git a/lib/Utils.groovy b/lib/Utils.groovy new file mode 100755 index 00000000..1b88aec0 --- /dev/null +++ b/lib/Utils.groovy @@ -0,0 +1,40 @@ +// +// This file holds several Groovy functions that could be useful for any Nextflow pipeline +// + +import org.yaml.snakeyaml.Yaml + +class Utils { + + // + // When running with -profile conda, warn if channels have not been set-up appropriately + // + public static void checkCondaChannels(log) { + Yaml parser = new Yaml() + def channels = [] + try { + def config = parser.load("conda config --show channels".execute().text) + channels = config.channels + } catch(NullPointerException | IOException e) { + log.warn "Could not verify conda channel configuration." + return + } + + // Check that all channels are present + def required_channels = ['conda-forge', 'bioconda', 'defaults'] + def conda_check_failed = !required_channels.every { ch -> ch in channels } + + // Check that they are in the right order + conda_check_failed |= !(channels.indexOf('conda-forge') < channels.indexOf('bioconda')) + conda_check_failed |= !(channels.indexOf('bioconda') < channels.indexOf('defaults')) + + if (conda_check_failed) { + log.warn "=============================================================================\n" + + " There is a problem with your Conda configuration!\n\n" + + " You will need to set-up the conda-forge and bioconda channels correctly.\n" + + " Please refer to https://bioconda.github.io/user/install.html#set-up-channels\n" + + " NB: The order of the channels matters!\n" + + "===================================================================================" + } + } +} diff --git a/lib/WorkflowBacannot.groovy b/lib/WorkflowBacannot.groovy new file mode 100755 index 00000000..94e05db2 --- /dev/null +++ b/lib/WorkflowBacannot.groovy @@ -0,0 +1,64 @@ +// +// This file holds several functions specific to the workflow/bacannot.nf in the fmalmeida/bacannot pipeline +// + +class WorkflowBacannot { + + // + // Check and validate parameters + // + public static void initialise(params, log) { + + // input has been given and user does not want to download databases? + if (!params.input && !params.get_dbs) { + log.error "Please provide an input samplesheet to the pipeline e.g. '--input samplesheet.yml'. Or select the download databases mode with --get_dbs." + System.exit(1) + } + + // prokka params checkup + if (params.prokka_kingdom && !params.prokka_genetic_code) { + log.error """ + ERROR! + + A minor error has occurred + ==> User have set --prokka_kingdom but forgot --prokka_genetic_code. + + These parameters must be used together. If you change prokka defaults kingdom parameter you must set the genetic code to be used for translation. + + If in doubt with these parameters let it blank, or get more information in Prokka's documentation. + + Cheers. + """.stripIndent() + System.exit(1) + } + + } + + // + // Get workflow summary for MultiQC + // + public static String paramsSummaryMultiqc(workflow, summary) { + String summary_section = '' + for (group in summary.keySet()) { + def group_params = summary.get(group) // This gets the parameters of that particular group + if (group_params) { + summary_section += "

$group

\n" + summary_section += "
\n" + for (param in group_params.keySet()) { + summary_section += "
$param
${group_params.get(param) ?: 'N/A'}
\n" + } + summary_section += "
\n" + } + } + + String yaml_file_text = "id: '${workflow.manifest.name.replace('/','-')}-summary'\n" + yaml_file_text += "description: ' - this information is collected when the pipeline is started.'\n" + yaml_file_text += "section_name: '${workflow.manifest.name} Workflow Summary'\n" + yaml_file_text += "section_href: 'https://github.com/${workflow.manifest.name}'\n" + yaml_file_text += "plot_type: 'html'\n" + yaml_file_text += "data: |\n" + yaml_file_text += "${summary_section}" + return yaml_file_text + } + +} diff --git a/lib/WorkflowMain.groovy b/lib/WorkflowMain.groovy new file mode 100755 index 00000000..b966f0ee --- /dev/null +++ b/lib/WorkflowMain.groovy @@ -0,0 +1,98 @@ +// +// This file holds several functions specific to the main.nf workflow in the fmalmeida/bacannot pipeline +// + +class WorkflowMain { + + // + // Citation string for pipeline + // + public static String citation(workflow) { + return "If you use ${workflow.manifest.name} for your analysis please cite:\n\n" + + "* The pipeline\n" + + " https://doi.org/10.5281/zenodo.3627669\n\n" + + "* The nf-core framework\n" + + " https://doi.org/10.1038/s41587-020-0439-x\n\n" + + "* Software dependencies\n" + + " https://github.com/${workflow.manifest.name}/blob/master/CITATIONS.md" + } + + // + // Print help to screen if required + // + public static String help(workflow, params, log) { + def command = "nextflow run ${workflow.manifest.name} --input bacannot_samplesheet.yaml --output ./results" + def help_string = '' + help_string += NfcoreTemplate.logo(workflow, params.monochrome_logs) + help_string += NfcoreSchema.paramsHelp(workflow, params, command) + help_string += '\n' + citation(workflow) + '\n' + help_string += NfcoreTemplate.dashedLine(params.monochrome_logs) + return help_string + } + + // + // Print parameter summary log to screen + // + public static String paramsSummaryLog(workflow, params, log) { + def summary_log = '' + summary_log += NfcoreTemplate.logo(workflow, params.monochrome_logs) + summary_log += NfcoreSchema.paramsSummaryLog(workflow, params) + summary_log += '\n' + citation(workflow) + '\n' + summary_log += NfcoreTemplate.dashedLine(params.monochrome_logs) + return summary_log + } + + // + // Validate parameters and print summary to screen + // + public static void initialise(workflow, params, log) { + // Print help to screen if required + if (params.help) { + log.info help(workflow, params, log) + System.exit(0) + } + + // Download template config + if (params.get_config) { + new File("bacannot.config").write(new URL ("https://github.com/fmalmeida/bacannot/raw/master/conf/defaults.config").getText()) + log.info """ + bacannot.config file saved in working directory + After configuration, run: + nextflow run fmalmeida/bacannot -c ./bacannot.config + Nice code + """.stripIndent() + System.exit(0) + } + + // Download template samplesheet + if (params.get_samplesheet) { + new File("bacannot_samplesheet.yaml").write(new URL ("https://github.com/fmalmeida/bacannot/raw/master/example_samplesheet.yml").getText()) + log.info """ + Samplesheet (bacannot_samplesheet.yml) file saved in working directory + Nice code! + """.stripIndent() + System.exit(0) + } + + // Validate workflow parameters via the JSON schema + if (params.validate_params) { + NfcoreSchema.validateParameters(workflow, params, log) + } + + // Print parameter summary log to screen + log.info paramsSummaryLog(workflow, params, log) + + // Check that a -profile or Nextflow config has been provided to run the pipeline + NfcoreTemplate.checkConfigProvided(workflow, log) + + // Check that conda channels are set-up correctly + // if (params.enable_conda) { + // Utils.checkCondaChannels(log) + // } + + // Check AWS batch settings + // NfcoreTemplate.awsBatch(workflow, params) + + } + +} diff --git a/lib/nfcore_external_java_deps.jar b/lib/nfcore_external_java_deps.jar new file mode 100644 index 00000000..805c8bb5 Binary files /dev/null and b/lib/nfcore_external_java_deps.jar differ diff --git a/main.nf b/main.nf index aa8d44ff..fe88a9e3 100644 --- a/main.nf +++ b/main.nf @@ -1,121 +1,59 @@ #!/usr/bin/env nextflow -nextflow.enable.dsl=2 -import org.yaml.snakeyaml.Yaml - -/* - * Generic Pipeline for Prokariotic Genome Annotation - */ - -/* - * Include functions - */ -include { helpMessage } from './nf_functions/help.nf' -include { logMessage } from './nf_functions/log.nf' -include { paramsCheck } from './nf_functions/paramsCheck.nf' - - -/* - * Check parameters - */ -paramsCheck() -params.help = false - // Show help emssage - if (params.help){ - helpMessage() - exit 0 -} - -/* - * Does the user wants to download the configuration file? - */ - -params.get_config = false -if (params.get_config) { - new File("bacannot.config").write(new URL ("https://github.com/fmalmeida/bacannot/raw/master/nextflow.config").getText()) - println "" - println "bacannot.config file saved in working directory" - println "After configuration, run:" - println "nextflow run fmalmeida/bacannot -c ./bacannot.config" - println "Nice code!\n" - exit 0 -} - /* - * Does the user wants to download the YAML samplesheet file? - */ - -params.get_samplesheet = false -if (params.get_samplesheet) { - new File("bacannot_samplesheet.yaml").write(new URL ("https://github.com/fmalmeida/bacannot/raw/master/example_samplesheet.yaml").getText()) - println "" - println "bacannot_samplesheet.yaml file saved in working directory" - println "After configuration, run:" - println "nextflow run fmalmeida/bacannot --input bacannot_samplesheet.yaml" - println "Nice code!\n" - exit 0 -} +======================================================================================== + fmalmeida/bacannot: A Generic Pipeline for Prokariotic Genome Annotation +======================================================================================== + Github : https://github.com/fmalmeida/bacannot +---------------------------------------------------------------------------------------- +*/ + +nextflow.enable.dsl = 2 +import org.yaml.snakeyaml.Yaml /* - * Load general parameters and establish defaults - */ - -// General parameters -params.output = 'outdir' -params.threads = 2 -params.bedtools_merge_distance = '' -// Input parameters -params.input = '' -// Prokka parameters -params.prokka_kingdom = '' -params.prokka_genetic_code = false -params.prokka_use_rnammer = false -// User custom db -params.custom_db = '' -params.blast_custom_minid = 0 -params.blast_custom_mincov = 0 -// Resfinder parameters -params.resfinder_species = '' -// Blast parameters -params.plasmids_minid = 90 -params.plasmids_mincov = 60 -params.blast_virulence_minid = 90 -params.blast_virulence_mincov = 80 -params.blast_resistance_minid = 90 -params.blast_resistance_mincov = 80 -params.blast_MGEs_minid = 65 -params.blast_MGEs_mincov = 65 -// Workflow parameters -params.skip_plasmid_search = false -params.skip_virulence_search = false -params.skip_resistance_search = false -params.skip_iceberg_search = false -params.skip_prophage_search = false -params.skip_kofamscan = false -params.skip_antismash = false +======================================================================================== + VALIDATE & PRINT PARAMETER SUMMARY +======================================================================================== +*/ -/* - * Define log message - */ -logMessage() +WorkflowMain.initialise(workflow, params, log) /* - * Define custom workflows - */ - -// Parse samplesheet -include { parse_samplesheet } from './workflows/parse_samples.nf' - -// Bacannot pipeline for multiple genomes -include { BACANNOT } from './workflows/bacannot.nf' +======================================================================================== + NAMED WORKFLOWS FOR PIPELINE +======================================================================================== +*/ +include { PARSE_SAMPLESHEET } from './workflows/parse_samples.nf' +include { BACANNOT } from './workflows/bacannot.nf' +include { CREATE_DBS } from './workflows/bacannot_dbs.nf' /* - * Define main workflow - */ +======================================================================================== + RUN ALL WORKFLOWS +======================================================================================== +*/ workflow { - if (params.input) { + if (params.get_dbs) { + CREATE_DBS() + } else { + if (params.input) { + + // check if user gave path to bacannot databases + if (!params.bacannot_db) { + // Message to user + exit(""" + ERROR! + A major error has occurred! + ==> User forgot to set path to databases with --bacannot_db. Online documentation is available at: https://bacannot.readthedocs.io/en/latest/ + Please, read the docs. + Cheers. + """) + } else { + bacannot_db = file(params.bacannot_db) + } // Load yaml samplesheet_yaml = file(params.input) @@ -127,15 +65,17 @@ workflow { samplesheet_yaml.copyTo(params.output + "/" + "${samplesheet_yaml.getName()}") // Parse YAML file - parse_samplesheet(params.samplesheet) + PARSE_SAMPLESHEET(params.samplesheet) // Run annotation BACANNOT( - parse_samplesheet.out, - (params.custom_db) ? Channel.fromPath( params.custom_db.split(',').collect{ it } ) : Channel.empty() + PARSE_SAMPLESHEET.out, + bacannot_db, + (params.custom_db) ? Channel.fromPath( params.custom_db.split(',').collect{ it } ) : Channel.empty(), + (params.ncbi_proteins) ? Channel.fromPath( params.ncbi_proteins ) : Channel.empty() ) - } else { + } else { // Message to user println(""" @@ -146,15 +86,13 @@ workflow { Cheers. """) + } } -} - -// Completition message -workflow.onComplete { - println "" - println "Pipeline completed at: $workflow.complete" - println "Execution status: ${ workflow.success ? 'OK' : 'failed' }" - println "Execution duration: $workflow.duration" - println "Thank you for using fmalmeida/bacannot pipeline!" } + +/* +======================================================================================== + THE END +======================================================================================== +*/ diff --git a/mkdocs.yml b/mkdocs.yml new file mode 100644 index 00000000..58482435 --- /dev/null +++ b/mkdocs.yml @@ -0,0 +1,43 @@ +site_name: Bacannot pipeline +repo_url: https://github.com/fmalmeida/bacannot +repo_name: fmalmeida/bacannot +extra_css: + - stylesheets/extra.css +nav: + - Home: index.md + - Installation: installation.md + - Quickstart: quickstart.md + - Samplesheet: samplesheet.md + - Outputs: outputs.md + - Manual: manual.md + - Config template: config.md + - Custom databases: custom-db.md + - Selecting NF profiles: profiles.md +theme: + name: material + palette: + primary: teal + accent: deep purple + icon: + repo: fontawesome/brands/github-alt +plugins: + - git-revision-date +markdown_extensions: + - pymdownx.emoji: + emoji_index: !!python/name:materialx.emoji.twemoji + emoji_generator: !!python/name:materialx.emoji.to_svg + - tables + - pymdownx.highlight: + anchor_linenums: true + - pymdownx.inlinehilite + - pymdownx.snippets + - admonition + - pymdownx.details + - attr_list + - md_in_html + - pymdownx.superfences + - pymdownx.critic + - pymdownx.caret + - pymdownx.keys + - pymdownx.mark + - pymdownx.tilde \ No newline at end of file diff --git a/modules/KOs/kegg-decoder.nf b/modules/KOs/kegg-decoder.nf index 693d84cc..56016bfd 100644 --- a/modules/KOs/kegg-decoder.nf +++ b/modules/KOs/kegg-decoder.nf @@ -1,23 +1,25 @@ process KEGG_DECODER { publishDir "${params.output}/${prefix}/KOfamscan", mode: 'copy' tag "${prefix}" - label 'kofam' + label = [ 'misc', 'process_low' ] input: - tuple val(prefix), file('input_mapper.txt') + tuple val(prefix), path('input_mapper.txt') output: // Grab all outputs - file("*") // Get all files to input directory - tuple val(prefix), file("*.svg") // get svg + path("*") // Get all files to input directory + tuple val(prefix), path("*.svg") // get svg script: """ - # KEGG-DECODER - source activate kegg-decoder-env ; + # Activate env + export PATH=/opt/conda/envs/KEGGDecoder/bin:\$PATH - # Draw static heatmap - KEGG-decoder --input input_mapper.txt\ - --output ${prefix}_kegg-decoder_heatmap-static.tsv --vizoption static ; + # draw static heatmap + KEGG-decoder \\ + --input input_mapper.txt \\ + --output ${prefix}_kegg-decoder_heatmap_static.tsv \\ + --vizoption static ; """ } diff --git a/modules/KOs/kofamscan.nf b/modules/KOs/kofamscan.nf index 64ca58f5..89a8f8ea 100644 --- a/modules/KOs/kofamscan.nf +++ b/modules/KOs/kofamscan.nf @@ -3,31 +3,43 @@ process KOFAMSCAN { if (filename.indexOf("_version.txt") > 0) "tools_versioning/$filename" else "$filename" } - errorStrategy 'retry' - maxRetries 2 tag "${prefix}" - label 'kofam' + label = [ 'misc', 'process_high' ] input: tuple val(prefix), file('proteins.faa') + file(bacannot_db) output: // Grab all outputs - file("KOfamscan") // Get all files to input directory - tuple val(prefix), file("KOfamscan/${prefix}_ko_forKEGGMapper.txt") // Kegg-mapper file + file("KOfamscan") + tuple val(prefix), file("KOfamscan/${prefix}_ko_forKEGGMapper.txt") script: """ # Get kofamscan version - kofamscan -v > kofamscan_version.txt + exec_annotation -v | sed "s/exec_annotation/kofamscan/" > kofamscan_version.txt # Create dir for results mkdir KOfamscan ; # Run kofamscan with detailed output - kofamscan -o KOfamscan/${prefix}_ko_detailed.txt --keep-tabular --cpu=${params.threads} proteins.faa ; + exec_annotation \\ + -p ${bacannot_db}/kofamscan_db/profiles/prokaryote.hal \\ + -k ${bacannot_db}/kofamscan_db/ko_list \\ + -o KOfamscan/${prefix}_ko_detailed.txt \\ + --keep-tabular \\ + --cpu=$task.cpus \\ + proteins.faa ; # Re-run kofamscan with mapper-output - kofamscan -o KOfamscan/${prefix}_ko_forKEGGMapper.txt --reannotate --cpu=${params.threads} -f mapper proteins.faa ; + exec_annotation \\ + -p ${bacannot_db}/kofamscan_db/profiles/prokaryote.hal \\ + -k ${bacannot_db}/kofamscan_db/ko_list \\ + -o KOfamscan/${prefix}_ko_forKEGGMapper.txt \\ + --reannotate \\ + --cpu=$task.cpus \\ + -f mapper \\ + proteins.faa ; """ } diff --git a/modules/MGEs/digIS.nf b/modules/MGEs/digIS.nf index 15bb721b..c999757d 100644 --- a/modules/MGEs/digIS.nf +++ b/modules/MGEs/digIS.nf @@ -2,35 +2,58 @@ process DIGIS { publishDir "${params.output}/${prefix}", mode: 'copy', saveAs: { filename -> if (filename.indexOf("_version.txt") > 0) "tools_versioning/$filename" else if (filename == "${prefix}.gff") null + else if (filename == "${prefix}_IS.gff") null else "$filename" } tag "${prefix}" - label 'main' + label = [ 'misc', 'process_low' ] input: - tuple val(prefix), file(genome), file(genbank) + tuple val(prefix), path(genome), path(genbank) output: // Grab results file("digIS") - tuple val(prefix), file("digIS/results/*.gff") - tuple val(prefix), file("${prefix}.gff"), file("digIS/results/fastas/${prefix}_is.fa"), file("digIS/results/fastas/${prefix}_is.faa") + tuple val(prefix), path("digIS/results/${prefix}.gff") + tuple val(prefix), path("${prefix}_IS.gff"), path("digIS/results/fastas/${prefix}_IS.fa"), path("digIS/results/fastas/${prefix}_IS.faa") script: """ # activate env - source activate digIS ; + source activate digIS # run digIS - python3 /work/digIS/digIS_search.py -i $genome -g $genbank -o digIS + python3 \$(which digIS_search.py) -i $genome -g $genbank -o digIS + + # deactivate env + conda deactivate # parse digIS to get nucleotide and aminoacide # also put ids in uppercase # required for annotation merging and sqldb - conda deactivate ; + + ## dir for fastas mkdir -p digIS/results/fastas ; - sed -e 's/id=/ID=/g' digIS/results/${prefix}.gff > ${prefix}.gff ; - gff-toolbox convert -i ${prefix}.gff -f fasta-nt --fasta $genome --fasta_features transposable_element > digIS/results/fastas/${prefix}_is.fa ; - gff-toolbox convert -i ${prefix}.gff -f fasta-aa --fasta $genome --fasta_features transposable_element > digIS/results/fastas/${prefix}_is.faa ; + + ## save info in gff + sed \\ + -e 's/id=/ID=/g' \\ + digIS/results/${prefix}.gff > ${prefix}_IS.gff ; + + ## get nucl sequences + gff-toolbox \\ + convert \\ + -i ${prefix}_IS.gff \\ + -f fasta-nt \\ + --fasta $genome \\ + --fasta_features transposable_element > digIS/results/fastas/${prefix}_IS.fa ; + + ## get prot sequences + gff-toolbox \\ + convert \\ + -i ${prefix}_IS.gff \\ + -f fasta-aa \\ + --fasta $genome \\ + --fasta_features transposable_element > digIS/results/fastas/${prefix}_IS.faa ; """ } diff --git a/modules/MGEs/draw_gis.nf b/modules/MGEs/draw_gis.nf index 4898f135..aced2556 100644 --- a/modules/MGEs/draw_gis.nf +++ b/modules/MGEs/draw_gis.nf @@ -4,7 +4,8 @@ process DRAW_GIS { else null } tag "${prefix}" - label 'main' + label = [ 'misc', 'process_ultralow' ] + input: tuple val(prefix), file(gff), file(gis_bed) @@ -16,18 +17,22 @@ process DRAW_GIS { script: """ - # Create output directories - mkdir -p plots plots/id_label plots/product_label ; + # create output directories + mkdir \\ + -p plots \\ + plots/id_label \\ + plots/product_label ; - # Get required files - cp /work/bscripts/draw_gis.sh . ; - cp /work/bscripts/input.fofn . ; + # draw genomic islands + draw_gis.sh \\ + -i $gis_bed \\ + -g $gff \\ + -f \$(which input.fofn) ; - # Draw genomic islands - ./draw_gis.sh -i $gis_bed -g $gff -f input.fofn ; - - # Get one image + # get one image name=\$(ls plots/product_label | head -n 1) - [[ \$(ls plots/product_label/) ]] && cp "plots/product_label/\${name}" ./teste.png || echo "empty" ; + [[ \$(ls plots/product_label/) ]] && \\ + cp "plots/product_label/\${name}" ./teste.png || \\ + echo "empty" ; """ } diff --git a/modules/MGEs/iceberg.nf b/modules/MGEs/iceberg.nf index ca079d58..491fd11b 100644 --- a/modules/MGEs/iceberg.nf +++ b/modules/MGEs/iceberg.nf @@ -1,11 +1,12 @@ process ICEBERG { publishDir "${params.output}/${prefix}/ICEs", mode: 'copy' tag "${prefix}" - label 'main' + label = [ 'misc', 'process_low' ] input: tuple val(prefix), file(genes_aa) tuple val(prefix), file(genome) + file(bacannot_db) output: // Outputs must be linked to each prefix (tag) @@ -21,16 +22,31 @@ process ICEBERG { # In nucleotide are the full-length ICEs ## Checking ICE genes - ## With predicted gene sequences - run_blasts.py blastp --query $genes_aa --db /work/dbs/iceberg/diamond.dmnd --minid ${params.blast_MGEs_minid} \ - --mincov ${params.blast_MGEs_mincov} --threads ${params.threads} --out ${prefix}_iceberg_blastp_onGenes.txt --2way | \ + run_blasts.py \\ + blastp \\ + --query $genes_aa \\ + --db ${bacannot_db}/iceberg_db/diamond.dmnd \\ + --minid ${params.blast_MGEs_minid} \\ + --mincov ${params.blast_MGEs_mincov} \\ + --threads $task.cpus \\ + --out ${prefix}_iceberg_blastp_onGenes.txt --2way | \\ sed -e 's/GENE/ICEBERG_ID/g' > ${prefix}_iceberg_blastp_onGenes.summary.txt ; ## Checking for full-length ICEs ### The blast db was throwing errors - makeblastdb -dbtype nucl -in /work/dbs/iceberg/sequences -out sequences ; - run_blasts.py blastn --query $genome --db sequences --minid 0 --mincov 0 --threads ${params.threads} \ - --out ${prefix}_iceberg_blastn_onGenome.txt | sed -e 's/GENE/ICEBERG_ID/g' > ${prefix}_iceberg_blastn_onGenome.summary.txt ; + makeblastdb \\ + -dbtype nucl \\ + -in ${bacannot_db}/iceberg_db/sequences \\ + -out sequences ; + run_blasts.py \\ + blastn \\ + --query $genome \\ + --db sequences \\ + --minid 0 \\ + --mincov 0 \\ + --threads $task.cpus \\ + --out ${prefix}_iceberg_blastn_onGenome.txt | \\ + sed -e 's/GENE/ICEBERG_ID/g' > ${prefix}_iceberg_blastn_onGenome.summary.txt ; """ } diff --git a/modules/MGEs/islandPath_DIMOB.nf b/modules/MGEs/islandpath.nf similarity index 64% rename from modules/MGEs/islandPath_DIMOB.nf rename to modules/MGEs/islandpath.nf index 489b02f1..211ec9f5 100644 --- a/modules/MGEs/islandPath_DIMOB.nf +++ b/modules/MGEs/islandpath.nf @@ -1,30 +1,28 @@ -process FIND_GIS { +process ISLANDPATH { publishDir "${params.output}/${prefix}/genomic_islands", mode: 'copy' - errorStrategy 'retry' - maxRetries 5 tag "${prefix}" - label 'main' + label = [ 'perl', 'process_low' ] input: tuple val(prefix), file("annotation.gbk") output: // Outputs must be linked to each prefix (tag) - tuple val(prefix), file("${prefix}_predicted_GIs.bed") + tuple val(prefix), path("${prefix}_predicted_GIs.bed") script: """ - # activate env - source activate PERL_env ; - # Split genbank files - splitgenbank.py annotation.gbk && rm annotation.gbk ; + splitgenbank.pl annotation.gbk && rm annotation.gbk ; # Run islandpath in each touch ${prefix}_predicted_GIs.bed ; for file in \$(ls *.gbk); do \ touch \${file%%.gbk}_GIs.txt ; - grep -q "CDS" \$file && Dimob.pl \$file \${file%%.gbk}_GIs.txt 2> dimob.err ; + grep -q "CDS" \$file && \\ + islandpath \\ + \$file \\ + \${file%%.gbk}_GIs.txt 2> dimob.err ; name="\${file%%.gbk}" ; awk -v contig=\$name 'BEGIN { FS = "\\t"; OFS="\\t" } { print contig,\$2,\$3 }' \${file%%.gbk}_GIs.txt >> ${prefix}_predicted_GIs.bed ; done diff --git a/modules/MGEs/plasmidfinder.nf b/modules/MGEs/plasmidfinder.nf index 88cdf8f6..0ddedbf8 100644 --- a/modules/MGEs/plasmidfinder.nf +++ b/modules/MGEs/plasmidfinder.nf @@ -4,14 +4,15 @@ process PLASMIDFINDER { else null } tag "${prefix}" - label 'main' + label = [ 'python', 'process_low' ] input: tuple val(prefix), file(genome) + file(bacannot_db) output: - tuple val(prefix), file("plasmidfinder") // Get everything - tuple val(prefix), file("plasmidfinder/results_tab.tsv") // Get the main result + tuple val(prefix), path("plasmidfinder") + tuple val(prefix), path("plasmidfinder/results_tab.tsv") script: """ @@ -21,7 +22,13 @@ process PLASMIDFINDER { # Run plasmidfinder mkdir plasmidfinder ; - plasmidfinder.py -i $genome -o plasmidfinder -l \$mincov -t \$minid -x + plasmidfinder.py \\ + -i $genome \\ + -o plasmidfinder \\ + -l \$mincov \\ + -t \$minid \\ + -x \\ + --databasePath ${bacannot_db}/plasmidfinder_db # Remove tmp rm -rf plasmidfinder/tmp diff --git a/modules/MGEs/platon.nf b/modules/MGEs/platon.nf index 98a42652..7cfe7d0c 100644 --- a/modules/MGEs/platon.nf +++ b/modules/MGEs/platon.nf @@ -5,26 +5,28 @@ process PLATON { else null } tag "${prefix}" - label 'main' + label = [ 'python', 'process_medium' ] input: tuple val(prefix), file(genome) + file(bacannot_db) output: - file("platon") - tuple val(prefix), file("platon/${prefix}.tsv") - file("platon_version.txt") + path("platon") + tuple val(prefix), path("platon/${prefix}.tsv") + path("platon_version.txt") script: """ # Get version platon --version > platon_version.txt ; - # Unpack database - tar zxvf /work/platon/db.tar.gz ; - # Run platon - platon --db db/ --output platon --threads ${params.threads} $genome > tmp.txt || true ; + platon \\ + --db ${bacannot_db}/platon_db/ \\ + --output platon \\ + --threads $task.cpus \\ + $genome > tmp.txt || true ; [ -s platon/${prefix}.tsv ] || cat tmp.txt > platon/${prefix}.tsv ; """ } diff --git a/modules/assembly/flye.nf b/modules/assembly/flye.nf index 70c5ffc7..b559fa0e 100644 --- a/modules/assembly/flye.nf +++ b/modules/assembly/flye.nf @@ -4,7 +4,7 @@ process FLYE { else if (filename == "flye_${prefix}") "assembly" else null } - label 'flye' + label 'process_high' tag "${prefix}" input: @@ -23,7 +23,11 @@ process FLYE { flye -v > flye_version.txt ; # Run flye - flye ${lr} $lreads --plasmids --out-dir flye_${prefix} --threads ${params.threads} &> flye.log ; + flye \\ + ${lr} \\ + $lreads \\ + --out-dir flye_${prefix} \\ + --threads $task.cpus &> flye.log ; # Save a copy for annotation cp flye_${prefix}/assembly.fasta flye_${prefix}.fasta diff --git a/modules/assembly/unicycler.nf b/modules/assembly/unicycler.nf index 0fbfebf5..42f27927 100644 --- a/modules/assembly/unicycler.nf +++ b/modules/assembly/unicycler.nf @@ -4,7 +4,7 @@ process UNICYCLER { else if (filename == "unicycler_${prefix}") "assembly" else null } - label 'unicycler' + label 'process_high' tag "${prefix}" input: @@ -26,7 +26,12 @@ process UNICYCLER { unicycler --version > unicycler_version.txt # Run unicycler - unicycler $paired_param $unpaired_param $lr_param -o unicycler_${prefix} -t ${params.threads} &> unicycler.log + unicycler \\ + $paired_param \\ + $unpaired_param \\ + $lr_param \\ + -o unicycler_${prefix} \\ + -t $task.cpus &> unicycler.log # Save copy for annotation cp unicycler_${prefix}/assembly.fasta unicycler_${prefix}.fasta diff --git a/modules/bacannot_dbs/amrfinder.nf b/modules/bacannot_dbs/amrfinder.nf new file mode 100644 index 00000000..0d3b3955 --- /dev/null +++ b/modules/bacannot_dbs/amrfinder.nf @@ -0,0 +1,13 @@ +process AMRFINDER_DB { + publishDir "${params.output}/amrfinder_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_ultralow' ] + + output: + file("*") + + script: + """ + # download amrfinderplus database + amrfinder_update -d \$(pwd) + """ +} diff --git a/modules/bacannot_dbs/antismash.nf b/modules/bacannot_dbs/antismash.nf new file mode 100644 index 00000000..9f0fdd2f --- /dev/null +++ b/modules/bacannot_dbs/antismash.nf @@ -0,0 +1,14 @@ +process ANTISMASH_DB { + publishDir "${params.output}/antismash_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_ultralow' ] + + output: + file("*") + + script: + """ + # download antismash database + export PATH=/opt/conda/envs/antismash/bin:\$PATH + download-antismash-databases --database-dir \$(pwd) + """ +} diff --git a/modules/bacannot_dbs/argminer.nf b/modules/bacannot_dbs/argminer.nf new file mode 100644 index 00000000..310410ab --- /dev/null +++ b/modules/bacannot_dbs/argminer.nf @@ -0,0 +1,27 @@ +process ARGMINER_DB { + publishDir "${params.output}/argminer_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_ultralow' ] + + output: + file("*") + + script: + """ + # download argminer database (aa) + ## argminer server has a lot of problems + ( + wget -t 1 http://bench.cs.vt.edu/ftp/argminer/release/ARGminer-v1.1.1.A.fasta && \\ + awk -v db=ARGMiner '/>/{ split(\$0,a,"|"); \$0=">" db "~~~" a[3] "~~~" a[1] "~~~" a[2] "~~~" a[4] }1' ARGminer-v1.1.1.A.fasta | \\ + sed -e 's/~>/~/g' -e 's/gi:.*:ref://g' -e 's/gi:.*:gb://g' -e 's/gi:.*:emb://g' -e 's/:~/~/g' -e 's/:_/_/g' -e 's/ /_/g' > sequences && \\ + rm ARGminer-v1.1.1.A.fasta && \\ + makeblastdb -in sequences -title 'argminer' -dbtype prot -logfile /dev/null && \\ + diamond makedb --in sequences -d diamond + ) || + ( + cat argminer.fasta | \\ + sed -e 's/~>/~/g' -e 's/gi:.*:ref://g' -e 's/gi:.*:gb://g' -e 's/gi:.*:emb://g' -e 's/:~/~/g' -e 's/:_/_/g' -e 's/ /_/g' > sequences && \\ + makeblastdb -in sequences -title 'argminer' -dbtype prot -logfile /dev/null && \\ + diamond makedb --in sequences -d diamond + ) + """ +} diff --git a/modules/bacannot_dbs/card.nf b/modules/bacannot_dbs/card.nf new file mode 100644 index 00000000..5ce282f6 --- /dev/null +++ b/modules/bacannot_dbs/card.nf @@ -0,0 +1,15 @@ +process CARD_DB { + publishDir "${params.output}/card_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_ultralow' ] + + output: + file("*") + + script: + """ + # download CARD database + wget https://card.mcmaster.ca/latest/data && \\ + tar -xvf data ./card.json && \\ + rm data + """ +} diff --git a/modules/bacannot_dbs/iceberg.nf b/modules/bacannot_dbs/iceberg.nf new file mode 100644 index 00000000..16f4f952 --- /dev/null +++ b/modules/bacannot_dbs/iceberg.nf @@ -0,0 +1,36 @@ +process ICEBERG_DB { + publishDir "${params.output}/iceberg_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_ultralow' ] + + output: + file("*") + + script: + """ + # download iceberg database (nt) + wget https://bioinfo-mml.sjtu.edu.cn/ICEberg2/download/ICE_seq_experimental.fas && \\ + awk -v db=ICEberg '/>/{ split(\$0,a,"|"); all=\$0; \$0=">" db "~~~" "ICE_" a[2] "~~~" a[5] "~~~" a[3] "~~~" all }1' ICE_seq_experimental.fas | \\ + sed -e 's/~~~>/~~~/g' > sequences && \\ + rm ICE_seq_experimental.fas && \\ + makeblastdb -in sequences -title 'iceberg' -dbtype nucl -logfile /dev/null + + # download iceberg database (aa) + wget https://bioinfo-mml.sjtu.edu.cn/ICEberg2/download/ICE_aa_experimental.fas && \\ + awk \\ + -v db=ICEberg \\ + '/>/{ split(\$0,col," "); split(col[1],a,"[|]"); split(col[2],b,"[|]"); split(\$0,c,"[|]"); all=\$0; \$0=">" db "~~~" "ICE_" a[2] "~~~" b[4] "~~~" c[6] "~~~" all }1' \\ + ICE_aa_experimental.fas | \\ + awk -F '\\\\]' \\ + '{ + if (\$0 ~ />/) { + gsub(" ","_",\$1); gsub("_\\\\[","_",\$1); gsub("~_","~",\$1); print \$1,\$2 "]" + } + else { print \$0 } + }' | \\ + sed 's/ ~~~>/~~~/g' | \\ + sed -e 's/ /_/g' > proteins && \\ + diamond makedb --in proteins -d diamond && \\ + makeblastdb -in proteins -title 'iceberg' -dbtype prot -logfile /dev/null && \\ + rm ICE_aa_experimental.fas + """ +} diff --git a/modules/bacannot_dbs/kofamscan.nf b/modules/bacannot_dbs/kofamscan.nf new file mode 100644 index 00000000..d8420a21 --- /dev/null +++ b/modules/bacannot_dbs/kofamscan.nf @@ -0,0 +1,24 @@ +process KOFAMSCAN_DB { + publishDir "${params.output}/kofamscan_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_low' ] + + output: + file("*") + + script: + """ + # download kofamscan database + wget ftp://ftp.genome.jp/pub/db/kofam/ko_list.gz && \\ + wget ftp://ftp.genome.jp/pub/db/kofam/profiles.tar.gz && \\ + gunzip ko_list.gz && \\ + tar xvzf profiles.tar.gz && \\ + rm -rf profiles.tar.gz + + # for the sake of size and fastness + # let's select only the KOs from prokaryotes + cd profiles && \\ + for dirs in *.hmm ; do + if ! grep -qxFe "\$dirs" prokaryote.hal ; then rm -rf \$dirs ; fi; + done + """ +} \ No newline at end of file diff --git a/modules/bacannot_dbs/mlst.nf b/modules/bacannot_dbs/mlst.nf new file mode 100644 index 00000000..5c6401de --- /dev/null +++ b/modules/bacannot_dbs/mlst.nf @@ -0,0 +1,13 @@ +process MLST_DB { + publishDir "${params.output}/mlst_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_ultralow' ] + + output: + file("*") + + script: + """ + # download mlst database + curl https://pubmlst.org/static/data/dbases.xml > dbases.xml + """ +} diff --git a/modules/bacannot_dbs/phast.nf b/modules/bacannot_dbs/phast.nf new file mode 100644 index 00000000..1fd85404 --- /dev/null +++ b/modules/bacannot_dbs/phast.nf @@ -0,0 +1,21 @@ +process PHAST_DB { + publishDir "${params.output}/phast_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_ultralow' ] + + output: + file("*") + + script: + """ + # download phast database (aa) + wget -O phast_prot.fasta http://phaster.ca/downloads/prophage_virus.db && \\ + awk -v db=PHAST '/>/{ split(\$0,a,"|"); split(a[5],gene," \\\\["); all=\$0; \$0=">" db "~~~" gene[1] "~~~" a[4]"~~~" "PHAST_" a[2] "~~~" all }1' phast_prot.fasta | \\ + sed -e 's/ >/ /g' -e 's/~ /~/g' | \\ + awk -F "~~~" ' { if (\$0 ~ />/) { gsub(" ", "_", \$2); print \$1 "~~~" \$2 "~~~" \$3 "~~~" \$4 "~~~" \$5 } else { print \$0 }}' | \\ + awk -F "~~~" ' { if (\$0 ~ />/) { gsub("-", "_", \$2); print \$1 "~~~" \$2 "~~~" \$3 "~~~" \$4 "~~~" \$5 } else { print \$0 }}' | \\ + sed -e 's/~~~>/~~~/g' > sequences && \\ + rm phast_prot.fasta && \\ + diamond makedb --in sequences -d diamond && \\ + makeblastdb -in sequences -title 'PHAST' -dbtype prot -logfile /dev/null + """ +} diff --git a/modules/bacannot_dbs/phigaro.nf b/modules/bacannot_dbs/phigaro.nf new file mode 100644 index 00000000..d1c19768 --- /dev/null +++ b/modules/bacannot_dbs/phigaro.nf @@ -0,0 +1,17 @@ +process PHIGARO_DB { + publishDir "${params.output}/phigaro_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_medium' ] + + output: + file("*") + + script: + """ + # download phigaro database + wget http://download.ripcm.com/phigaro/allpvoghmms + wget http://download.ripcm.com/phigaro/allpvoghmms.h3f + wget http://download.ripcm.com/phigaro/allpvoghmms.h3i + wget http://download.ripcm.com/phigaro/allpvoghmms.h3m + wget http://download.ripcm.com/phigaro/allpvoghmms.h3p + """ +} diff --git a/modules/bacannot_dbs/plasmidfinder.nf b/modules/bacannot_dbs/plasmidfinder.nf new file mode 100644 index 00000000..18e778b4 --- /dev/null +++ b/modules/bacannot_dbs/plasmidfinder.nf @@ -0,0 +1,14 @@ +process PLASMIDFINDER_DB { + publishDir "${params.output}", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_ultralow' ] + + output: + file("*") + + script: + """ + # download plasmidfinder database + git clone https://bitbucket.org/genomicepidemiology/plasmidfinder_db.git + rm -r plasmidfinder_db/.git + """ +} diff --git a/modules/bacannot_dbs/platon.nf b/modules/bacannot_dbs/platon.nf new file mode 100644 index 00000000..b9d223dc --- /dev/null +++ b/modules/bacannot_dbs/platon.nf @@ -0,0 +1,16 @@ +process PLATON_DB { + publishDir "${params.output}/platon_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_low' ] + + output: + file("*") + + script: + """ + # download platon database + wget -O db.tar.gz "https://zenodo.org/record/4066768/files/db.tar.gz" + tar zxvf db.tar.gz + mv db/* . + rm -rf db db.tar.gz + """ +} diff --git a/modules/bacannot_dbs/prokka.nf b/modules/bacannot_dbs/prokka.nf new file mode 100644 index 00000000..ef39a182 --- /dev/null +++ b/modules/bacannot_dbs/prokka.nf @@ -0,0 +1,16 @@ +process PROKKA_DB { + publishDir "${params.output}/prokka_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_low' ] + + output: + file("*") + + script: + """ + # download prokka additional database + wget https://ftp.ncbi.nlm.nih.gov/hmm/TIGRFAMs/release_15.0/TIGRFAMs_15.0_HMM.LIB.gz && \ + gzip -d TIGRFAMs_15.0_HMM.LIB.gz && \\ + mv TIGRFAMs_15.0_HMM.LIB TIGRFAMs_15.0.hmm + wget https://ftp.ncbi.nlm.nih.gov/hmm/current/hmm_PGAP.LIB -O PGAP_NCBI.hmm + """ +} diff --git a/modules/bacannot_dbs/resfinder.nf b/modules/bacannot_dbs/resfinder.nf new file mode 100644 index 00000000..46914a12 --- /dev/null +++ b/modules/bacannot_dbs/resfinder.nf @@ -0,0 +1,16 @@ +process RESFINDER_DB { + publishDir "${params.output}/resfinder_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_ultralow' ] + + output: + file("*") + + script: + """ + # download resfinder databases + git clone https://git@bitbucket.org/genomicepidemiology/resfinder_db.git db_resfinder + rm -r db_resfinder/.git + git clone https://git@bitbucket.org/genomicepidemiology/pointfinder_db.git db_pointfinder + rm -r db_pointfinder/.git + """ +} diff --git a/modules/bacannot_dbs/vfdb.nf b/modules/bacannot_dbs/vfdb.nf new file mode 100644 index 00000000..436d7ea7 --- /dev/null +++ b/modules/bacannot_dbs/vfdb.nf @@ -0,0 +1,20 @@ +process VFDB_DB { + publishDir "${params.output}/vfdb_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_ultralow' ] + + output: + file("*") + + script: + """ + # download vfdb database + wget http://www.mgc.ac.cn/VFs/Down/VFDB_setA_nt.fas.gz && \\ + gzip -d VFDB_setA_nt.fas.gz && \\ + awk -v db=VFDB '/>/{ split(\$0,name," "); split(\$0,id," \\\\["); all=\$0; \$0=">" db "~~~" name[2] "~~~" name[1] "~~~[" id[2] "~~~" all }1' VFDB_setA_nt.fas | \\ + sed -e 's/~>/~/g' -e 's/ ~/~/g' -e 's/]~/~/g' -e 's/ >/ /' | \\ + awk -F "]" ' { if (\$0 ~ />/) { gsub(" ", "_", \$1); print \$1 "] " \$2 "]"} else { print \$0 }}' | \\ + sed 's/_-_/_/g' > sequences && \\ + makeblastdb -in sequences -title 'vfdb' -dbtype nucl -logfile /dev/null && \\ + rm VFDB_setA_nt.fas + """ +} diff --git a/modules/bacannot_dbs/victors.nf b/modules/bacannot_dbs/victors.nf new file mode 100644 index 00000000..d6196295 --- /dev/null +++ b/modules/bacannot_dbs/victors.nf @@ -0,0 +1,22 @@ +process VICTORS_DB { + publishDir "${params.output}/victors_db", mode: 'copy', overwrite: "$params.force_update" + label = [ 'db_download', 'process_ultralow' ] + + output: + file("*") + + script: + """ + # download victors database (aa) + wget -O victors_original.fasta "http://www.phidias.us/victors/downloads/gen_downloads_protein.php" && \\ + grep -v "^[^>M]" victors_original.fasta > victors_prot.fasta && \\ + rm victors_original.fasta && \\ + awk -v db=victors '/>/{ split(\$0,a,"|"); split(a[5],gene," \\\\["); all=\$0; \$0=">" db "~~~" gene[1] "~~~" a[4] "~~~" "Victors_" a[2] "~~~" all }1' victors_prot.fasta | \\ + sed -e 's/ >/ /g' -e 's/~ /~/g' | \\ + awk -F "~~~" ' { if (\$0 ~ />/) { gsub(" ", "_", \$2); gsub(" ", "_", \$5); print \$1 "~~~" \$2 "~~~" \$3 "~~~" \$4 "~~~" \$5 } else { print \$0 }}' | \\ + sed -e 's/~~~>/~~~/g' -e 's/|_/|/g' > sequences && \\ + diamond makedb --in sequences -d diamond && \\ + makeblastdb -in sequences -title 'victors' -dbtype prot -logfile /dev/null && \\ + rm victors_prot.fasta + """ +} diff --git a/modules/generic/antismash.nf b/modules/generic/antismash.nf index 95308299..c7695594 100644 --- a/modules/generic/antismash.nf +++ b/modules/generic/antismash.nf @@ -4,38 +4,62 @@ process ANTISMASH { else "$filename" } tag "${prefix}" - label 'smash' + label = [ 'misc', 'process_medium' ] input: tuple val(prefix), file(genbank) + file(bacannot_db) output: // Grab results - tuple val(prefix), file("antiSMASH") - file("*_version.txt") + tuple val(prefix), path("antiSMASH/regions.gff") + path("antiSMASH") + path("*_version.txt") script: """ - # activate env - source activate antismash ; - + # Activate env + export PATH=/opt/conda/envs/antismash/bin:\$PATH + # Get tool version antismash --version > antismash_version.txt ; # Run tool - antismash --output-dir antiSMASH --genefinding-tool none -c ${params.threads} $genbank ; + antismash \\ + --output-dir antiSMASH \\ + --genefinding-tool none \\ + -c $task.cpus \\ + --databases ${bacannot_db}/antismash_db \\ + $genbank ; # enter results dir cd antiSMASH ; # produce gff from main results genbank="${genbank}" - seqret -sequence \${genbank} -feature -fformat genbank -fopenfile \${genbank} -osformat gff -osname_outseq \${genbank%%.gbk} -auto ; + seqret \\ + -sequence \${genbank} \\ + -feature \\ + -fformat genbank \\ + -fopenfile \${genbank} \\ + -osformat gff \\ + -osname_outseq \${genbank%%.gbk} \\ + -auto ; # get the locus tags annotated as list - grep "locus_tag" *region*gbk | cut -f 2 -d "=" | tr -d '"' | sort -u > gene_ids.lst ; + grep \\ + "locus_tag" \\ + *region*gbk | \\ + cut \\ + -f 2 \\ + -d "=" | \\ + tr -d '"' | \\ + sort -u > gene_ids.lst ; # subset regions GFF from main GFF for JBrowse - grep -w -f gene_ids.lst \${genbank%%.gbk}.gff > regions.gff ; + grep \\ + -w \\ + -f gene_ids.lst \\ + \${genbank%%.gbk}.gff > regions.gff ; """ } diff --git a/modules/generic/barrnap.nf b/modules/generic/barrnap.nf index 42b47337..c95689c6 100644 --- a/modules/generic/barrnap.nf +++ b/modules/generic/barrnap.nf @@ -4,25 +4,22 @@ process BARRNAP { else "rRNA/$filename" } tag "${prefix}" - label 'main' + label = [ 'perl', 'process_low' ] input: tuple val(prefix), file(genome) output: - tuple val(prefix), file("${prefix}_rRNA.gff") - tuple val(prefix), file("${prefix}_rRNA.fa") optional true - file('barrnap_version.txt') + tuple val(prefix), path("${prefix}_rRNA.gff") + tuple val(prefix), path("${prefix}_rRNA.fa") + path('barrnap_version.txt') script: """ - # activate env - source activate PERL_env ; - - # Save barrnap tool version + # save barrnap tool version barrnap --version &> barrnap_version.txt ; - # Run barrnap + # run barrnap barrnap -o ${prefix}_rRNA.fa < $genome > ${prefix}_rRNA.gff """ } diff --git a/modules/generic/compute_gc.nf b/modules/generic/compute_gc.nf index 74579fb3..45b3372d 100644 --- a/modules/generic/compute_gc.nf +++ b/modules/generic/compute_gc.nf @@ -1,13 +1,13 @@ process COMPUTE_GC { tag "${prefix}" - label 'main' + label = [ 'misc', 'process_ultralow' ] input: tuple val(prefix), file(genome) output: // Outputs must be linked to each prefix (tag) - tuple val(prefix), file("input_GC_500_bps.sorted.bedGraph"), file("input.sizes") + tuple val(prefix), path("input_GC_500_bps.sorted.bedGraph"), path("input.sizes") script: """ diff --git a/modules/generic/custom_blast.nf b/modules/generic/custom_blast.nf deleted file mode 100644 index 42b49c69..00000000 --- a/modules/generic/custom_blast.nf +++ /dev/null @@ -1,30 +0,0 @@ -process CUSTOM_BLAST { - publishDir "${params.output}/${prefix}/custom_annotations/${customDB.baseName}", mode: 'copy' - tag "${prefix}" - label 'main' - - input: - tuple val(prefix), file(gff), file(genome) - each file(customDB) - - output: - // Outputs must be linked to each prefix (tag) - tuple val(prefix), val("${customDB.baseName}"), file("${prefix}_${customDB.baseName}_blastn.summary.txt"), file("${prefix}_${customDB.baseName}_blastn.gff") - file('*.txt') // Grab all - - script: - """ - # Step 1 - Create blast db - makeblastdb -in $customDB -dbtype nucl -out customDB ; - - # Step 2 - Execute blastn - run_blasts.py blastn --query $genome --db customDB --minid ${params.blast_custom_minid} \ - --mincov ${params.blast_custom_mincov} --threads ${params.threads} --out ${prefix}_${customDB.baseName}_blastn.txt > ${prefix}_${customDB.baseName}_blastn.summary.txt ; - - # Step 3 - Get BED from blastn - awk '{print \$1 "\t" \$2 "\t" \$3}' ${prefix}_${customDB.baseName}_blastn.txt | tail -n +2 > ${prefix}_${customDB.baseName}_blastn.bed ; - - # Step 4 - Find intersection with annotation - bedtools intersect -wa -a $gff -b ${prefix}_${customDB.baseName}_blastn.bed > ${prefix}_${customDB.baseName}_blastn.gff ; - """ -} diff --git a/modules/generic/custom_database.nf b/modules/generic/custom_database.nf new file mode 100644 index 00000000..19a1c209 --- /dev/null +++ b/modules/generic/custom_database.nf @@ -0,0 +1,55 @@ +process CUSTOM_DATABASE { + publishDir "${params.output}/${prefix}/custom_annotations/${customDB.baseName}", mode: 'copy' + tag "${prefix}" + label = [ 'misc', 'process_low' ] + + input: + tuple val(prefix), file(gff), file(genome) + each file(customDB) + + output: + // Outputs must be linked to each prefix (tag) + tuple val(prefix), val("${customDB.baseName}"), path("${prefix}_${customDB.baseName}*.summary.txt") + tuple val(prefix), path("${customDB.baseName}_custom_db.gff") + path('*.txt') // Grab all + path(customDB) + + script: + """ + # Step 1 - Check if input is nucl or protein and prepare db + if [ \$(grep -i "Protein" <(seqkit stats ${customDB}) | wc -l) -gt 0 ] + then + export blast_cmd="tblastn" ; + else + export blast_cmd="blastn" ; + fi + + # Step 2 - Execute blast + run_blasts.py \\ + \${blast_cmd} \\ + --query ${genome} \\ + --db ${customDB} \\ + --minid ${params.blast_custom_minid} \\ + --mincov ${params.blast_custom_mincov} \\ + --threads $task.cpus \\ + --out ${prefix}_${customDB.baseName}_\${blast_cmd}.txt \\ + > ${prefix}_${customDB.baseName}_\${blast_cmd}.summary.txt ; + + # Step 3 - Produce custom gff + tail -n+2 ${prefix}_${customDB.baseName}_\${blast_cmd}.summary.txt | \\ + awk \\ + -v source="${customDB.baseName}" \\ + -F'\\t' \\ + 'BEGIN{ OFS="\\t"; } + { + atts="Additional_database="\$10";"\$10":Acc="\$11";"\$10":Target="\$5";"\$10":Product="\$12";"\$10":Description="\$13; + if (\$4 == "-") { + print \$1,source,"CDS",\$3,\$2,".",\$4,"0",atts + } else { + print \$1,source,"CDS",\$2,\$3,".",\$4,"0",atts + } + }' | \\ + bedtools sort > ${customDB.baseName}_custom_db.gff + """ + +} \ No newline at end of file diff --git a/modules/generic/custom_blast_report.nf b/modules/generic/custom_database_report.nf similarity index 92% rename from modules/generic/custom_blast_report.nf rename to modules/generic/custom_database_report.nf index 429241a3..eb1d2d0c 100644 --- a/modules/generic/custom_blast_report.nf +++ b/modules/generic/custom_database_report.nf @@ -1,9 +1,9 @@ -process CUSTOM_BLAST_REPORT { +process CUSTOM_DATABASE_REPORT { publishDir "${params.output}/${prefix}/report_files/custom_databases", mode: 'copy', saveAs: { filename -> if (filename.indexOf(".html") > 0) "report_${customDB}.html" else "$filename" } - label 'renv' + label = [ 'renv', 'process_low' ] tag "${prefix}" input: @@ -32,4 +32,5 @@ process CUSTOM_BLAST_REPORT { blast_db = "${customDB}", \ blast_gff = "$custom_gff")) ; """ -} + +} \ No newline at end of file diff --git a/modules/generic/genome_mask.nf b/modules/generic/genome_mask.nf deleted file mode 100644 index 2f99f58d..00000000 --- a/modules/generic/genome_mask.nf +++ /dev/null @@ -1,29 +0,0 @@ -process MASKING_GENOME { - publishDir "${params.output}/${prefix}", mode: 'copy', - saveAs: {filename -> - //This line saves the files with specific sufixes in specific folders - if (filename.indexOf(".gff") > 0 ) "gffs/$filename" - else if (filename.indexOf(".fasta") > 0 ) "masked_genome/$filename" - else if (filename.indexOf(".txt") > 0 ) "gffs/$filename" -} - tag "${prefix}" - label 'main' - - input: - file input - file 'gff' - val(prefix) - - output: - file "${prefix}_clear.gff" // Annotation in GFF format without the genome sequences - file "${prefix}_masked_genome.fasta" // Masked genome in FASTA file - file "readme.txt" - - """ - grep "ID=" gff | awk '{ print \$1 "\t" \$4 "\t" \$5 }' > cds_prokka.bed ; - grep "ID=" gff > ${prefix}_clear.gff ; - maskFastaFromBed -fi $input -fo ${prefix}_masked_genome.fasta -bed cds_prokka.bed ; - echo -e \"Understanding the *_clear.gff file.\\n${prefix}_clear.gff is the same GFF file from Prokka output. However, this file does \ - not contain any genomic sequences as Prokka output does.\" > readme.txt - """ -} diff --git a/modules/generic/gff2gbk.nf b/modules/generic/gff2gbk.nf index 8d12f469..b90d535f 100644 --- a/modules/generic/gff2gbk.nf +++ b/modules/generic/gff2gbk.nf @@ -1,7 +1,7 @@ process GFF2GBK { publishDir "${params.output}/${prefix}/gbk", mode: 'copy' - label 'main' tag "${prefix}" + label = [ 'misc', 'process_ultralow' ] input: tuple val(prefix), file(gff), file(input) @@ -10,7 +10,18 @@ process GFF2GBK { file "*.genbank" """ - seqret -sequence $input -feature -fformat gff -fopenfile $gff -osformat genbank \ - -osname_outseq ${prefix} -ofdirectory_outseq gbk_file -auto + # Activate env + export PATH=/opt/conda/envs/antismash/bin:\$PATH + + # Run emboss seqret + seqret \\ + -sequence $input \\ + -feature \\ + -fformat gff \\ + -fopenfile $gff \\ + -osformat genbank \\ + -osname_outseq ${prefix} \\ + -ofdirectory_outseq gbk_file \\ + -auto """ } diff --git a/modules/generic/gff2sql.nf b/modules/generic/gff2sql.nf index fc6ba6d9..a0e13e62 100644 --- a/modules/generic/gff2sql.nf +++ b/modules/generic/gff2sql.nf @@ -4,7 +4,7 @@ process CREATE_SQL { else "$filename" } tag "${prefix}" - label 'renv' + label = [ 'renv', 'process_medium' ] input: tuple val(prefix), file(gff), file(genes_nt), file(genes_aa), file(genome), file("digIS.gff"), file("digIS.fa"), file("digIS.faa") diff --git a/modules/generic/jbrowse.nf b/modules/generic/jbrowse.nf index c70067f8..dd8e30f0 100644 --- a/modules/generic/jbrowse.nf +++ b/modules/generic/jbrowse.nf @@ -1,14 +1,10 @@ process JBROWSE { publishDir "${params.output}/${prefix}/jbrowse", mode: 'copy' - label 'jbrowse' + label = [ 'jbrowse', 'process_low' ] tag "${prefix}" input: - tuple val(prefix), file(gff), file(draft), file("prokka_gff"), file(mlst), file(barrnap), - file(gc_bedGraph), file(gc_chrSizes), file(kofamscan), file(vfdb), - file(victors), file(amrfinder), file(resfinder_gff), file(rgi), file(iceberg), file(phast), - file(phigaro), file(genomic_islands), file("methylation"), file("chr.sizes"), - file(phispy_tsv), file("digIS.gff"), file("antiSMASH") + tuple val(prefix), file(merged_gff), file(draft), file("prokka_gff"), file(barrnap), file(gc_bedGraph), file(gc_chrSizes), file(resfinder_gff), file(phigaro), file(genomic_islands), file("methylation"), file("chr.sizes"), file(phispy_tsv), file(digIS_gff), file(antiSMASH), file(custom_annotations) output: file "*" @@ -17,11 +13,22 @@ process JBROWSE { """ # Get JBrowse Files in working directory cp -R /work/jbrowse/* . ; - cp /work/bscripts/run_jbrowse.sh . ; - chmod a+x run_jbrowse.sh ; # Render genome browser - ./run_jbrowse.sh -p $prefix -g $draft -b $gc_bedGraph -s $gc_chrSizes -f $gff -r $barrnap -B $phigaro \ - -P $phispy_tsv -G $genomic_islands -m methylation -S chr.sizes -R $resfinder_gff -d digIS.gff + run_jbrowse.sh \\ + -p $prefix \\ + -g $draft \\ + -b $gc_bedGraph \\ + -s $gc_chrSizes \\ + -f $merged_gff \\ + -r $barrnap \\ + -B $phigaro \\ + -P $phispy_tsv \\ + -G $genomic_islands \\ + -m methylation \\ + -S chr.sizes \\ + -R $resfinder_gff \\ + -d $digIS_gff \\ + -A $antiSMASH """ } diff --git a/modules/generic/mash.nf b/modules/generic/mash.nf index 61e9c742..21f11286 100644 --- a/modules/generic/mash.nf +++ b/modules/generic/mash.nf @@ -4,25 +4,26 @@ process REFSEQ_MASHER { else "refseq_masher/$filename" } tag "${prefix}" - label 'main' + label = [ 'python', 'process_low' ] input: - tuple val(prefix), file(genome) + tuple val(prefix), path(genome) output: // Grab results - tuple val(prefix), file("refseq_masher_results.txt") - file("*_version.txt") + tuple val(prefix), path("refseq_masher_results.txt") + path("*_version.txt") script: """ - # activate env - source activate PY36_env ; - # Get tool version refseq_masher --version > refseq_masher_version.txt ; # Run tool - refseq_masher -vv matches --top-n-results 10 --output-type tab $genome > refseq_masher_results.txt + refseq_masher \\ + -vv matches \\ + --top-n-results 10 \\ + --output-type tab \\ + $genome > refseq_masher_results.txt """ } diff --git a/modules/generic/merge_annotations.nf b/modules/generic/merge_annotations.nf index f985f984..4b8fab48 100644 --- a/modules/generic/merge_annotations.nf +++ b/modules/generic/merge_annotations.nf @@ -1,24 +1,16 @@ process MERGE_ANNOTATIONS { publishDir "${params.output}/${prefix}/gffs", mode: 'copy' - label 'renv' + label = [ 'renv', 'process_medium' ] tag "${prefix}" input: - tuple val(prefix), file(draft), file("prokka_gff"), file(mlst), file(barrnap), - file(gc_bedGraph), file(gc_chrSizes), file(kofamscan), file(vfdb), - file(victors), file(amrfinder), file(resfinder), file(rgi), file(iceberg), file(phast), - file(phigaro), file(genomic_islands), file("tmp.digis.gff") + tuple val(prefix), file('prokka_gff'), file(kofamscan), file(vfdb), file(victors), file(amrfinder), file(resfinder), file(rgi), file(iceberg), file(phast), file('digis_gff'), file(custom_databases) output: - tuple val(prefix), file("${prefix}.gff") // Get main gff file - file "virulence_vfdb.gff" optional true // Get VFDB virulence file - file "ices_iceberg.gff" optional true // Get ICEberg ices file - file "prophages_phast.gff" optional true // Get PHAST prophages file - file "resistance_card.gff" optional true // Get CARD resistance file - file "resistance_amrfinderplus.gff" optional true // Get NDARO resistance file - file "*.gff" optional true // Get all subsets - file "virulence_victors.gff" optional true // Get Victors virulence file - tuple val(prefix), file("digIS.gff") optional true // Get digIS file + tuple val(prefix), path("${prefix}.gff") + tuple val(prefix), path("transposable_elements_digis.gff") + tuple val(prefix), path("custom_database_*.gff"), optional: true + path("*.gff") script: """ @@ -27,45 +19,93 @@ process MERGE_ANNOTATIONS { ## Increment GFF with custom annotations ### VFDB - [ \$(cat ${vfdb} | wc -l) -le 1 ] || addBlast2Gff.R -i $vfdb -g ${prefix}.gff -o ${prefix}.gff -d VFDB -t Virulence && \ - [ \$(grep "VFDB" ${prefix}.gff | wc -l) -eq 0 ] || grep "VFDB" ${prefix}.gff > virulence_vfdb.gff ; + if [ ! \$(cat $vfdb | wc -l) -le 1 ] + then + addBlast2Gff.R -i $vfdb -g ${prefix}.gff -o ${prefix}.gff -d VFDB -t Virulence ; + grep "VFDB" ${prefix}.gff > virulence_vfdb.gff ; + fi ### Victors - [ \$(cat ${victors} | wc -l) -le 1 ] || addBlast2Gff.R -i $victors -g ${prefix}.gff -o ${prefix}.gff -d Victors -t Virulence && \ - [ \$(grep "Victors" ${prefix}.gff | wc -l) -eq 0 ] || grep "Victors" ${prefix}.gff > virulence_victors.gff ; + if [ ! \$(cat $victors | wc -l) -le 1 ] + then + addBlast2Gff.R -i $victors -g ${prefix}.gff -o ${prefix}.gff -d Victors -t Virulence ; + grep "Victors" ${prefix}.gff > virulence_victors.gff ; + fi ### KEGG Orthology ## Reformat KOfamscan Output - [ \$(cat ${kofamscan} | wc -l) -eq 0 ] || awk -F'\\t' -v OFS='\\t' '{x=\$1;\$1="";a[x]=a[x]\$0}END{for(x in a)print x,a[x]}' $kofamscan | \ - sed -e 's/\\t/,/g' -e 's/,,/\\t/g' | awk '\$2!=""' > formated.txt ; - [ ! -s formated.txt ] || addKO2Gff.R -i formated.txt -g ${prefix}.gff -o ${prefix}.gff -d KEGG ; + if [ ! \$(cat $kofamscan | wc -l) -eq 0 ] + then + awk \\ + -F'\\t' \\ + -v OFS='\\t' \\ + '{x=\$1;\$1="";a[x]=a[x]\$0}END{for(x in a)print x,a[x]}' \\ + $kofamscan | \\ + sed \\ + -e 's/\\t/,/g' \\ + -e 's/,,/\\t/g' | \\ + awk '\$2!=""' > formated.txt ; + addKO2Gff.R -i formated.txt -g ${prefix}.gff -o ${prefix}.gff -d KEGG ; + fi ### ICEs - [ \$(cat ${iceberg} | wc -l) -le 1 ] || addBlast2Gff.R -i $iceberg -g ${prefix}.gff -o ${prefix}.gff -d ICEberg -t ICE && \ - [ \$(grep "ICEberg" ${prefix}.gff | wc -l) -eq 0 ] || grep "ICEberg" ${prefix}.gff > ices_iceberg.gff ; + if [ ! \$(cat $iceberg | wc -l) -le 1 ] + then + addBlast2Gff.R -i $iceberg -g ${prefix}.gff -o ${prefix}.gff -d ICEberg -t ICE ; + grep "ICEberg" ${prefix}.gff > ices_iceberg.gff ; + fi ### Prophages - [ \$(cat ${phast} | wc -l) -le 1 ] || addBlast2Gff.R -i $phast -g ${prefix}.gff -o ${prefix}.gff -d PHAST -t Prophage && \ - [ \$(grep "PHAST" ${prefix}.gff | wc -l) -eq 0 ] || grep "PHAST" ${prefix}.gff > prophages_phast.gff ; + if [ ! \$(cat $phast | wc -l) -le 1 ] + then + addBlast2Gff.R -i $phast -g ${prefix}.gff -o ${prefix}.gff -d PHAST -t Prophage ; + grep "PHAST" ${prefix}.gff > prophages_phast.gff ; + fi ### Resistance #### RGI - [ \$(cat RGI_${prefix}.txt | wc -l) -le 1 ] || addRGI2gff.R -g ${prefix}.gff -i $rgi -o ${prefix}.gff ; - [ \$(grep "CARD" ${prefix}.gff | wc -l) -eq 0 ] || grep "CARD" ${prefix}.gff > resistance_card.gff ; + if [ ! \$(cat $rgi | wc -l) -le 1 ] + then + addRGI2gff.R -g ${prefix}.gff -i $rgi -o ${prefix}.gff ; + grep "CARD" ${prefix}.gff > resistance_card.gff ; + fi #### AMRFinderPlus - [ \$(cat AMRFinder_resistance-only.tsv | wc -l) -le 1 ] || addNCBIamr2Gff.R -g ${prefix}.gff -i $amrfinder -o ${prefix}.gff -t Resistance -d AMRFinderPlus ; - [ \$(grep "AMRFinderPlus" ${prefix}.gff | wc -l) -eq 0 ] || grep "AMRFinderPlus" ${prefix}.gff > resistance_amrfinderplus.gff ; + if [ ! \$(cat $amrfinder | wc -l) -le 1 ] + then + addNCBIamr2Gff.R -g ${prefix}.gff -i $amrfinder -o ${prefix}.gff -t Resistance -d AMRFinderPlus ; + grep "AMRFinderPlus" ${prefix}.gff > resistance_amrfinderplus.gff ; + fi #### Resfinder - [ \$(cat ${resfinder} | wc -l) -eq 0 ] || bedtools intersect -a ${resfinder} -b ${prefix}.gff -wo > resfinder_intersected.txt ; - [ \$(cat resfinder_intersected.txt | wc -l) -eq 0 ] || addResfinder.R -g ${prefix}.gff -t resfinder_intersected.txt -o ${prefix}.gff ; - [ \$(grep "Resfinder" ${prefix}.gff | wc -l) -eq 0 ] || grep "Resfinder" ${prefix}.gff > resistance_resfinder.gff ; - rm -f resfinder_intersected.txt ; + if [ ! \$(cat $resfinder | wc -l) -eq 0 ] + then + bedtools intersect -a $resfinder -b ${prefix}.gff -wo > resfinder_intersected.txt ; + addBedtoolsIntersect.R -g ${prefix}.gff -t resfinder_intersected.txt --type Resistance --source Resfinder -o ${prefix}.gff ; + grep "Resfinder" ${prefix}.gff > resistance_resfinder.gff ; + rm -f resfinder_intersected.txt ; + fi + + #### Custom Blast databases + for file in ${custom_databases.join(" ")} ; + do + if [ -s \$file ] + then + db=\${file%%_custom_db.gff} ; + bedtools intersect -a \${file} -b ${prefix}.gff -wo > bedtools_intersected.txt ; + addBedtoolsIntersect.R -g ${prefix}.gff -t bedtools_intersected.txt --type "CDS" --source "\${db}" -o ${prefix}.gff ; + grep "\${db}" ${prefix}.gff > custom_database_\${db}.gff ; + rm -f bedtools_intersected.txt ; + fi + done ### digIS transposable elements - [ ! -s tmp.digis.gff ] || ( cat tmp.digis.gff | sed 's/id=/ID=/g' > digIS.gff && rm tmp.digis.gff ) ; - [ ! -s digIS.gff ] || cat ${prefix}.gff digIS.gff | bedtools sort > tmp.out.gff ; - [ ! -s tmp.out.gff ] || ( cat tmp.out.gff > ${prefix}.gff && rm tmp.out.gff ); + touch transposable_elements_digis.gff + if [ -s digis_gff ] + then + ( cat digis_gff | sed 's/id=/ID=/g' > transposable_elements_digis.gff && rm digis_gff ) ; + cat ${prefix}.gff transposable_elements_digis.gff | bedtools sort > tmp.out.gff ; + ( cat tmp.out.gff > ${prefix}.gff && rm tmp.out.gff ); + fi """ } diff --git a/modules/generic/merge_gff.nf b/modules/generic/merge_gff.nf deleted file mode 100644 index 893d3069..00000000 --- a/modules/generic/merge_gff.nf +++ /dev/null @@ -1,17 +0,0 @@ -process GFF_MERGE { - publishDir "${params.output}/${prefix}/gffs", mode: 'copy' - label 'main' - tag "${prefix}" - - input: - tuple val(prefix), file(gff) - - output: - file "${prefix}_merged.gff" - - """ - echo \"##gff-version 3\" > ${prefix}_merged.gff ; - bedtools sort -i $gff | bedtools merge -d ${params.bedtools_merge_distance} -s -c 2,3,6,7,8,9 -o distinct,distinct,max,distinct,distinct,distinct \ - | awk 'BEGIN { FS = "\t"; OFS="\\t" } { sub(",",";",\$9) ; print \$1,\$4,\$5,\$2+1,\$3,\$6,\$7,\$8,\$9}' >> ${prefix}_merged.gff - """ -} diff --git a/modules/generic/methylation.nf b/modules/generic/methylation.nf index 9e26f0e3..b3f39115 100644 --- a/modules/generic/methylation.nf +++ b/modules/generic/methylation.nf @@ -4,7 +4,7 @@ process CALL_METHYLATION { else "methylations/$filename" } tag "${prefix}" - label 'main' + label = [ 'misc', 'process_high' ] input: tuple val(prefix), file(draft), file(reads), file(fast5) @@ -28,23 +28,48 @@ process CALL_METHYLATION { nanopolish --version > nanopolish_version.txt ; # Index Our Fast5 Data - nanopolish index -d ${fast5_dir} ${reads} ; + nanopolish \\ + index \\ + -d ${fast5_dir} \\ + ${reads} ; # Map Our Indexed Reads to Our Genome - minimap2 -a -x map-ont ${draft} ${reads} | samtools sort -T tmp -o reads_output.sorted.bam ; + minimap2 \\ + -a \\ + -x map-ont \\ + ${draft} \\ + ${reads} | \\ + samtools \\ + sort \\ + -T tmp \\ + -o reads_output.sorted.bam ; + + # Index BAM samtools index reads_output.sorted.bam ; # Call Methylation - nanopolish call-methylation -r ${reads} -b reads_output.sorted.bam -g ${draft} -t ${params.threads} > methylation_call.tsv ; + nanopolish \\ + call-methylation \\ + -r ${reads} \\ + -b reads_output.sorted.bam \\ + -g ${draft} \\ + -t $task.cpus > methylation_call.tsv ; # Calculate Methylation Frequencies /work/nanopolish/scripts/calculate_methylation_frequency.py methylation_call.tsv > methylation_frequency.tsv ; # Transform These TSV files into bedGraph - [ ! -s methylation_frequency.tsv ] || grep -v "start" methylation_frequency.tsv | \ - awk '{ print \$1 "\t" \$2 "\t" \$3 "\t" \$7 }' > methylation_frequency.bedGraph ; + [ ! -s methylation_frequency.tsv ] || \\ + grep \\ + -v "start" \\ + methylation_frequency.tsv | \\ + awk \\ + '{ print \$1 "\t" \$2 "\t" \$3 "\t" \$7 }' > methylation_frequency.bedGraph ; # Create Contig Sizes File - seqtk comp ${draft} | awk '{ print \$1 "\t" \$2 }' > chr.sizes + seqtk \\ + comp \\ + ${draft} | \\ + awk '{ print \$1 "\t" \$2 }' > chr.sizes """ } diff --git a/modules/generic/mlst.nf b/modules/generic/mlst.nf index 0a144301..2cbd8ff4 100644 --- a/modules/generic/mlst.nf +++ b/modules/generic/mlst.nf @@ -4,25 +4,31 @@ process MLST { else "MLST/$filename" } tag "${prefix}" - label 'main' + label = [ 'perl', 'process_ultralow' ] input: tuple val(prefix), file(genome) + file(bacannot_db) output: - tuple val(prefix), file("${prefix}_mlst_analysis.txt") optional true - tuple val(prefix), file("${prefix}_novel_alleles.fasta") optional true - file('mlst_version.txt') + tuple val(prefix), path("${prefix}_mlst_analysis.txt") optional true + tuple val(prefix), path("${prefix}_novel_alleles.fasta") optional true + path('mlst_version.txt') script: """ - # activate env - source activate PERL_env ; + # update tool database + mlst_dir=\$(which mlst | sed 's/bin\\/mlst//g') + cp ${bacannot_db}/mlst_db/* -r \${mlst_dir}/db/pubmlst/ + ( cd \$mlst_dir/scripts && ./mlst-make_blast_db ) # Save mlst tool version mlst --version > mlst_version.txt ; - # Run mlst - mlst --quiet --novel ${prefix}_novel_alleles.fasta $genome > ${prefix}_mlst_analysis.txt + # run mlst + mlst \\ + --quiet \\ + --novel ${prefix}_novel_alleles.fasta \\ + $genome > ${prefix}_mlst_analysis.txt """ } diff --git a/modules/generic/ncbi_protein.nf b/modules/generic/ncbi_protein.nf new file mode 100644 index 00000000..260a1be5 --- /dev/null +++ b/modules/generic/ncbi_protein.nf @@ -0,0 +1,21 @@ +process GET_NCBI_PROTEIN { + label = [ 'misc', 'process_ultralow' ] + + input: + file(ncbi_accs) + + output: + path("ncbi_protein.faa") + + script: + """ + # download and format ncbi protein entries for custom blastp + for accession in \$(cat ${ncbi_accs}) ; do \\ + esearch -db protein -query "\${accession}" | \\ + efetch -format gp >> ncbi_protein.gbk; \\ + done + + # convert to formatted fasta + gbk2faa.py ncbi_protein.gbk > ncbi_protein.faa + """ +} diff --git a/modules/generic/prokka.nf b/modules/generic/prokka.nf index e6910681..f411c3bb 100644 --- a/modules/generic/prokka.nf +++ b/modules/generic/prokka.nf @@ -5,39 +5,62 @@ process PROKKA { else null } tag "${prefix}" - label 'main' + label = [ 'perl', 'process_medium' ] input: tuple val(prefix), val(entrypoint), file(sread1), file(sread2), file(sreads), file(lreads), val(lr_type), file(fast5), file(assembly), val(resfinder_species) + file(bacannot_db) output: // Grab all outputs - file "annotation" + path("annotation") // Outputs must be linked to each prefix (tag) - tuple val(prefix), file("annotation/${prefix}.gff") // annotation in gff format - tuple val(prefix), file("annotation/${prefix}.gbk") // annotation in gbk format - tuple val(prefix), file("annotation/${prefix}.fna") // renamed genome - tuple val(prefix), file("annotation/${prefix}.faa") // gene aa sequences - tuple val(prefix), file("annotation/${prefix}.ffn") // gene nt sequences - tuple val(prefix), file("annotation/${prefix}.fna"), file("${lreads}"), file("${fast5}") // For methylation calling - tuple val(prefix), file("annotation/${prefix}.fna"), val("${resfinder_species}") // For resfinder - tuple val(prefix), file("annotation/${prefix}.txt") // prokka stats - file('prokka_version.txt') // Save prokka version + tuple val(prefix), path("annotation/${prefix}.gff") + tuple val(prefix), path("annotation/${prefix}.gbk") + tuple val(prefix), path("annotation/${prefix}.fna") + tuple val(prefix), path("annotation/${prefix}.faa") + tuple val(prefix), path("annotation/${prefix}.ffn") + tuple val(prefix), path("annotation/${prefix}.fna"), path("${lreads}"), path("${fast5}") + tuple val(prefix), path("annotation/${prefix}.fna"), val("${resfinder_species}") + tuple val(prefix), path("annotation/${prefix}.txt") + path('prokka_version.txt') script: kingdom = (params.prokka_kingdom) ? "--kingdom ${params.prokka_kingdom}" : '' gcode = (params.prokka_genetic_code) ? "--gcode ${params.prokka_genetic_code}" : '' rnammer = (params.prokka_use_rnammer) ? "--rnammer" : '' + pgap = (params.prokka_skip_pgap) ? "" : "cp ${bacannot_db}/prokka_db/PGAP_NCBI.hmm prokka_db/hmm ;" """ - # activate env - source activate PERL_env ; - - # Save Prokka version + # save prokka version prokka -v &> prokka_version.txt ; - # Run prokka - prokka $kingdom $gcode $rnammer --outdir annotation \ - --cpus ${params.threads} --mincontiglen 200 --prefix ${prefix} \ - --genus '' --species '' --strain \"${prefix}\" $assembly + # where are default prokka dbs? + dbs_dir=\$(prokka --listdb 2>&1 >/dev/null | grep "databases in" | cut -f 4 -d ":" | tr -d " ") ; + + # get hmms that shall be used + cp -r \$dbs_dir prokka_db + cp ${bacannot_db}/prokka_db/TIGRFAMs_15.0.hmm prokka_db/hmm + ${pgap} + + # hmmpress + ( cd prokka_db/hmm/ ; for i in *.hmm ; do hmmpress -f \$i ; done ) + + # run prokka + prokka \\ + --dbdir prokka_db \\ + $kingdom \\ + $gcode \\ + $rnammer \\ + --outdir annotation \\ + --cpus $task.cpus \\ + --mincontiglen 200 \\ + --prefix ${prefix} \\ + --genus '' \\ + --species '' \\ + --strain \"${prefix}\" \\ + $assembly + + # remove tmp dir to gain space + rm -r prokka_db """ } diff --git a/modules/generic/reports.nf b/modules/generic/reports.nf index 3bcfb4d7..504b1ebf 100644 --- a/modules/generic/reports.nf +++ b/modules/generic/reports.nf @@ -1,18 +1,11 @@ process REPORT { publishDir "${params.output}/${prefix}/report_files", mode: 'copy' - label 'renv' + label = [ 'renv', 'process_medium' ] tag "${prefix}" input: - tuple val(prefix), file(gff), file(draft), file("prokka_gff"), file(mlst), file(barrnap), - file(gc_bedGraph), file(gc_chrSizes), file(kofamscan), file(vfdb_blastn), file(victors_blastp), - file(amrfinder), file(resfinder_gff), file(rgi), file(iceberg_blastp), file(phast_blastp), file(phigaro_bed), - file(genomic_islands), file("methylation"), file("chr.sizes"), file(phispy_tsv), - file(digIS), file(antiSMASH), file(rgi_parsed), file(rgi_heatmap), - file(argminer_out), file(iceberg_blastn), file(plasmids_tsv), file(resfinder_tab), - file(resfinder_point), file(resfinder_phenotable), file(gi_image), file(phigaro_txt), - file(platon_tsv), file(prokka_stats), file(keggsvg), file(refseq_masher_txt) - + tuple val(prefix), file(prokka_stats), file(gff), file(barrnap), file(mlst), file(keggsvg), file(refseq_masher_txt), file(amrfinder), file(rgi), file(rgi_parsed), file(rgi_heatmap), file(argminer_out), file(resfinder_tab), file(resfinder_point), file(resfinder_phenotable), file(vfdb_blastn), file(victors_blastp), file(phigaro_txt), file(phispy_tsv), file(iceberg_blastp), file(iceberg_blastn), file(plasmids_tsv), file(platon_tsv), file(gi_image), file(phast_blastp), file(digIS) + output: file '*.html' diff --git a/modules/generic/sequenceserver.nf b/modules/generic/sequenceserver.nf index 0460a66e..06e12e58 100644 --- a/modules/generic/sequenceserver.nf +++ b/modules/generic/sequenceserver.nf @@ -1,7 +1,8 @@ process SEQUENCESERVER { publishDir "${params.output}/${prefix}/SequenceServerDBs", mode: 'copy' tag "${prefix}" - label 'main' + label = [ 'server', 'process_ultralow' ] + input: tuple val(prefix), file(genome), file(genes), file(proteins) diff --git a/modules/prophages/phast.nf b/modules/prophages/phast.nf index 13a50c5f..e91fa39b 100644 --- a/modules/prophages/phast.nf +++ b/modules/prophages/phast.nf @@ -1,23 +1,29 @@ process PHAST { publishDir "${params.output}/${prefix}/prophages/phast_db", mode: 'copy' tag "${prefix}" - label 'main' + label = [ 'misc', 'process_low' ] input: tuple val(prefix), file(genes) + file(bacannot_db) output: // Outputs must be linked to each prefix (tag) - tuple val(prefix), file("${prefix}_phast_blastp_onGenes.summary.txt") - tuple val(prefix), file("${prefix}_phast_blastp_onGenes.txt") - file('*.txt') // Grab summaries + tuple val(prefix), path("${prefix}_phast_blastp_onGenes.summary.txt") + tuple val(prefix), path("${prefix}_phast_blastp_onGenes.txt") + path('*.txt') script: """ - # With predicted gene sequences - - run_blasts.py blastp --query $genes --db /work/dbs/phast/diamond.dmnd --minid ${params.blast_MGEs_minid} \ - --mincov ${params.blast_MGEs_mincov} --threads ${params.threads} --out ${prefix}_phast_blastp_onGenes.txt --2way | \ + # PHAST has protein database + run_blasts.py \\ + blastp \\ + --query $genes \\ + --db ${bacannot_db}/phast_db/diamond.dmnd \\ + --minid ${params.blast_MGEs_minid} \\ + --mincov ${params.blast_MGEs_mincov} \\ + --threads $task.cpus \\ + --out ${prefix}_phast_blastp_onGenes.txt --2way | \\ sed -e 's/PRODUCT/PHAST_ID/g' > ${prefix}_phast_blastp_onGenes.summary.txt ; """ } diff --git a/modules/prophages/phigaro.nf b/modules/prophages/phigaro.nf index 2f20bfae..318bc9d9 100644 --- a/modules/prophages/phigaro.nf +++ b/modules/prophages/phigaro.nf @@ -5,32 +5,51 @@ process PHIGARO { else "prophages/phigaro/$filename" } tag "${prefix}" - label 'main' + label = [ 'python', 'process_medium' ] input: tuple val(prefix), file("assembly.fasta") + file(bacannot_db) output: // Outputs must be linked to each prefix (tag) - tuple val(prefix), file("${prefix}_phigaro.tsv") - tuple val(prefix), file("${prefix}_phigaro.bed") - tuple val(prefix), file("${prefix}_phigaro.html") optional true - file('phigaro_version.txt') + tuple val(prefix), path("${prefix}_phigaro.tsv") + tuple val(prefix), path("${prefix}_phigaro.bed") + tuple val(prefix), path("${prefix}_phigaro.html") optional true + path('phigaro_version.txt') script: """ - # Get tool version + # activate env + source activate phigaro + + # get tool version phigaro -V > phigaro_version.txt ; - # Run phigaro - phigaro -f assembly.fasta --config /work/phigaro_config.yml -t ${params.threads} \ - -e html tsv -o out.phg --delete-shorts -p --not-open ; + # create new config to properly load database + cp \$(which config.yml) ./custom_config.yml ; + sed -i "s|CHANGE_PVOG|${bacannot_db}/phigaro_db/allpvoghmms|" ./custom_config.yml ; + HMM_BIN=\$(which hmmsearch) ; + sed -i "s|CHANGE_HMMSEARCH|\$HMM_BIN|" ./custom_config.yml ; + PRODIGAL_BIN=\$(which prodigal) ; + sed -i "s|CHANGE_PRODIGAL|\$PRODIGAL_BIN|" ./custom_config.yml ; - # Change names + # run phigaro + phigaro \\ + -f assembly.fasta \\ + --config ./custom_config.yml \\ + -t $task.cpus \\ + -e html tsv \\ + -o out.phg \\ + --delete-shorts \\ + -p \\ + --not-open ; + + # change names [ ! -s out.phg/assembly.phigaro.tsv ] || mv out.phg/assembly.phigaro.tsv ${prefix}_phigaro.tsv ; [ ! -s out.phg/assembly.phigaro.html ] || mv out.phg/assembly.phigaro.html ${prefix}_phigaro.html ; - # Create BED + # create BED grep -v "taxonomy" ${prefix}_phigaro.tsv | \ awk 'BEGIN { FS = "\t"; OFS="\\t" } { print \$1,\$2,\$3 }' > ${prefix}_phigaro.bed """ diff --git a/modules/prophages/phispy.nf b/modules/prophages/phispy.nf index 17e8412b..180c1045 100644 --- a/modules/prophages/phispy.nf +++ b/modules/prophages/phispy.nf @@ -5,22 +5,27 @@ process PHISPY { else null } tag "${prefix}" - label 'main' + label = [ 'python', 'process_medium' ] input: tuple val(prefix), file(input) output: - tuple val(prefix), file("PhiSpy") - tuple val(prefix), file("PhiSpy/prophage.tsv") - tuple val(prefix), file("phispy_version.txt") + tuple val(prefix), path("PhiSpy") + tuple val(prefix), path("PhiSpy/prophage.tsv") + tuple val(prefix), path("phispy_version.txt") script: """ - # Get tool version + # get tool version PhiSpy.py -v > phispy_version.txt ; - # Run phispy - PhiSpy.py -o PhiSpy $input --color --output_choice 127 --threads ${params.threads} + # run phispy + PhiSpy.py \\ + -o PhiSpy \\ + $input \\ + --color \\ + --output_choice 127 \\ + --threads $task.cpus """ } diff --git a/modules/resistance/amrfinder.nf b/modules/resistance/amrfinder.nf index 1613ab23..9d349ef2 100644 --- a/modules/resistance/amrfinder.nf +++ b/modules/resistance/amrfinder.nf @@ -4,10 +4,11 @@ process AMRFINDER { else "resistance/AMRFinderPlus/$filename" } tag "${prefix}" - label 'main' + label = [ 'misc', 'process_medium' ] input: tuple val(prefix), file(proteins) + file(bacannot_db) output: // Outputs must be linked to each prefix (tag) @@ -17,16 +18,29 @@ process AMRFINDER { file("amrfinder_version.txt") script: + resistance_minid = params.blast_resistance_minid / 100.00 + resistance_mincov = params.blast_resistance_mincov / 100.00 """ # Get tool version amrfinder --version > amrfinder_version.txt ; - # Run amrfinder - CONDA_PREFIX=/opt/conda - amrfinder -p $proteins --plus -o AMRFinder_complete.tsv --threads ${params.threads} \ - --ident_min \$(echo "scale=2; ${params.blast_resistance_minid}/100" | bc -l ) \ - --coverage_min \$(echo "scale=2; ${params.blast_resistance_mincov}/100" | bc -l ) \ - --name ${prefix} --protein_output ${prefix}_args.faa --database /opt/conda/share/amrfinderplus/data/latest ; - awk -F '\t' '{ if (\$3 != "") { print } }' AMRFinder_complete.tsv | grep -v "VIRULENCE" > AMRFinder_resistance-only.tsv ; + # run amrfinder + amrfinder \\ + -p $proteins \\ + --plus \\ + -o AMRFinder_complete.tsv \\ + --threads $task.cpus \\ + --ident_min ${resistance_minid} \\ + --coverage_min ${resistance_mincov} \\ + --name ${prefix} \\ + --protein_output ${prefix}_args.faa \\ + --database ${bacannot_db}/amrfinder_db/latest + + # filter results + awk \\ + -F '\t' \\ + '{ if (\$3 != "") { print } }' \\ + AMRFinder_complete.tsv | \\ + grep -v "VIRULENCE" > AMRFinder_resistance-only.tsv ; """ } diff --git a/modules/resistance/argminer.nf b/modules/resistance/argminer.nf index 7bfc3505..884d8857 100644 --- a/modules/resistance/argminer.nf +++ b/modules/resistance/argminer.nf @@ -1,10 +1,11 @@ process ARGMINER { publishDir "${params.output}/${prefix}/resistance/ARGMiner", mode: 'copy' tag "${prefix}" - label 'main' + label = [ 'misc', 'process_low' ] input: tuple val(prefix), file(genes) + file(bacannot_db) output: // Outputs must be linked to each prefix (tag) @@ -13,9 +14,15 @@ process ARGMINER { script: """ - # With predicted gene sequences - - run_blasts.py blastp --query $genes --db /work/dbs/ARGMiner/diamond.dmnd --minid ${params.blast_resistance_minid} \ - --mincov ${params.blast_resistance_mincov} --threads ${params.threads} --out ${prefix}_argminer_blastp_onGenes.txt --2way > ${prefix}_argminer_blastp_onGenes.summary.txt ; + # run blast with argminer db + run_blasts.py \\ + blastp \\ + --query $genes \\ + --db ${bacannot_db}/argminer_db/diamond.dmnd \\ + --minid ${params.blast_resistance_minid} \\ + --mincov ${params.blast_resistance_mincov} \\ + --threads $task.cpus \\ + --out ${prefix}_argminer_blastp_onGenes.txt \\ + --2way > ${prefix}_argminer_blastp_onGenes.summary.txt ; """ } diff --git a/modules/resistance/resfinder.nf b/modules/resistance/resfinder.nf index ffa5a470..091c35fc 100644 --- a/modules/resistance/resfinder.nf +++ b/modules/resistance/resfinder.nf @@ -1,10 +1,11 @@ process RESFINDER { publishDir "${params.output}/${prefix}/resistance", mode: 'copy' tag "${prefix}" - label 'main' + label = [ 'misc', 'process_medium' ] input: tuple val(prefix), file(genome), val(resfinder_species) + file(bacannot_db) output: // Outputs must be linked to each prefix (tag) @@ -18,45 +19,64 @@ process RESFINDER { (resfinder_species && resfinder_species != "missing_resfinder") script: + resistance_minid = params.blast_resistance_minid / 100.00 + resistance_mincov = params.blast_resistance_mincov / 100.00 if (resfinder_species.toLowerCase() != "other") """ + # Make databases available + ln -rs ${bacannot_db}/resfinder_db/db_* \$(dirname \$(which run_resfinder.py)) + # Run resfinder acquired resistance - /work/resfinder/run_resfinder.py --inputfasta $genome -o resfinder --species \"${resfinder_species}\" \ - --min_cov \$(echo "scale=2; ${params.blast_resistance_mincov}/100" | bc -l ) \ - --threshold \$(echo "scale=2; ${params.blast_resistance_minid}/100" | bc -l ) \ - --db_path_res /work/resfinder/db_resfinder --acquired || true ; + run_resfinder.py \\ + --inputfasta $genome \\ + -o resfinder \\ + --species \"${resfinder_species}\" \\ + --min_cov ${resistance_mincov} \\ + --threshold ${resistance_minid} \\ + --acquired ; # Fix name of pheno table - mv resfinder/pheno_table.txt resfinder/args_pheno_table.txt ; + mv resfinder/pheno_table.txt resfinder/args_pheno_table.txt &> /dev/null ; # Run resfinder pointfinder resistance - /work/resfinder/run_resfinder.py --inputfasta $genome -o resfinder --species \"${resfinder_species}\" \ - --min_cov \$(echo "scale=2; ${params.blast_resistance_mincov}/100" | bc -l ) \ - --threshold \$(echo "scale=2; ${params.blast_resistance_minid}/100" | bc -l ) \ - --db_path_point /work/resfinder/db_pointfinder --point || true ; + run_resfinder.py \\ + --inputfasta $genome \\ + -o resfinder \\ + --species \"${resfinder_species}\" \\ + --min_cov ${resistance_mincov} \\ + --threshold ${resistance_minid} \\ + --point ; # Fix name of pheno table - mv resfinder/pheno_table.txt resfinder/mutation_pheno_table.txt &> /dev/null || true ; + mv resfinder/pheno_table.txt resfinder/mutation_pheno_table.txt &> /dev/null ; # Convert to GFF - resfinder2gff.py -i resfinder/ResFinder_results_tab.txt > resfinder/results_tab.gff ; + resfinder2gff.py \\ + -i resfinder/ResFinder_results_tab.txt > resfinder/results_tab.gff ; """ else if (resfinder_species.toLowerCase() == "other") """ + # Make databases available + ln -rs ${bacannot_db}/resfinder_db/db_* \$(dirname \$(which run_resfinder.py)) + # Run resfinder acquired resistance - /work/resfinder/run_resfinder.py --inputfasta $genome -o resfinder \ - --min_cov \$(echo "scale=2; ${params.blast_resistance_mincov}/100" | bc -l ) \ - --threshold \$(echo "scale=2; ${params.blast_resistance_minid}/100" | bc -l ) \ - --db_path_res /work/resfinder/db_resfinder --acquired || true ; + run_resfinder.py \\ + --inputfasta $genome \\ + -o resfinder \\ + --species \"${resfinder_species}\" \\ + --min_cov ${resistance_mincov} \\ + --threshold ${resistance_minid} \\ + --acquired ; # Fix name of pheno table - mv resfinder/pheno_table.txt resfinder/args_pheno_table.txt ; + mv resfinder/pheno_table.txt resfinder/args_pheno_table.txt &> /dev/null ; # touch pointfinder touch resfinder/PointFinder_results.txt ; # Convert to GFF - resfinder2gff.py -i resfinder/ResFinder_results_tab.txt > resfinder/results_tab.gff ; + resfinder2gff.py \\ + -i resfinder/ResFinder_results_tab.txt > resfinder/results_tab.gff ; """ } diff --git a/modules/resistance/rgi_annotation.nf b/modules/resistance/rgi_annotation.nf index ac9a229f..d064903c 100644 --- a/modules/resistance/rgi_annotation.nf +++ b/modules/resistance/rgi_annotation.nf @@ -5,46 +5,66 @@ process CARD_RGI { else "resistance/RGI/$filename" } tag "${prefix}" - label 'main' + label = [ 'python', 'process_medium' ] input: - tuple val(prefix), file(input) + tuple val(prefix), path(input) + path(bacannot_db) output: // Grab all outputs - file "*RGI_${prefix}*" optional true + path "*RGI_${prefix}*" optional true // Outputs must be linked to each prefix (tag) - tuple val(prefix), file("Parsed_RGI_${prefix}_hits.txt") optional true - tuple val(prefix), file("RGI_${prefix}.txt") optional true - tuple val(prefix), file("heatmap/RGI*heatmap*.png") optional true - file("heatmap") optional true - file("*_version.txt") + tuple val(prefix), path("Parsed_RGI_${prefix}_hits.txt") optional true + tuple val(prefix), path("RGI_${prefix}.txt") optional true + tuple val(prefix), path("heatmap/RGI*heatmap*.png") optional true + path("heatmap") optional true + path("*_version.txt") script: """ # activate env - source activate PY36_env ; + source activate rgi + + # load database + rgi load --card_json ${bacannot_db}/card_db/card.json --local - # Get tool version + # get tool version rgi main --version > rgi_version.txt ; - rgi database --version > card_db_version.txt ; + rgi database --version --local > card_db_version.txt ; - # Execute RGI - rgi main --input_sequence $input --output_file RGI_${prefix}_unfiltered --input_type protein \ - --num_threads ${params.threads} --exclude_nudge --clean ; + # execute RGI + rgi main \\ + --local \\ + --input_sequence $input \\ + --output_file RGI_${prefix}_unfiltered \\ + --input_type protein \\ + --num_threads $task.cpus \\ + --exclude_nudge \\ + --clean ; - ## Filtering by identity - awk 'BEGIN { FS = "\\t"; OFS="\\t" } { if (\$10 >= ${params.blast_resistance_minid}) print }' RGI_${prefix}_unfiltered.txt > ./RGI_${prefix}.txt + ## filtering by identity + awk ' + BEGIN { FS = "\\t"; OFS="\\t" } + { if (\$10 >= ${params.blast_resistance_minid}) print } + ' RGI_${prefix}_unfiltered.txt > ./RGI_${prefix}.txt - ## Parse RGI results for reports - cat RGI_${prefix}.txt | tail -n +2 | \ - awk 'BEGIN { FS = "\\t"; OFS="\\t" } ; { split(\$1,a," "); print a[1],\$6,\$9,\$11,\$15,\$16,\$17 }' > Parsed_RGI_${prefix}_hits.txt + ## parse RGI results for reports + cat RGI_${prefix}.txt | \\ + tail -n +2 | \\ + awk ' + BEGIN { FS = "\\t"; OFS="\\t" } + { split(\$1,a," "); print a[1],\$6,\$9,\$11,\$15,\$16,\$17 } + ' > Parsed_RGI_${prefix}_hits.txt - # Draw heatmap for single sample - [ \$(wc -l RGI_${prefix}.txt | cut -d " " -f 1) -eq 1 ] || mkdir -p heatmap ; - [ \$(wc -l RGI_${prefix}.txt | cut -d " " -f 1) -eq 1 ] || cp RGI_${prefix}_unfiltered.json heatmap/${prefix}.json ; - [ \$(wc -l RGI_${prefix}.txt | cut -d " " -f 1) -eq 1 ] || rgi heatmap --input ./heatmap -cat drug_class -d text ; - [ \$(wc -l RGI_${prefix}.txt | cut -d " " -f 1) -eq 1 ] || rm heatmap/${prefix}.json - [ \$(wc -l RGI_${prefix}.txt | cut -d " " -f 1) -eq 1 ] || mv RGI*heatmap* heatmap ; + # draw heatmap for single sample + if [ \$(wc -l RGI_${prefix}.txt | cut -d " " -f 1) -gt 1 ] + then + mkdir -p heatmap ; + cp RGI_${prefix}_unfiltered.json heatmap/${prefix}.json ; + rgi heatmap --input ./heatmap -cat drug_class -d text ; + rm heatmap/${prefix}.json ; + mv RGI*heatmap* heatmap ; + fi """ } diff --git a/modules/virulence/vfdb.nf b/modules/virulence/vfdb.nf index 182cf2b9..841cd676 100644 --- a/modules/virulence/vfdb.nf +++ b/modules/virulence/vfdb.nf @@ -1,23 +1,30 @@ process VFDB { publishDir "${params.output}/${prefix}/virulence/vfdb", mode: 'copy' tag "${prefix}" - label 'main' + label = [ 'misc', 'process_low' ] input: tuple val(prefix), file(genes) + file(bacannot_db) output: // Outputs must be linked to each prefix (tag) - tuple val(prefix), file("${prefix}_vfdb_blastn_onGenes.summary.txt") - tuple val(prefix), file("${prefix}_vfdb_blastn_onGenes.txt") - file('*.txt') // Grab summaries + tuple val(prefix), path("${prefix}_vfdb_blastn_onGenes.summary.txt") + tuple val(prefix), path("${prefix}_vfdb_blastn_onGenes.txt") + path('*.txt') script: """ - # With predicted gene sequences - - run_blasts.py blastn --query $genes --db /work/dbs/vfdb/sequences --minid ${params.blast_virulence_minid} \ - --mincov ${params.blast_virulence_mincov} --threads ${params.threads} --out ${prefix}_vfdb_blastn_onGenes.txt --2way | \ + # VFDB has nucleotide database + run_blasts.py \\ + blastn \\ + --query $genes \\ + --db ${bacannot_db}/vfdb_db/sequences \\ + --minid ${params.blast_virulence_minid} \\ + --mincov ${params.blast_virulence_mincov} \\ + --threads $task.cpus \\ + --out ${prefix}_vfdb_blastn_onGenes.txt \\ + --2way | \\ sed -e 's/ACCESSION/VFDB_ID/g' > ${prefix}_vfdb_blastn_onGenes.summary.txt ; """ } diff --git a/modules/virulence/victors.nf b/modules/virulence/victors.nf index 8f17f9d6..e51bf4c8 100644 --- a/modules/virulence/victors.nf +++ b/modules/virulence/victors.nf @@ -1,23 +1,30 @@ process VICTORS { publishDir "${params.output}/${prefix}/virulence/victors", mode: 'copy' tag "${prefix}" - label 'main' + label = [ 'misc', 'process_low' ] input: tuple val(prefix), file(genes) + file(bacannot_db) output: // Outputs must be linked to each prefix (tag) - tuple val(prefix), file("${prefix}_victors_blastp_onGenes.summary.txt") - tuple val(prefix), file("${prefix}_victors_blastp_onGenes.txt") - file('*.txt') // Grab summaries + tuple val(prefix), path("${prefix}_victors_blastp_onGenes.summary.txt") + tuple val(prefix), path("${prefix}_victors_blastp_onGenes.txt") + path('*.txt') script: """ - # With predicted gene sequences - - run_blasts.py blastp --query $genes --db /work/dbs/victors/diamond.dmnd --minid ${params.blast_virulence_minid} \ - --mincov ${params.blast_virulence_mincov} --threads ${params.threads} --out ${prefix}_victors_blastp_onGenes.txt --2way | \ + # Victors has protein database + run_blasts.py \\ + blastp \\ + --query $genes \\ + --db ${bacannot_db}/victors_db/diamond.dmnd \\ + --minid ${params.blast_virulence_minid} \\ + --mincov ${params.blast_virulence_mincov} \\ + --threads $task.cpus \\ + --out ${prefix}_victors_blastp_onGenes.txt \\ + --2way | \\ sed -e 's/PRODUCT/VICTORS_ID/g' > ${prefix}_victors_blastp_onGenes.summary.txt ; """ } diff --git a/nextflow.config b/nextflow.config index 4b8618fa..0ac9cd49 100644 --- a/nextflow.config +++ b/nextflow.config @@ -1,213 +1,141 @@ /* - * Configuration File to run fmalmeida/bacannot pipeline. - */ - -/* - - Required Parameters. - This parameters must always be set - -*/ -params { - - /* - - INPUT SAMPLESHEET - - */ - -// Input data mus be given inside a well-formated samplesheet. -// We provide a well-formated example at: https://github.com/fmalmeida/test_datasets/raw/main/bacannot_testing_samplesheets/samplesheet.yaml -// -// Please read the example samplesheet so you can understand how to properly fill it. -// -// It is also documented in the main manual: https://bacannot.readthedocs.io/en/latest/samplesheet.html - input = '' - - /* - - GENERAL PARAMETERS - - */ - -// Main output folder name. More than one bacannot annotation can be redirected -// to the same output parameter. It is good to keep related annotations together. -// A subdirectory with the filename will be created inside this directory. - output = 'outdir' - -// Number of threads to be used by each software - threads = 2 - -// Number of jobs to run in parallel. Be aware that each job (in parallel) can consume -// N threads (set above). Be sure to carefully check your resources before augmenting -// this parameter. For example: parallel_jobs = 2 + threads = 5 can consume until 10 -// threads at once. -// -// If not given, let's nextflow automatically decide it, which is the default. - parallel_jobs = - -// Number of minimum overlapping base pairs required for merging -// Negative values, such as -20, means the number of required overlapping bases for merging. -// Positive values, such as 5, means the maximum distance accepted between features for merging. -// By default (if Blank), this process is not executed. For execution the user needs to provide a value - bedtools_merge_distance = '' - - /* - * Prokka optional parameters - */ - -// Annotation mode: Archaea|Bacteria|Mitochondria|Viruses (default 'Bacteria') - prokka_kingdom = '' - -// Translation table code. Must be set if the above is set. -// Example: params.prokka_genetic.code = 11 - prokka_genetic_code = false - -// Use rnammer instead of Barrnap? False or True? - prokka_use_rnammer = false - - /* - * Resfinder species panel - */ - -// Species panel to be used when annotating with Resfinder. -// It sets a default for all samples in the samplesheet. -// If a sample has a different value inside the samplesheet it will overwrite the value for that sample -// If blank it will not be executed. -// It must be identical (without the *) as written in their webservice https://cge.cbs.dtu.dk/services/ResFinder/. -// E.g. 'Escherichia coli'; 'Klebsiella' ... - resfinder_species = '' - - /* - * Handling the execution of processes - * - * By default, all processes are executed. These - * parameters tells wheter NOT to run a process. - * - * Which means: false will allow its execution - * while true will create a barrier and skip a process. - +======================================================================================== + fmalmeida/bacannot Nextflow config file +======================================================================================== + Default config options for all compute environments +---------------------------------------------------------------------------------------- */ -// (NOT RUN?) Plasmids annotation (controls PlasmidFinder execution) - skip_plasmid_search = false - -// (NOT RUN?) General Virulence annotation (controls VFDB and Victors scan) - skip_virulence_search = false - -// (NOT RUN?) Resistance annotation (controls AMRfinder and RGI) - skip_resistance_search = false - -// (NOT RUN?) ICE annotation (controls ICEberg annotation) - skip_iceberg_search = false - -// (NOT RUN?) prophage annotation (controls PHAST and Phigaro) - skip_prophage_search = false - -// (NOT RUN?) KO (KEGG Orthology) annotation - skip_kofamscan = false - -// (NOT RUN?) antiSMASH (secondary metabolite) annotation - skip_antismash = false - /* - * Custom databases can be used to annotate additional genes in the genome. - * It runs a BLASTn alignment against the genome, therefore, the custom database - * MUST be a nucleotide fasta of genes. More than one custom database can be given - * separated by commas. Gene headers must be properly formated as described in the - * documentation: https://bacannot.readthedocs.io/en/latest/custom-db.html - */ -// Custom nucleotide fastas - custom_db = '' +// loading required / default pipeline parameters +includeConfig 'conf/defaults.config' - /* - * Annotation thresholds to be used when scanning specific databases and features - * Select a combination of thresholds that is meaningful for your data. Some of - * the databases are protein-only, others are nucleotide only. We cannnot control - * that and the databases will be scanned either if blastp or blastn using these - * thresholds described here. - */ - -// Identity threshold for plasmid annotation - plasmids_minid = 90 - -// Coverage threshold for plasmid annotation - plasmids_mincov = 60 - -// Virulence genes identity threshold - blast_virulence_minid = 90 - -// Virulence genes coverage threshold - blast_virulence_mincov = 80 - -// AMR genes identity threshold - blast_resistance_minid= 90 - -// AMR genes coverage threshold - blast_resistance_mincov = 80 - -// MGEs (ICEs and Phages) identity threshold - blast_MGEs_minid = 65 +// Global default params, used in configs +params { -// MGEs (ICEs and Phages) coverage threshold - blast_MGEs_mincov = 65 + // Boilerplate options + tracedir = "${params.output}/pipeline_info" + plaintext_email = false + monochrome_logs = false + help = false + get_config = false + get_samplesheet = false + validate_params = true + show_hidden_params = false + schema_ignore_params = 'enable_conda,monochrome_logs,plaintext_email' + enable_conda = false + monochrome_logs = false + + // Config options + custom_config_version = 'master' + custom_config_base = "https://raw.githubusercontent.com/nf-core/configs/${params.custom_config_version}" + config_profile_description = null + config_profile_contact = null + config_profile_url = null + config_profile_name = null -// User's custom database identity threashold - blast_custom_minid = 0 +} -// User's custom database coverage threashold - blast_custom_mincov = 0 +// Load base.config (contains some label resources configurarion) +includeConfig 'conf/base.config' +// Load nf-core custom profiles from different Institutions +try { + includeConfig "${params.custom_config_base}/nfcore_custom.config" +} catch (Exception e) { + System.err.println("WARNING: Could not load nf-core/config profiles: ${params.custom_config_base}/nfcore_custom.config") } /* - Configuration of Nextflow Scopes + Setting up NF profiles + To use different profiles and executors + please read more at: https://www.nextflow.io/docs/latest/config.html#config-profiles */ +profiles { + standard { includeConfig 'conf/standard.config' } + test { includeConfig 'conf/test_profile.config' } + quicktest { includeConfig 'conf/small_dataset_test_profile.config' } + docker { includeConfig 'conf/docker.config' } + singularity { includeConfig 'conf/singularity.config' } + awsBatch { includeConfig 'conf/aws.config' } + // conda { includeConfig 'conf/conda.config' } + // gls { includeConfig 'conf/gcp.config' } + // azureBatch { includeConfig 'conf/azure.config' } +} -//Trace Report -trace { - enabled = false - file = "${params.output}" + "/annotation_pipeline_trace.txt" - fields = 'task_id,name,status,exit,realtime,cpus,%cpu,memory,%mem,rss' +// Export these variables to prevent local Python/R libraries from conflicting with those in the container +// The JULIA depot path has been adjusted to a fixed path `/usr/local/share/julia` that needs to be used for packages in the container. +// See https://apeltzer.github.io/post/03-julia-lang-nextflow/ for details on that. Once we have a common agreement on where to keep Julia packages, this is adjustable. + +env { + PYTHONNOUSERSITE = 1 + R_PROFILE_USER = "/.Rprofile" + R_ENVIRON_USER = "/.Renviron" + JULIA_DEPOT_PATH = "/usr/local/share/julia" } -//Timeline Report +// Capture exit codes from upstream processes when piping +process.shell = ['/bin/bash', '-euo', 'pipefail'] + +def trace_timestamp = new java.util.Date().format( 'yyyy-MM-dd_HH-mm-ss') timeline { - enabled = false - file = "${params.output}" + "/annotation_pipeline_timeline.html" + enabled = true + file = "${params.tracedir}/bacannot_timeline_${trace_timestamp}.html" } - -//Complete Report report { - enabled = false - file = "${params.output}" + "/annotation_pipeline_nextflow_report.html" + enabled = true + file = "${params.tracedir}/bacannot_report_${trace_timestamp}.html" } - -/* - Setting up NF profiles - To use different profiles and executors - please read more at: https://www.nextflow.io/docs/latest/config.html#config-profiles -*/ - -profiles { - standard { includeConfig 'conf/standard.config' } - test { includeConfig 'conf/test_profile.config' } - quicktest { includeConfig 'conf/small_dataset_test_profile.config' } - docker { includeConfig 'conf/docker.config' } - awsBatch { includeConfig 'conf/aws.config' } - // conda { includeConfig 'conf/conda.config' } - // gls { includeConfig 'conf/gcp.config' } - // azureBatch { includeConfig 'conf/azure.config' } +trace { + enabled = true + file = "${params.tracedir}/bacannot_trace_${trace_timestamp}.txt" +} +dag { + enabled = true + file = "${params.tracedir}/bacannot_pipeline_dag_${trace_timestamp}.svg" } /* Adding manifest */ manifest { - name = "fmalmeida/bacannot" - author = "Felipe Almeida" - description = "Nextflow pipeline for bacterial genome annotation" - homePage = "https://github.com/fmalmeida/bacannot" - mainScript = "main.nf" - nextflowVersion = ">=20.10.0" - version = "3.0" -} \ No newline at end of file + name = "fmalmeida/bacannot" + author = "Felipe Almeida" + description = "Nextflow pipeline for bacterial genome annotation" + homePage = "https://github.com/fmalmeida/bacannot" + mainScript = "main.nf" + nextflowVersion = ">=20.10.0" + version = "3.1" +} + +// Function to ensure that resource requirements don't go beyond +// a maximum limit +def check_max(obj, type) { + if (type == 'memory') { + try { + if (obj.compareTo(params.max_memory as nextflow.util.MemoryUnit) == 1) + return params.max_memory as nextflow.util.MemoryUnit + else + return obj + } catch (all) { + println " ### ERROR ### Max memory '${params.max_memory}' is not valid! Using default value: $obj" + return obj + } + } else if (type == 'time') { + try { + if (obj.compareTo(params.max_time as nextflow.util.Duration) == 1) + return params.max_time as nextflow.util.Duration + else + return obj + } catch (all) { + println " ### ERROR ### Max time '${params.max_time}' is not valid! Using default value: $obj" + return obj + } + } else if (type == 'cpus') { + try { + return Math.min( obj, params.max_cpus as int ) + } catch (all) { + println " ### ERROR ### Max cpus '${params.max_cpus}' is not valid! Using default value: $obj" + return obj + } + } +} diff --git a/nextflow_schema.json b/nextflow_schema.json index eb9e744e..38d58ba7 100644 --- a/nextflow_schema.json +++ b/nextflow_schema.json @@ -1,10 +1,25 @@ { - "$schema": "https://json-schema.org/draft-07/schema", - "$id": "https://raw.githubusercontent.com//Volumes/falmeida1TB/Git_Repos/bacannot/master/nextflow_schema.json", + "$schema": "http://json-schema.org/draft-07/schema", "title": "/Volumes/falmeida1TB/Git_Repos/bacannot pipeline parameters", "description": "", "type": "object", "definitions": { + "download_databases_options": { + "title": "Download databases options", + "type": "object", + "description": "", + "default": "", + "properties": { + "get_dbs": { + "type": "boolean", + "default": false + }, + "force_update": { + "type": "boolean", + "default": false + } + } + }, "input_output_options": { "title": "Input/output options", "type": "object", @@ -18,21 +33,10 @@ "output": { "type": "string", "description": "Path for output directory", - "default": "outdir" - } - } - }, - "generic_options": { - "title": "Generic options", - "type": "object", - "fa_icon": "fas fa-file-import", - "description": "Less common options for the pipeline, typically set in a config file.", - "help_text": "These options are common to all nf-core pipelines and allow you to customise some of the core preferences for how the pipeline runs.\n\nTypically these options would be set in a Nextflow config file loaded for all pipeline runs, such as `~/.nextflow/config`.", - "properties": { - "bedtools_merge_distance": { - "type": "number", - "help_text": "Number of minimum overlapping base pairs required for merging\nNegative values, such as -20, means the number of required overlapping bases for merging.\nPositive values, such as 5, means the maximum distance accepted between features for merging.\nBy default (if Blank), this process is not executed. For execution the user needs to provide a value", - "description": "Minimum overlapping base pairs required for merging" + "default": "results" + }, + "bacannot_db": { + "type": "string" } } }, @@ -43,15 +47,17 @@ "description": "Set the top limit for requested resources for any single job.", "help_text": "If you are running on a smaller system, a pipeline step requesting more resources than are available may cause the Nextflow to stop the run with an error. These options allow you to cap the maximum resources requested by any single job so that the pipeline will run on your system.\n\nNote that you can not _increase_ the resources requested by any job using these options. For that you will need your own configuration file. See [the nf-core website](https://nf-co.re/usage/configuration) for details.", "properties": { - "threads": { + "max_cpus": { "type": "integer", - "default": 2, - "description": "Number of threads to be used by each software" + "default": 16 }, - "parallel_jobs": { - "type": "integer", - "description": "Number of jobs to run in parallel", - "help_text": "Number of jobs to run in parallel. If not given, let's nextflow automatically handle it.\n\nBe aware that each job (in parallel) can consume\nN threads (set above). Be sure to carefully check your resources before augmenting\nthis parameter. For example: parallel_jobs = 2 + threads = 5 can consume until 10\nthreads at once." + "max_memory": { + "type": "string", + "default": "20.GB" + }, + "max_time": { + "type": "string", + "default": "40.h" } } }, @@ -61,11 +67,15 @@ "description": "Sets parameters specific for prokka", "default": "", "properties": { + "prokka_skip_pgap": { + "type": "boolean", + "default": false, + "description": "Do not use PGAP hmm database in prokka annotation. Although comprehensive it increases runtime." + }, "prokka_kingdom": { "type": "string", - "description": "Prokka annotation mode", - "default": "Bacteria", - "help_text": "Select which prokka annotation mode to run", + "description": "Prokka annotation mode. Prokka defaults are for Bacteria.", + "help_text": "Select which prokka annotation mode to run.", "enum": [ "Archaea", "Bacteria", @@ -75,19 +85,14 @@ }, "prokka_genetic_code": { "type": "number", - "default": 11, - "description": "Translation table code" + "description": "Translation table code. Prokka defaults are for Bacteria." }, "prokka_use_rnammer": { "type": "boolean", "description": "Use rnammer instead of Barrnap?" } }, - "fa_icon": "fas fa-cog", - "required": [ - "prokka_kingdom", - "prokka_genetic_code" - ] + "fa_icon": "fas fa-cog" }, "resfinder_optional_process": { "title": "Resfinder optional process", @@ -113,37 +118,44 @@ "skip_antismash": { "type": "boolean", "description": "Skip (do not run) antismash?", - "help_text": "If true, the process will be skipped!" + "help_text": "If true, the process will be skipped!", + "hidden": true }, "skip_plasmid_search": { "type": "boolean", "description": "Skip (do not run) plasmidfinder?", - "help_text": "If true, the process will be skipped!" + "help_text": "If true, the process will be skipped!", + "hidden": true }, "skip_virulence_search": { "type": "boolean", "description": "Skip (do not run) virulence factors annotation?", - "help_text": "If true, the process will be skipped!" + "help_text": "If true, the process will be skipped!", + "hidden": true }, "skip_resistance_search": { "type": "boolean", "description": "Skip (do not run) resistance genes annotation?", - "help_text": "If true, the process will be skipped!" + "help_text": "If true, the process will be skipped!", + "hidden": true }, "skip_iceberg_search": { "type": "boolean", "description": "Skip (do not run) ICEs annotation?", - "help_text": "If true, the process will be skipped!" + "help_text": "If true, the process will be skipped!", + "hidden": true }, "skip_prophage_search": { "type": "boolean", "description": "Skip (do not run) prophage annotation?", - "help_text": "If true, the process will be skipped!" + "help_text": "If true, the process will be skipped!", + "hidden": true }, "skip_kofamscan": { "type": "boolean", "description": "Skip (do not run) KO annotation?", - "help_text": "If true, the process will be skipped!" + "help_text": "If true, the process will be skipped!", + "hidden": true } }, "fa_icon": "fas fa-tasks" @@ -157,66 +169,74 @@ "plasmids_minid": { "type": "number", "description": "Identity threshold for plasmid annotation", - "default": 90, + "default": 90.0, "minimum": 0, "maximum": 100, - "help_text": "Must be between 0 and 100" + "help_text": "Must be between 0 and 100", + "hidden": true }, "plasmids_mincov": { "type": "number", "description": "overage threshold for plasmid annotation", - "default": 60, + "default": 60.0, "minimum": 0, "maximum": 100, - "help_text": "Must be between 0 and 100" + "help_text": "Must be between 0 and 100", + "hidden": true }, "blast_virulence_minid": { "type": "number", "description": "Identity threshold for virulence factors annotation", - "default": 90, + "default": 90.0, "minimum": 0, "maximum": 100, - "help_text": "Must be between 0 and 100" + "help_text": "Must be between 0 and 100", + "hidden": true }, "blast_virulence_mincov": { "type": "number", "description": "overage threshold for virulence factors annotation", - "default": 90, + "default": 90.0, "minimum": 0, "maximum": 100, - "help_text": "Must be between 0 and 100" + "help_text": "Must be between 0 and 100", + "hidden": true }, "blast_resistance_minid": { "type": "number", "description": "Identity threshold for resistance genes annotation", - "default": 90, + "default": 90.0, "minimum": 0, "maximum": 100, - "help_text": "Must be between 0 and 100" + "help_text": "Must be between 0 and 100", + "hidden": true }, "blast_resistance_mincov": { "type": "number", "description": "overage threshold for resistance genes annotation", - "default": 90, + "default": 90.0, "minimum": 0, "maximum": 100, - "help_text": "Must be between 0 and 100" + "help_text": "Must be between 0 and 100", + "hidden": true }, "blast_MGEs_minid": { "type": "number", "description": "Identity threshold for ICEs and prophages annotation", - "default": 85, + "default": 85.0, "minimum": 0, "maximum": 100, - "help_text": "Must be between 0 and 100" + "help_text": "Must be between 0 and 100", + "hidden": true }, "blast_MGEs_mincov": { "type": "number", "description": "overage threshold for ICEs and prophages annotation", - "default": 85, + "default": 85.0, "minimum": 0, "maximum": 100, - "help_text": "Must be between 0 and 100" + "help_text": "Must be between 0 and 100", + "hidden": true } }, "fa_icon": "fas fa-cogs" @@ -231,25 +251,137 @@ "custom_db": { "type": "string", "description": "Path to the nucleotide FASTA", - "help_text": "Path to the (properly formatted) nucleotide FASTA file containing the user's custom database for annotation.\nMultiple FASTAs can be provided separated by comma. E.g. db1.fasta,db2.fasta,etc.\n\nThe custom database must be a gene nucleotide FASTA since BLASTn against the genome will be used for annotation.\n\nCheck out the required header format at: https://bacannot.readthedocs.io/en/latest/custom-db.html" + "help_text": "Path to the (properly formatted) nucleotide or protein FASTA file containing the user's custom database for annotation.\nMultiple FASTAs can be provided separated by comma. E.g. db1.fasta,db2.fasta,etc.\n\nCheck out the required header format at: https://bacannot.readthedocs.io/en/latest/custom-db.html", + "hidden": true + }, + "ncbi_proteins": { + "type": "string", + "description": "Path to file with NCBI protein IDs", + "hidden": true }, "blast_custom_minid": { "type": "number", - "description": "Min. identity % for the annotation using user's custom database" + "description": "Min. identity % for the annotation using user's custom database", + "default": 65.0, + "minimum": 0, + "maximum": 100, + "hidden": true }, "blast_custom_mincov": { "type": "number", - "description": "Min. gene/subject coverage % for the annotation using user's custom database" + "description": "Min. gene/subject coverage % for the annotation using user's custom database", + "default": 65.0, + "minimum": 0, + "maximum": 100, + "hidden": true + } + } + }, + "generic_options": { + "title": "Generic options", + "type": "object", + "fa_icon": "fas fa-file-import", + "description": "Less common options for the pipeline, typically set in a config file.", + "help_text": "These options are common to all nf-core pipelines and allow you to customise some of the core preferences for how the pipeline runs.\n\nTypically these options would be set in a Nextflow config file loaded for all pipeline runs, such as `~/.nextflow/config`.", + "properties": { + "help": { + "type": "boolean", + "description": "Display help text.", + "fa_icon": "fas fa-question-circle" + }, + "get_config": { + "type": "boolean", + "description": "Download template config for parameters", + "fa_icon": "fas fa-question-circle" + }, + "get_samplesheet": { + "type": "boolean", + "fa_icon": "fas fa-question-circle", + "description": "Download template samplesheet" + }, + "bedtools_merge_distance": { + "type": "number", + "help_text": "Number of minimum overlapping base pairs required for merging\nNegative values, such as -20, means the number of required overlapping bases for merging.\nPositive values, such as 5, means the maximum distance accepted between features for merging.\nBy default (if Blank), this process is not executed. For execution the user needs to provide a value", + "description": "Minimum overlapping base pairs required for merging" + }, + "tracedir": { + "type": "string", + "description": "Directory to keep pipeline Nextflow logs and reports.", + "default": "${params.output}/pipeline_info", + "fa_icon": "fas fa-cogs", + "hidden": true + }, + "validate_params": { + "type": "boolean", + "description": "Boolean whether to validate parameters against the schema at runtime", + "default": true, + "fa_icon": "fas fa-check-square", + "hidden": true + }, + "show_hidden_params": { + "type": "boolean", + "fa_icon": "far fa-eye-slash", + "description": "Show all params when using `--help`", + "hidden": true, + "help_text": "By default, parameters set as _hidden_ in the schema are not shown on the command line when a user runs with `--help`. Specifying this option will tell the pipeline to show all parameters." + } + } + }, + "institutional_config_options": { + "title": "Institutional config options", + "type": "object", + "fa_icon": "fas fa-university", + "description": "Parameters used to describe centralised config profiles. These should not be edited.", + "help_text": "The centralised nf-core configuration profiles use a handful of pipeline parameters to describe themselves. This information is then printed to the Nextflow log when you run a pipeline. You should not need to change these values when you run a pipeline.", + "properties": { + "custom_config_version": { + "type": "string", + "description": "Git commit id for Institutional configs.", + "default": "master", + "hidden": true, + "fa_icon": "fas fa-users-cog" + }, + "custom_config_base": { + "type": "string", + "description": "Base directory for Institutional configs.", + "default": "https://raw.githubusercontent.com/nf-core/configs/master", + "hidden": true, + "help_text": "If you're running offline, Nextflow will not be able to fetch the institutional config files from the internet. If you don't need them, then this is not a problem. If you do need them, you should download the files from the repo and tell Nextflow where to find them with this parameter.", + "fa_icon": "fas fa-users-cog" + }, + "config_profile_name": { + "type": "string", + "description": "Institutional config name.", + "hidden": true, + "fa_icon": "fas fa-users-cog" + }, + "config_profile_description": { + "type": "string", + "description": "Institutional config description.", + "hidden": true, + "fa_icon": "fas fa-users-cog" + }, + "config_profile_contact": { + "type": "string", + "description": "Institutional config contact information.", + "hidden": true, + "fa_icon": "fas fa-users-cog" + }, + "config_profile_url": { + "type": "string", + "description": "Institutional config URL link.", + "hidden": true, + "fa_icon": "fas fa-users-cog" } } } }, "allOf": [ { - "$ref": "#/definitions/input_output_options" + "$ref": "#/definitions/download_databases_options" }, { - "$ref": "#/definitions/generic_options" + "$ref": "#/definitions/input_output_options" }, { "$ref": "#/definitions/max_job_request_options" @@ -268,6 +400,12 @@ }, { "$ref": "#/definitions/user_custom_database" + }, + { + "$ref": "#/definitions/generic_options" + }, + { + "$ref": "#/definitions/institutional_config_options" } ] } \ No newline at end of file diff --git a/nf_functions/help.nf b/nf_functions/help.nf deleted file mode 100644 index d480d693..00000000 --- a/nf_functions/help.nf +++ /dev/null @@ -1,124 +0,0 @@ -/* - * Define help message - */ - -def helpMessage() { - log.info """ - Usage: - nextflow run fmalmeida/bacannot [--help] [ -c nextflow.config ] [OPTIONS] [-with-report] [-with-trace] [-with-timeline] - Comments: - - This pipeline contains a massive amount of configuration variables and its usage as CLI parameters would cause the command - to be huge. Therefore, it is extremely recommended to use the nextflow.config configuration file in order to make - parameterization easier and more readable. - - Get template configuration file: - - nextflow run fmalmeida/bacannot [--get_config] - - Execution Reports: - - nextflow run fmalmeida/bacannot [OPTIONS] [-with-report] [-with-trace] [-with-timeline] - - OPTIONS: - - # Input configuration - # Users can give either a genome in FASTA file or raw reads in FASTQ - # The analysis is configured via a samplesheet - # Check the example samplesheet at: https://github.com/fmalmeida/bacannot/blob/master/example_samplesheet.yaml - # - # Also documented at: https://bacannot.readthedocs.io/en/latest/samplesheet.html - - --input Set path to the input samplesheet. - - # Annotation configuration - # Read it and configure it properly - - # General Parameters - - --output Output directory name - - --threads Number of threads to use - - --parallel_jobs Number of jobs to run in parallel. Each job can consume up - to N threads (--threads). If not given, let's nextflow automatically handle it. Default: NA. - - --bedtools_merge_distance By default, this process is not executed. For execution - one needs to provide a minimum number of overlapping - bases for gene merge using bedtools merge. Negative values, - such as -20, means the number of required overlapping bases - for merging. Positive values, such as 5, means the maximum - distance accepted between features for merging. - - - # Prokka complementary parameters - - --prokka_kingdom Prokka annotation mode. Possibilities (default 'Bacteria'): - Archaea|Bacteria|Mitochondria|Viruses - - --prokka_genetic_code Genetic Translation code. Must be set if kingdom is not - default (in blank). - - --prokka_use_rnammer Tells prokka whether to use rnammer instead of barrnap. - - - # Blast alignment parameters - - --blast_virulence_minid Min. identity % for virulence annotation. Default 90. - - --blast_virulence_mincov Min. gene/subject coverage for virulence annotation. Default 80. - - --blast_resistance_minid Min. identity % for resistance annotation. Default 90. - - --blast_resistance_mincov Min. gene/subject coverage for resistance annotation. Default 80. - - --blast_MGEs_minid Min. identity % for ICEs and prophage annotation. Default 65. - - --blast_MGEs_mincov Min. gene/subject coverage for ICEs and prophage annotation. Default 65. - - --plasmids_minid Min. identity % for plasmid detection. Default 90. - - --plasmids_mincov Min. coverage for plasmid detection. Default 60. - - --blast_custom_minid Min. identity % for the annotation using user's custom database. Default 0. - - --blast_custom_mincov Min. gene/subject coverage % for the annotation using user's custom database. Default 0. - - # User's custom database for annotation - # Must be in gene nucleotide FASTA - # - # Well documented at: https://bacannot.readthedocs.io/en/latest/custom-db.html - - --custom_db Path to the nucleotide FASTA file containing the user's custom database for annotation. - Multiple FASTAs can be provided separated by comma. E.g. db1.fasta,db2.fasta,... - - - # Configure a default resfinder species panel for all samples - # If a sample has another value inside the samplesheet, the pipeline will use - # the one found inside the samplesheet for that specific sample. - # - # Also documented at: https://bacannot.readthedocs.io/en/latest/samplesheet.html - - --resfinder_species It sets the species to be used for Resfinder annotation. If blank, - it will not be executed. Must be identical (without the *) as written - in their webservice https://cge.cbs.dtu.dk/services/ResFinder/. - If your species is not available in Resfinder panels, you may use it - with the "Other" panel (--resfinder_species "Other"). - E.g. 'Escherichia coli'; 'Klebsiella' ... - - # Configure (on/off) optional processes - - --skip_virulence_search Tells whether you do not want to execute virulence annotation - - --skip_resistance_search Tells whether you do not want to execute resistance annotation - - --skip_iceberg_search Tells whether you do not want to execute ICE annotation - - --skip_prophage_search Tells whether you do not want to execute prophage annotation - - --skip_plasmid_search Tells whether you do not want to execute plasmid detection - - --skip_kofamscan Tells whether you do not want to execute KO annotation with kofamscan - -""".stripIndent() -} diff --git a/nf_functions/log.nf b/nf_functions/log.nf deleted file mode 100644 index b43d7416..00000000 --- a/nf_functions/log.nf +++ /dev/null @@ -1,32 +0,0 @@ -def logMessage() { - log.info "=================================================================" - log.info " Container-based, fmalmeida/bacannot, Genome Annotation Pipeline " - log.info "=================================================================" - def summary = [:] - summary['Input genomes'] = params.input - summary['Output dir'] = "${params.output}" - summary['Threads'] = params.threads - if (params.skip_virulence_search == false) { - summary['Blast % ID - Virulence Genes'] = params.blast_virulence_minid - summary['Blast query coverage - Virulence Genes'] = params.blast_virulence_mincov - } - if (params.skip_resistance_search == false) { - summary['Blast % ID - AMR Genes'] = params.blast_resistance_minid - summary['Blast query coverage - AMR Genes'] = params.blast_resistance_mincov - } - if (params.skip_iceberg_search == false | params.skip_prophage_search == false) { - summary['Blast % ID - ICEs or Phages'] = params.blast_MGEs_minid - summary['Blast query coverage - ICEs or Phages'] = params.blast_MGEs_mincov - } - if (params.skip_plasmid_search == false) { - summary['Blast % ID - Plasmids'] = params.plasmids_minid - summary['Blast query coverage - Plasmids'] = params.plasmids_mincov - } - if(workflow.revision) summary['Pipeline Release'] = workflow.revision - summary['Current home'] = "$HOME" - summary['Current user'] = "$USER" - summary['Current path'] = "$PWD" - summary['Configuration file'] = workflow.configFiles[0] - log.info summary.collect { k,v -> "${k.padRight(15)}: $v" }.join("\n") - log.info "==============================================================" -} diff --git a/nf_functions/paramsCheck.nf b/nf_functions/paramsCheck.nf deleted file mode 100644 index 36386b97..00000000 --- a/nf_functions/paramsCheck.nf +++ /dev/null @@ -1,25 +0,0 @@ -def paramsCheck() { - - /* - - Checking the prokka parameters - - */ - if (params.prokka_kingdom && !params.prokka_genetic_code) { - println """ - ERROR! - - A minor error has occurred - ==> User have set --prokka_kingdom but forgot --prokka_genetic_code. - - These parameters must be used together. If you change prokka defaults kingdom parameter you must set the genetic code to be used for translation. - - If in doubt with these parameters let it blank, or get more information in Prokka's documentation. - - Cheers. - """.stripIndent() - - exit 1 - } - -} diff --git a/workflows/bacannot.nf b/workflows/bacannot.nf index 61ee7bb6..0002780d 100644 --- a/workflows/bacannot.nf +++ b/workflows/bacannot.nf @@ -54,8 +54,8 @@ include { PHISPY } from '../modules/prophages/phispy.nf' include { ICEBERG } from '../modules/MGEs/iceberg.nf' // Genomic Islands detection with Islandpath-DIMOB -include { FIND_GIS } from '../modules/MGEs/islandPath_DIMOB.nf' -include { DRAW_GIS } from '../modules/MGEs/draw_gis.nf' +include { ISLANDPATH } from '../modules/MGEs/islandpath.nf' +include { DRAW_GIS } from '../modules/MGEs/draw_gis.nf' // IS identification include { DIGIS } from '../modules/MGEs/digIS.nf' @@ -76,8 +76,9 @@ include { CARD_RGI } from '../modules/resistance/rgi_annotation.nf' include { CALL_METHYLATION } from '../modules/generic/methylation.nf' // User's custom db annotation -include { CUSTOM_BLAST } from '../modules/generic/custom_blast.nf' -include { CUSTOM_BLAST_REPORT } from '../modules/generic/custom_blast_report.nf' +include { CUSTOM_DATABASE } from '../modules/generic/custom_database.nf' +include { CUSTOM_DATABASE_REPORT } from '../modules/generic/custom_database_report.nf' +include { GET_NCBI_PROTEIN } from '../modules/generic/ncbi_protein.nf' // Merging annotation in GFF include { MERGE_ANNOTATIONS } from '../modules/generic/merge_annotations.nf' @@ -88,9 +89,6 @@ include { GFF2GBK } from '../modules/generic/gff2gbk.nf' // Convert GFF to SQL include { CREATE_SQL } from '../modules/generic/gff2sql.nf' -// Bedtools gff merge -include { GFF_MERGE } from '../modules/generic/merge_gff.nf' - // JBrowse include { JBROWSE } from '../modules/generic/jbrowse.nf' @@ -110,7 +108,9 @@ include { ANTISMASH } from '../modules/generic/antismash.nf' workflow BACANNOT { take: input_ch + dbs_ch custom_db + ncbi_accs main: @@ -123,27 +123,29 @@ workflow BACANNOT { }.set { parsed_inputs } // Step 0 -- Run unicycler when necessary - UNICYCLER(parsed_inputs.unicycler_ch) + UNICYCLER( parsed_inputs.unicycler_ch ) // Step 0 -- Run flye when necessary - FLYE(parsed_inputs.flye_ch) + FLYE( parsed_inputs.flye_ch ) // First step -- Prokka annotation - PROKKA(parsed_inputs.annotation_ch.mix(FLYE.out[1], UNICYCLER.out[1])) + PROKKA( + parsed_inputs.annotation_ch.mix(FLYE.out[1], UNICYCLER.out[1]), dbs_ch + ) // Second step -- MLST analysis - MLST(PROKKA.out[3]) + MLST( PROKKA.out[3], dbs_ch ) // Third step -- rRNA annotation - BARRNAP(PROKKA.out[3]) + BARRNAP( PROKKA.out[3] ) // Fouth step -- calculate GC content for JBrowse - COMPUTE_GC(PROKKA.out[3]) + COMPUTE_GC( PROKKA.out[3] ) // Fifth step -- run kofamscan if (params.skip_kofamscan == false) { - KOFAMSCAN(PROKKA.out[4]) - KEGG_DECODER(KOFAMSCAN.out[1]) + KOFAMSCAN( PROKKA.out[4], dbs_ch ) + KEGG_DECODER( KOFAMSCAN.out[1] ) kofamscan_output_ch = KOFAMSCAN.out[1] kegg_decoder_svg_ch = KEGG_DECODER.out[1] } else { @@ -155,13 +157,13 @@ workflow BACANNOT { Sixth step -- MGE, Virulence and AMR annotations */ - // Plasmid finder - if (params.skip_plasmid_search == false) { + // plasmids + if (params.skip_plasmid_search == false) { // plasmidfinder - PLASMIDFINDER(PROKKA.out[3]) + PLASMIDFINDER( PROKKA.out[3], dbs_ch ) plasmidfinder_output_ch = PLASMIDFINDER.out[1] // platon - PLATON(PROKKA.out[3]) + PLATON( PROKKA.out[3], dbs_ch ) platon_output_ch = PLATON.out[1] } else { plasmidfinder_output_ch = Channel.empty() @@ -169,86 +171,86 @@ workflow BACANNOT { } // IslandPath software - FIND_GIS(PROKKA.out[2]) + ISLANDPATH(PROKKA.out[2]) // Virulence search - if (params.skip_virulence_search == false) { + if (params.skip_virulence_search == false) { // VFDB - VFDB(PROKKA.out[5]) + VFDB( PROKKA.out[5], dbs_ch ) vfdb_output_ch = VFDB.out[1] // Victors db - VICTORS(PROKKA.out[4]) + VICTORS( PROKKA.out[4], dbs_ch ) victors_output_ch = VICTORS.out[1] } else { - vfdb_output_ch = Channel.empty() + vfdb_output_ch = Channel.empty() victors_output_ch = Channel.empty() } // Prophage search if (params.skip_prophage_search == false) { // PHAST db - PHAST(PROKKA.out[4]) + PHAST( PROKKA.out[4], dbs_ch ) phast_output_ch = PHAST.out[1] // Phigaro software - PHIGARO(PROKKA.out[3]) - phigaro_output_1_ch = PHIGARO.out[0] - phigaro_output_2_ch = PHIGARO.out[1] + PHIGARO( PROKKA.out[3], dbs_ch ) + phigaro_output_tsv_ch = PHIGARO.out[0] + phigaro_output_bed_ch = PHIGARO.out[1] // PhiSpy - PHISPY(PROKKA.out[2]) + PHISPY( PROKKA.out[2] ) phispy_output_ch = PHISPY.out[1] } else { - phast_output_ch = Channel.empty() - phigaro_output_1_ch = Channel.empty() - phigaro_output_2_ch = Channel.empty() - phispy_output_ch = Channel.empty() + phast_output_ch = Channel.empty() + phigaro_output_tsv_ch = Channel.empty() + phigaro_output_bed_ch = Channel.empty() + phispy_output_ch = Channel.empty() } // ICEs search if (params.skip_iceberg_search == false) { // ICEberg db - ICEBERG(PROKKA.out[4], PROKKA.out[3]) - iceberg_output_ch = ICEBERG.out[1] - iceberg_output_2_ch = ICEBERG.out[2] + ICEBERG( PROKKA.out[4], PROKKA.out[3], dbs_ch ) + iceberg_output_blastp_ch = ICEBERG.out[1] + iceberg_output_blastn_ch = ICEBERG.out[2] } else { - iceberg_output_ch = Channel.empty() - iceberg_output_2_ch = Channel.empty() + iceberg_output_blastp_ch = Channel.empty() + iceberg_output_blastn_ch = Channel.empty() } // AMR search if (params.skip_resistance_search == false) { // AMRFinderPlus - AMRFINDER(PROKKA.out[4]) + AMRFINDER( PROKKA.out[4], dbs_ch ) amrfinder_output_ch = AMRFINDER.out[0] // CARD-RGI - CARD_RGI(PROKKA.out[4]) - rgi_output_ch = CARD_RGI.out[2] + CARD_RGI( PROKKA.out[4], dbs_ch ) + rgi_output_ch = CARD_RGI.out[2] rgi_output_parsed_ch = CARD_RGI.out[1] - rgi_heatmap_ch = CARD_RGI.out[3] + rgi_heatmap_ch = CARD_RGI.out[3] // ARGMiner - ARGMINER(PROKKA.out[4]) + ARGMINER( PROKKA.out[4], dbs_ch ) argminer_output_ch = ARGMINER.out[0] // Resfinder - RESFINDER(PROKKA.out[7]) - resfinder_output_1_ch = RESFINDER.out[0] - resfinder_output_2_ch = RESFINDER.out[1] - resfinder_phenotable_ch = RESFINDER.out[2] - resfinder_gff_ch = RESFINDER.out[3] + RESFINDER( PROKKA.out[7], dbs_ch ) + resfinder_output_tab_ch = RESFINDER.out[0] + resfinder_output_pointfinder_ch = RESFINDER.out[1] + resfinder_phenotable_ch = RESFINDER.out[2] + resfinder_gff_ch = RESFINDER.out[3] } else { - rgi_output_ch = Channel.empty() - rgi_output_parsed_ch = Channel.empty() - rgi_heatmap_ch = Channel.empty() - amrfinder_output_ch = Channel.empty() - argminer_output_ch = Channel.empty() - resfinder_output_1_ch = Channel.empty() - resfinder_output_2_ch = Channel.empty() - resfinder_phenotable_ch = Channel.empty() - resfinder_gff_ch = Channel.empty() + rgi_output_ch = Channel.empty() + rgi_output_parsed_ch = Channel.empty() + rgi_heatmap_ch = Channel.empty() + amrfinder_output_ch = Channel.empty() + argminer_output_ch = Channel.empty() + resfinder_output_tab_ch = Channel.empty() + resfinder_output_pointfinder_ch = Channel.empty() + resfinder_phenotable_ch = Channel.empty() + resfinder_gff_ch = Channel.empty() } /* Seventh step -- Methylation call */ - CALL_METHYLATION(PROKKA.out[6]) + CALL_METHYLATION( PROKKA.out[6] ) methylation_out_1_ch = CALL_METHYLATION.out[2] methylation_out_2_ch = CALL_METHYLATION.out[3] @@ -259,98 +261,114 @@ workflow BACANNOT { */ // species identification - REFSEQ_MASHER(PROKKA.out[3]) + REFSEQ_MASHER( PROKKA.out[3] ) // IS identification - DIGIS(PROKKA.out[3].join(PROKKA.out[2])) + DIGIS( PROKKA.out[3].join(PROKKA.out[2]) ) // antiSMASH if (params.skip_antismash == false) { - ANTISMASH(PROKKA.out[2]) + ANTISMASH( PROKKA.out[2], dbs_ch ) antismash_output_ch = ANTISMASH.out[0] } else { antismash_output_ch = Channel.empty() } // sequenceserver - SEQUENCESERVER(PROKKA.out[3].join(PROKKA.out[5]).join(PROKKA.out[4])) + SEQUENCESERVER( + PROKKA.out[3].join(PROKKA.out[5]) + .join(PROKKA.out[4]) + ) + + // custom databases annotation + ch_custom_databases_annotations = Channel.empty() + if (params.custom_db || params.ncbi_proteins) { + GET_NCBI_PROTEIN( ncbi_accs ) + CUSTOM_DATABASE( + PROKKA.out[1].join(PROKKA.out[3]), + custom_db.mix(GET_NCBI_PROTEIN.out[0]) + ) + ch_custom_databases_annotations = CUSTOM_DATABASE.out[1].groupTuple() + } /* - Eighth step -- Merge all annotations with the same Prefix value in a single Channel + Eighth step -- Merge all annotations */ - annotations_files_ch = PROKKA.out[3].join(PROKKA.out[1]) - .join(MLST.out[0]) - .join(BARRNAP.out[0]) - .join(COMPUTE_GC.out[0]) - .join(kofamscan_output_ch, remainder: true) - .join(vfdb_output_ch, remainder: true) - .join(victors_output_ch, remainder: true) - .join(amrfinder_output_ch, remainder: true) - .join(resfinder_gff_ch, remainder: true) - .join(rgi_output_ch, remainder: true) - .join(iceberg_output_ch, remainder: true) - .join(phast_output_ch, remainder: true) - .join(phigaro_output_2_ch, remainder: true) - .join(FIND_GIS.out[0], remainder: true) - - // Contatenation of annotations in a single GFF file - MERGE_ANNOTATIONS(annotations_files_ch.join(DIGIS.out[1], remainder: true)) + MERGE_ANNOTATIONS( + PROKKA.out[1].join(kofamscan_output_ch, remainder: true) + .join(vfdb_output_ch, remainder: true) + .join(victors_output_ch, remainder: true) + .join(amrfinder_output_ch, remainder: true) + .join(resfinder_gff_ch, remainder: true) + .join(rgi_output_ch, remainder: true) + .join(iceberg_output_blastp_ch, remainder: true) + .join(phast_output_ch, remainder: true) + .join(DIGIS.out[1], remainder: true) + .join(ch_custom_databases_annotations, remainder: true) + ) + /* + Final step -- Create genome browser and reports' files + */ // Plot genomic islands - DRAW_GIS(MERGE_ANNOTATIONS.out[0].join(FIND_GIS.out[0])) + DRAW_GIS( MERGE_ANNOTATIONS.out[0].join(ISLANDPATH.out[0]) ) // Convert GFF file to GBK file - GFF2GBK(MERGE_ANNOTATIONS.out[0].join(PROKKA.out[3])) + GFF2GBK( MERGE_ANNOTATIONS.out[0].join(PROKKA.out[3]) ) // Convert GFF file to sqldb - CREATE_SQL(MERGE_ANNOTATIONS.out[0].join(PROKKA.out[5]) - .join(PROKKA.out[4]) - .join(PROKKA.out[3]) - .join(DIGIS.out[2])) - - // User wants to merge the final gff file? - if (params.bedtools_merge_distance) { - GFF_MERGE(MERGE_ANNOTATIONS.out[0]) - } - - /* - - Nineth step -- Perform users custom annotation - - */ - if (params.custom_db) { - CUSTOM_BLAST(MERGE_ANNOTATIONS.out[0].join(PROKKA.out[3]), custom_db) - CUSTOM_BLAST_REPORT(CUSTOM_BLAST.out[0]) - } - - /* - Final step -- Create genome browser and reports - */ - - // Grab inputs needed for JBrowse step - jbrowse_input_ch = MERGE_ANNOTATIONS.out[0].join(annotations_files_ch, remainder: true) - .join(methylation_out_1_ch, remainder: true) - .join(methylation_out_2_ch, remainder: true) - .join(phispy_output_ch, remainder: true) - .join(MERGE_ANNOTATIONS.out[8], remainder: true) // parsed and changed digIS - .join(antismash_output_ch, remainder: true) - // Jbrowse Creation - JBROWSE(jbrowse_input_ch) + CREATE_SQL( + MERGE_ANNOTATIONS.out[0].join(PROKKA.out[5]) + .join(PROKKA.out[4]) + .join(PROKKA.out[3]) + .join(DIGIS.out[2] ) + ) + + JBROWSE( + MERGE_ANNOTATIONS.out[0].join(PROKKA.out[3]) + .join(PROKKA.out[1]) + .join(BARRNAP.out[0]) + .join(COMPUTE_GC.out[0]) + .join(resfinder_gff_ch, remainder: true) + .join(phigaro_output_bed_ch,remainder: true) + .join(ISLANDPATH.out[0], remainder: true) + .join(methylation_out_1_ch, remainder: true) + .join(methylation_out_2_ch, remainder: true) + .join(phispy_output_ch, remainder: true) + .join(MERGE_ANNOTATIONS.out[1]) // parsed digIS + .join(antismash_output_ch, remainder: true) + .join(MERGE_ANNOTATIONS.out[2].groupTuple(), remainder: true) // parsed custom db + ) // Render reports - REPORT(jbrowse_input_ch.join(rgi_output_parsed_ch, remainder: true) - .join(rgi_heatmap_ch, remainder: true) - .join(argminer_output_ch, remainder: true) - .join(iceberg_output_2_ch, remainder: true) - .join(plasmidfinder_output_ch, remainder: true) - .join(resfinder_output_1_ch, remainder: true) - .join(resfinder_output_2_ch, remainder: true) - .join(resfinder_phenotable_ch, remainder: true) - .join(DRAW_GIS.out[1], remainder: true) - .join(phigaro_output_1_ch, remainder: true) - .join(platon_output_ch, remainder: true) - .join(PROKKA.out[8], remainder: true) - .join(kegg_decoder_svg_ch, remainder: true) - .join(REFSEQ_MASHER.out[0], remainder: true)) + if (params.custom_db || params.ncbi_proteins) { + CUSTOM_DATABASE_REPORT( CUSTOM_DATABASE.out[0].join( MERGE_ANNOTATIONS.out[0], remainder:true ) ) + } + REPORT( + PROKKA.out[8].join(MERGE_ANNOTATIONS.out[0]) + .join(BARRNAP.out[0]) + .join(MLST.out[0]) + .join(kegg_decoder_svg_ch, remainder: true) + .join(REFSEQ_MASHER.out[0]) + .join(amrfinder_output_ch, remainder: true) + .join(rgi_output_ch, remainder: true) + .join(rgi_output_parsed_ch, remainder: true) + .join(rgi_heatmap_ch, remainder: true) + .join(argminer_output_ch, remainder: true) + .join(resfinder_output_tab_ch, remainder: true) + .join(resfinder_output_pointfinder_ch, remainder: true) + .join(resfinder_phenotable_ch, remainder: true) + .join(vfdb_output_ch, remainder: true) + .join(victors_output_ch, remainder: true) + .join(phigaro_output_tsv_ch, remainder: true) + .join(phispy_output_ch, remainder: true) + .join(iceberg_output_blastp_ch, remainder: true) + .join(iceberg_output_blastn_ch, remainder: true) + .join(plasmidfinder_output_ch, remainder: true) + .join(platon_output_ch, remainder: true) + .join(DRAW_GIS.out[1], remainder: true) + .join(phast_output_ch, remainder: true) + .join(MERGE_ANNOTATIONS.out[1]) // parsed digIS + ) } diff --git a/workflows/bacannot_dbs.nf b/workflows/bacannot_dbs.nf new file mode 100644 index 00000000..c44d4d36 --- /dev/null +++ b/workflows/bacannot_dbs.nf @@ -0,0 +1,50 @@ +/* + * Include modules + */ +include { PROKKA_DB } from '../modules/bacannot_dbs/prokka.nf' +include { MLST_DB } from '../modules/bacannot_dbs/mlst.nf' +include { CARD_DB } from '../modules/bacannot_dbs/card.nf' +include { PLATON_DB } from '../modules/bacannot_dbs/platon.nf' +include { RESFINDER_DB } from '../modules/bacannot_dbs/resfinder.nf' +include { PLASMIDFINDER_DB } from '../modules/bacannot_dbs/plasmidfinder.nf' +include { PHIGARO_DB } from '../modules/bacannot_dbs/phigaro.nf' +include { AMRFINDER_DB } from '../modules/bacannot_dbs/amrfinder.nf' +include { ARGMINER_DB } from '../modules/bacannot_dbs/argminer.nf' +include { VFDB_DB } from '../modules/bacannot_dbs/vfdb.nf' +include { VICTORS_DB } from '../modules/bacannot_dbs/victors.nf' +include { ICEBERG_DB } from '../modules/bacannot_dbs/iceberg.nf' +include { PHAST_DB } from '../modules/bacannot_dbs/phast.nf' +include { KOFAMSCAN_DB } from '../modules/bacannot_dbs/kofamscan.nf' +include { ANTISMASH_DB } from '../modules/bacannot_dbs/antismash.nf' + +/* + DEF WORKFLOW +*/ + +workflow CREATE_DBS { + + download_db("prokka", "PROKKA_DB") + download_db("mlst", "MLST_DB") + download_db("kofamscan", "KOFAMSCAN_DB") + download_db("card", "CARD_DB") + download_db("resfinder", "RESFINDER_DB") + download_db("amrfinder", "AMRFINDER_DB") + download_db("argminer", "ARGMINER_DB") + download_db("platon", "PLATON_DB") + download_db("plasmidfinder", "PLASMIDFINDER_DB") + download_db("phigaro", "PHIGARO_DB") + download_db("phast", "PHAST_DB") + download_db("vfdb", "VFDB_DB") + download_db("victors", "VICTORS_DB") + download_db("iceberg", "ICEBERG_DB") + download_db("antismash", "ANTISMASH_DB") + +} + +def download_db(database, module) { + if (file("${params.output}/${database}_db").exists() && params.force_update == false) { + println "NOTE:\n\t=> ${database} database already exists and --force_update was not used. Skipping." + } else { + "${module}"() + } +} \ No newline at end of file diff --git a/workflows/parse_samples.nf b/workflows/parse_samples.nf index a0f07404..ed861ee8 100644 --- a/workflows/parse_samples.nf +++ b/workflows/parse_samples.nf @@ -1,5 +1,5 @@ include { write_csv } from '../nf_functions/writeCSV.nf' -workflow parse_samplesheet { +workflow PARSE_SAMPLESHEET { take: data