From 6de3537b35f6ec7f857ce8f794e56cf7b0c4c7b4 Mon Sep 17 00:00:00 2001 From: Istvan-Zsolt Szekely Date: Wed, 20 Nov 2024 10:44:39 +0200 Subject: [PATCH] logger: Requested changes Signed-off-by: Istvan-Zsolt Szekely --- library/utilities/logger_pkg.sv | 8 ++++---- library/utilities/utils.svh | 15 --------------- library/vip/adi/spi_vip/adi_spi_vip.sv | 2 +- library/vip/adi/spi_vip/spi_vip_if.sv | 4 ++-- 4 files changed, 7 insertions(+), 22 deletions(-) diff --git a/library/utilities/logger_pkg.sv b/library/utilities/logger_pkg.sv index e95592de..db132b59 100644 --- a/library/utilities/logger_pkg.sv +++ b/library/utilities/logger_pkg.sv @@ -95,19 +95,19 @@ package logger_pkg; input string message, input adi_verbosity_t verbosity); - `INFO(("[%s] %s", this.get_path(), message), verbosity); + PrintInfo($sformatf("[%s] %s", this.get_path(), message), verbosity); endfunction: info function void warning(input string message); - `WARNING(("[%s] %s", this.get_path(), message)); + PrintWarning($sformatf("[%s] %s", this.get_path(), message)); endfunction: warning function void error(input string message); - `ERROR(("[%s] %s", this.get_path(), message)); + PrintError($sformatf("[%s] %s", this.get_path(), message)); endfunction: error function void fatal(input string message); - `FATAL(("[%s] %s", this.get_path(), message)); + PrintFatal($sformatf("[%s] %s", this.get_path(), message)); endfunction: fatal endclass: adi_reporter diff --git a/library/utilities/utils.svh b/library/utilities/utils.svh index b1255719..624dc9e1 100644 --- a/library/utilities/utils.svh +++ b/library/utilities/utils.svh @@ -187,8 +187,6 @@ `define AXIS 1 `define FIFO 2 -`define RELATIVE_PATH(src) {"../../../../../../", src} - // Macros used in Simulation files during simulation `define INFO(m,v) \ PrintInfo($sformatf("%s", \ @@ -206,19 +204,6 @@ PrintFatal($sformatf("%s\n found in %s:%0d", \ $sformatf m , `__FILE__, `__LINE__)) -// Macros used in VIPs during elaboration -`define INFOV(m) \ - $display("[INFO] @ %s", $sformatf m) - -`define WARNINGV(m) \ - $warning("[WARNING] @ %s", $sformatf m) - -`define ERRORV(m) \ - $error("[ERROR] @ %s", $sformatf m) - -`define FATALV(m) \ - $fatal("[FATAL] @ %s", $sformatf m) - `define MAX(a,b) ((a > b) ? a : b) `define MIN(a,b) ((a > b) ? b : a) diff --git a/library/vip/adi/spi_vip/adi_spi_vip.sv b/library/vip/adi/spi_vip/adi_spi_vip.sv index f4be5ba6..fd3ec55c 100644 --- a/library/vip/adi/spi_vip/adi_spi_vip.sv +++ b/library/vip/adi/spi_vip/adi_spi_vip.sv @@ -79,7 +79,7 @@ module adi_spi_vip #( initial begin : ASSERT_PARAMETERS assert (MODE == MODE_SLAVE) else begin - `ERRORV(("Unsupported mode %s. Valid values are 0=SLAVE, 1=MASTER, 2=MONITOR. Only 0(SLAVE) is currently supported.", MODE)); + $error("Unsupported mode %s. Valid values are 0=SLAVE, 1=MASTER, 2=MONITOR. Only 0(SLAVE) is currently supported.", MODE); end end : ASSERT_PARAMETERS diff --git a/library/vip/adi/spi_vip/spi_vip_if.sv b/library/vip/adi/spi_vip/spi_vip_if.sv index 13f15908..3098778b 100644 --- a/library/vip/adi/spi_vip/spi_vip_if.sv +++ b/library/vip/adi/spi_vip/spi_vip_if.sv @@ -86,14 +86,14 @@ interface spi_vip_if #( intf_slave_mode = 0; intf_master_mode = 1; intf_monitor_mode = 0; - `ERRORV(("Unsupported mode master")); //TODO + $error("Unsupported mode master"); //TODO endfunction : set_master_mode function void set_monitor_mode(); intf_slave_mode = 0; intf_master_mode = 0; intf_monitor_mode = 1; - `ERRORV(("Unsupported mode monitor")); //TODO + $error("Unsupported mode monitor"); //TODO endfunction : set_monitor_mode endinterface